TW202045911A - 半導體製造的度量和製程控制 - Google Patents

半導體製造的度量和製程控制 Download PDF

Info

Publication number
TW202045911A
TW202045911A TW108145140A TW108145140A TW202045911A TW 202045911 A TW202045911 A TW 202045911A TW 108145140 A TW108145140 A TW 108145140A TW 108145140 A TW108145140 A TW 108145140A TW 202045911 A TW202045911 A TW 202045911A
Authority
TW
Taiwan
Prior art keywords
aforementioned
spectrum
variability
measurement
semiconductor
Prior art date
Application number
TW108145140A
Other languages
English (en)
Other versions
TWI714388B (zh
Inventor
伊登 羅特施泰因
伊爾雅 拉比諾維奇
娜安 塔爾
巴瑞克 布蘭歐里茲
湧河 金
艾瑞兒 布鲁瓦特曼
烏迪德 寇漢
伊倫 拉比諾維奇
塔爾 紮哈羅尼
珊 尤戈夫
丹尼爾 堪德爾
Original Assignee
以色列商諾威量測設備股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 以色列商諾威量測設備股份有限公司 filed Critical 以色列商諾威量測設備股份有限公司
Publication of TW202045911A publication Critical patent/TW202045911A/zh
Application granted granted Critical
Publication of TWI714388B publication Critical patent/TWI714388B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • G03F7/706841Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computational Linguistics (AREA)
  • Health & Medical Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明係關於一種半導體度量系統,其包括:光譜獲取工具,其用於使用第一量測協定收集在第一半導體晶圓靶上之基線散射量度光譜,及對於光譜可變性之各種源,收集在第二半導體晶圓靶上之散射量度光譜的可變性集合,前述可變性集合體現前述光譜可變性;參考度量工具,其用於使用第二量測協定收集前述第一半導體晶圓靶之參數值;及訓練單元,其用於使用前述所收集光譜及值來使用機器學習訓練預測模型,且最小化併有光譜可變性項的相關聯之損耗函數,前述預測模型用於基於生產半導體晶圓靶之光譜對於前述生產半導體晶圓靶預測值。

Description

半導體製造的度量和製程控制
本發明係關於一種半導體度量方法及半導體度量系統。
當今之半導體製造過程的收縮尺寸及日益增長之複雜性正將此等製程之度量驅動至其極限,且使在藉由嚴格之製程極限所要求的規格內保持度量工具為非常困難的。涉及度量結果之準確性、製程穩健性、精度、匹配及其他不確定性非常難以用當前方法達成。另外,給定製程控制要求,如通量(throughput;TPT)及晶圓內(within-wafer;WiW)取樣率之限制的量度為尤其有挑戰性的。最終,獲得外部參考資料來訓練及/或測試基於模型之度量解決方案為愈來愈具有挑戰性的。
當今,此等挑戰主要藉由最佳化「移動及量測」(move and measure;MAM)時間、工具穩定性及工具再現性之硬體改良來減輕,此又最佳化TPT及取樣率。製程穩健性當前藉由以下操作來處置:在配方(亦即,量測協定)產生時間搜尋將盡可能穩定之度量配方,該等度量配方可時常以效能為代價。
本發明在其實施例中呈現基於監督式學習之機器學習(machine learning;ML)方法。特定地,給定光學訊號之資料集
Figure 02_image001
,對於每一量測樣本
Figure 02_image003
(例如,對於一組半導體晶圓上之每一晶粒)及對於參數之參考值
Figure 02_image005
,ML用以建立模型以用於對於任何有待量測的訊號
Figure 02_image007
預測
Figure 02_image009
。模型複雜性可變化,且模型之選擇取決於用以訓練模型之資料集的類型及大小、
Figure 02_image011
Figure 02_image013
之固有敏感性,及與
Figure 02_image013
相關之參考度量中之雜訊的類型及幅度。
在本發明之一態樣中,提供一種半導體度量方法,其包括:使用光譜獲取工具且根據第一量測協定收集在第一組半導體晶圓靶上之光譜的基線集;使用光學度量工具且根據第二量測協定收集前述第一組半導體晶圓靶之預定義參數的值;對於光譜可變性之一或多個預定義源中的每一者,使用前述光譜獲取工具且根據前述第一量測協定在對應於前述第一組半導體晶圓靶的第二組半導體晶圓靶上收集光譜之可變性集合,其中光譜之前述可變性集合體現前述光譜可變性;及使用光譜之所收集的前述集合及參數值來使用機器學習訓練預測模型,且最小化與前述預測模型相關聯之損耗函數,其中前述預測模型經組配來用以使用第三組半導體晶圓靶之生產光譜對於前述預定義參數中之任一者預測值,其中前述生產光譜係使用前述光譜獲取工具且根據前述第一量測協定來收集,且其中前述損耗函數係藉由對於光譜可變性之前述一或多個預定義源中之每一者併有表示前述光譜可變性的項來最小化。
在本發明之另一態樣中,光譜可變性之前述預定義源包括工具可變性。
在本發明之另一態樣中,前述收集前述可變性光譜包括使用前述光譜獲取工具之多個且等同之工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
在本發明之另一態樣中,光譜可變性之前述預定義源包括量測重複性。
在本發明之另一態樣中,前述收集前述可變性光譜包括在多個不同的時間點處使用前述光譜獲取工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
在本發明之另一態樣中,前述第一量測協定及前述第二量測協定在通道之數目、照明角度、靶,及自同一靶所獲取之訊號中的任一者方面不同。
在本發明之另一態樣中,前述方法進一步包括:在生產半導體晶圓之製造期間收集生產散射量度光譜;及使用前述預測模型基於前述生產散射量度光譜對於前述預定義參數中之任一者產生預測值。
在本發明之另一態樣中,其進一步包括將輸入提供至半導體製造工具,以用於在前述生產半導體晶圓之前述製造期間控制前述半導體製造工具之操作。
在本發明之另一態樣中,提供一種半導體度量系統,其包括:光譜獲取工具,其經組配來根據第一量測協定收集在第一組半導體晶圓靶上之散射量度光譜的基線集,及對於光譜可變性之一或多個預定義源中的每一者,根據前述第一量測協定收集在對應於前述第一組半導體晶圓靶的第二組半導體晶圓靶上之散射量度光譜的可變性集合,其中光譜之前述可變性集合體現前述光譜可變性;光學度量工具,其經組配來根據第二量測協定收集前述第一組半導體晶圓靶之預定義參數的值;及訓練單元,其經組配來使用光譜之所收集的集合及參數值來使用機器學習訓練預測模型,且最小化與前述預測模型相關聯之損耗函數,其中前述預測模型經組配來用以使用第三組半導體晶圓靶之生產光譜對於前述預定義參數中之任一者預測值,其中前述生產光譜係使用前述光譜獲取工具且根據前述第一量測協定來收集,且其中前述損耗函數係藉由對於光譜可變性之前述一或多個預定義源中之每一者併有表示前述光譜可變性的項來最小化。
在本發明之另一態樣中,光譜可變性之前述預定義源包括工具可變性。
在本發明之另一態樣中,前述光譜獲取工具經組配來使用前述光譜獲取工具之多個且等同之工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
在本發明之另一態樣中,光譜可變性之前述預定義源包括量測重複性。
在本發明之另一態樣中,前述光譜獲取工具經組配來在多個不同的時間點處使用前述光譜獲取工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
在本發明之另一態樣中,前述第一量測協定及前述第二量測協定在通道之數目、照明角度、靶,及自同一靶所獲取之訊號中的任一者方面不同。
在本發明之另一態樣中,前述光譜獲取工具經組配來在生產半導體晶圓之製造期間收集生產散射量度光譜,且進一步包括預測單元,前述預測單元經組配來使用前述預測模型基於前述生產散射量度光譜對於前述預定義參數中之任一者產生預測值。
在本發明之另一態樣中,前述系統進一步包括製程控制單元,前述製程控制單元經組配來基於前述預測值將輸入提供至半導體製造工具,以用於在前述生產半導體晶圓之前述製造期間控制前述半導體製造工具之操作。
現參看圖1A及圖1B,其合起來為根據本發明之實施例建構及操作的半導體度量系統之簡化概念說明。在圖1A中,光譜獲取工具100用以根據習知技術收集一或多個參考半導體晶圓106上之多個靶(例如,結構、晶粒)104的散射量度光譜102。光譜獲取工具100在參考半導體晶圓106之製造期間,諸如恰在給定蝕刻步驟之完成之後,根據接近所選擇處理步驟之第一預定義量測協定收集散射量度光譜102,現稱為基線光譜102。光譜獲取工具100可為能夠收集半導體晶圓靶之散射量度光譜的任何已知類型之工具,諸如光譜橢圓偏光儀(Spectral Ellipsometer;SE)、光譜反射計(Spectral Reflectometer;SR)、偏振光譜反射計,或任何其他光學臨界尺寸(Optical Critical Dimension;OCD)度量工具。藉由光譜獲取工具100所使用之第一預定義量測協定較佳地併有來自兩個或兩個以上資訊通道之散射量度量測值。
諸如臨界尺寸掃描電子顯微鏡(Critical Dimension Scanning Electron Microscope;CD-SEM)、原子力顯微鏡(Atomic Force Microscope;AFM)、橫截面穿隧電子顯微鏡(Tunneling Electron Microscope;TEM)、電度量工具、臨界尺寸原子力顯微鏡(Critical Dimension Atomic Force Microscope; CD-AFM)、X-RAY度量工具或光學度量工具之參考度量工具108用以在參考半導體晶圓106之製造期間根據接近同一所選擇處理步驟之第二預定義量測協定,根據習知技術收集參考半導體晶圓106上之靶104之預定義參數的量測值110,光譜獲取工具100係在參考半導體晶圓106處收集基線光譜102。預定義參數可為半導體晶圓之任何類型的已知參數,諸如與其物理及化學特性、半導體晶圓結構之材料性質、電性質及幾何性質相關。
藉由光譜獲取工具100所使用之第一量測協定及藉由參考度量工具108所使用之第二量測協定較佳地在以下方面中的一或多者中彼此不同: •    不同的資訊通道,諸如其中一協定使用來自多個照明通道且處於各種照明角度之多個訊號,而另一協定僅使用在法向地照明給定樣本的同時所獲取之訊號; •    同一樣本內之不同位置,諸如其中一協定使用自給定樣本之區域A內的點狀靶位置所獲取之訊號,而另一協定使用自同一樣本之區域B內的點狀靶位置所獲取之訊號; •    不同的訊號組合,諸如其中一協定使用自多個點狀靶位置經由相同的照明通道所獲取之多個重疊的訊號,而另一協定使用自單一點狀靶位置所獲取之單一訊號。
除了基線光譜102之外,光譜獲取工具100亦在參考半導體晶圓106之製造期間根據第一預定義量測協定且接近同一所選擇處理步驟來收集一或多個參考半導體晶圓106’上之散射量度光譜112的一或多個集合,散射量度光譜112現稱為可變性光譜112,參考半導體晶圓106’可為參考半導體晶圓106或不同的半導體晶圓,光譜獲取工具100係在參考半導體晶圓106處收集基線光譜102。光譜獲取工具100收集與光譜可變性之預定義源相關聯的每一組可變性光譜112,使得該組可變性光譜112體現光譜可變性。舉例而言,在光譜可變性之預定義源與工具可變性相關的情況下,光譜獲取工具100藉由使用多個等同之工具(亦即,來自同一製造商之同一型號)量測某一樣本而收集一組可變性光譜112(例如,收集特定晶圓上之特定晶粒內的結構之光譜),該等工具全部使用同一量測協定。類似地,在光譜可變性之預定義源與量測重複性相關的情況下,光譜獲取工具100藉由在不同的時間點處量測某一樣本而收集一組可變性光譜112(例如,收集在特定晶圓上之特定晶粒內的結構之光譜)。光譜獲取工具100收集與光譜可變性之任何數目個預定義源相關聯的任何數目組可變性光譜112。
訓練單元114經組配來藉由執行機器學習(ML)以識別在藉由光譜獲取工具100所收集之散射量度光譜(亦即,基線光譜102及可變性光譜112之各種集合)與參考半導體晶圓106上之靶104的預定義參數之量測值110之間的對應性而訓練預測模型116,同時最小化與模型相關聯之損耗函數。預測模型116經組配來用以在大批量製造(HVM)過程期間諸如使用半導體晶圓靶之散射量度光譜對於預定義參數中的任一者預測值,其中光譜係根據第一量測協定使用光譜獲取工具來收集。示範性損耗函數經表示為
Figure 02_image015
其中
Figure 02_image017
Figure 02_image019
Figure 02_image021
此處, •
Figure 02_image023
為考慮基線光譜102及量測值110之損耗項 •
Figure 02_image025
– 藉由光譜獲取工具所收集之光譜 •
Figure 02_image027
– 為使用參考度量工具之度量之結果的預定義參數之值 •
Figure 02_image029
– 使用光譜
Figure 02_image025
提供
Figure 02_image027
之預測的預測模型 •     總和均指代對於獨特實體樣本(例如,晶圓上之不同晶粒)的總和 •
Figure 02_image031
指代每樣本(例如,晶圓上之特定晶粒)計算之預測值
Figure 02_image029
跨越在該樣本上進行之該組量測的方差,以反映可變性之特定源。舉例而言,
Figure 02_image033
表示預測值
Figure 02_image029
跨越在同一晶粒上進行之10次重複量測的方差,其中可變性源為量測重複性。
在以上損耗函數實例中,
Figure 02_image023
中之2的冪可用任何正冪替換,及/或替代於
Figure 02_image035
,任何單調增大之函數可得以使用。在類型
Figure 02_image037
之項中,表示特定可變性之任何量度可得以使用,且未必為方差,諸如描述可變性之任何較高的統計動差。因此,例如,替代於使用
Figure 02_image039
之方差(等於
Figure 02_image041
),具有任何
Figure 02_image043
Figure 02_image045
可替代地使用,或
Figure 02_image039
Figure 02_image047
之間的差之單調增大的函數之任何其他動差可得以使用。
參數
Figure 02_image049
表示預測模型116之超參數,其判定跨越可變性項抑制可變性對準確性項之重要性的相對重要性。此等參數在藉由驗證及交叉驗證之方法的ML模型訓練期間較佳為固定的,此情形使反映預定義規格之使用者定義的配方分等級最佳化,諸如圖1A及圖1B之系統之使用者的使用者定義的配方分等級,此係就準確性、重複性、工具匹配,及對使用者重要的任何其他功能性而言。
預測模型116較佳經提供以供經組配來控制半導體晶圓上之半導體裝置之製造的製程控制設備使用,如現參看圖1B所述。
在圖1B中,可為光譜獲取工具100或另一類似或等同之光譜獲取工具的光譜獲取工具100’在生產製程期間使用,諸如在半導體晶圓上製造半導體裝置之大批量製造(high-volume manufacturing;HVM)過程期間使用,以收集生產半導體晶圓106’的散射量度光譜。光譜獲取工具100’在參考半導體晶圓106之製造期間根據第一預定義量測協定且接近同一所選擇處理步驟收集散射量度光譜,光譜獲取工具100係在參考半導體晶圓106處收集基線光譜102。預測單元118將預測模型116應用於自生產半導體晶圓106’所收集之光譜以在該處理步驟處產生與生產半導體晶圓106’之任何靶之任何預定義參數相關聯的預測值,其中預定義參數為上文參看圖1A所述之預定義參數中的任一者。可為用於控制在半導體晶圓上製造半導體裝置之製程的任何已知之製程控制硬體及/或軟體的製程控制單元120經組配來藉由在生產半導體晶圓106’之製造期間將輸入提供至任何已知的半導體製造工具122(例如,微影工具、蝕刻工具、沈積工具等)以用於控制工具之操作,來根據習知技術控制生產半導體晶圓106’或後續生產半導體晶圓的製造,其中該輸入係根據使用預測值之預定義協定來判定。
圖1A及圖1B可因此用以藉由在預測模型116之ML訓練期間添加額外資料而改良諸如工具穩定性及工具再現性之量度,該資料表示相同的實體晶粒及晶圓的但來自多個工具(用於匹配之最佳化)及/或在多個時間點處(用於重複性之最佳化)的量測值。此等資料相對廉價地獲得,此係由於其不需要參數之參考值。一旦此等資料經獲取,則預測模型116可經最佳化,使得其可用以同時地預測參考值且具有規格中匹配及重複性。此係藉由補充預測模型116標準損耗函數來達成,此通常旨在藉由諸如α∙Lrepeatability + β∙LT2T 的反映額外量度之損耗項而最小化對參考值之匹配Loss = Lreference ,且使用此等項之超參數前置因子α及β來平衡準確性對生產率。下文之表1呈現本發明之實驗結果,該等實驗結果顯示在準確性保持安然無恙之同時在跨越不同「層」的重複性方面之改良(亦即,在不同類型之半導體製造步驟處之不同實體參數的不同量測情形)。
應用 準確性 1σ [A] 重複性 1σ [A]
邏輯 1.7→2.1 0.16→0.03
7.4→8.8 1.5→0.3
0.2→0.2 0.03→0.02
0.5→0.6 0.17→0.04
15.5→18.5 0.8→0.1
5.6→6.4 0.17→0.04
5.4→5.8 0.09→0.08
記憶體 1.4→1.4 0.02→0.01
1.0 →1.1 0.05→0.02
表1:最佳化準確性對重複性。
將瞭解,諸如重複性、T2T、晶圓平滑性等之自一致性優點均會隨著模型經正規化之程度增強而得到改良。此效應可用以發現正規化方案中之最佳點,其平衡準確性及此等其他效能量度。判定額外量度之改良的超參數可使用任何合適之技術自動地選擇,同時維持相同的準確性。
現參看圖2,圖2為根據本發明之實施例操作的,圖1A及圖1B之系統之示範性操作方法的簡化流程圖說明。在圖2之方法中,根據第一預定義量測協定收集多個參考半導體晶圓靶之基線光譜(步驟200)。根據第二預定義量測協定收集參考半導體晶圓靶之預定義參數的量測值(步驟202)。根據第一預定義量測協定在多個參考半導體晶圓靶上收集一或多組可變性光譜,使得可變性集合體現光譜可變性(步驟204)。藉由執行機器學習(ML)訓練預測模型以識別在所收集光譜與預定義參數之量測值之間的對應性,同時最小化與模型相關聯之損耗函數(步驟206)。根據第一預定義量測協定收集生產半導體晶圓靶之生產散射量度光譜(步驟208)。將預測模型應用於生產光譜以產生與生產半導體晶圓靶之任何預定義參數相關聯的預測值(步驟210)。藉由將輸入提供至半導體製造工具而控制生產半導體晶圓或後續生產半導體晶圓之製造,其中該輸入係根據使用預測值的預定義協定判定(步驟212)。 [取樣增強]
在本發明之實施例中,取樣增強可藉由以下操作來達成:將訊號
Figure 02_image011
設定為在相對小數目個半導體晶圓晶粒上量測之訊號集合,諸如每晶圓10-15個晶粒,且訓練預測模型116以預測諸如全晶圓圖之更多晶粒上的參數
Figure 02_image013
。藉由製程控制單元122所使用之參數可例如為晶圓模型參數,諸如晶圓圖之徑向描述中的晶圓均值或多項式參數。下文之表2呈現本發明的實驗結果,該等實驗結果顯示BEOL應用中之CMP厚度參數之晶圓均值的準確性。對於量測之基本事實為量測65個晶粒之全晶圓圖的自Nova Measuring Instruments Ltd.(雷荷弗特,以色列)市售之NOVA T600® 進階光學CD度量工具之RCWA解譯。如所示,13個晶粒之光譜可與ML一起使用以預測具有~2.0A-2.6A之適度誤差的65個晶粒之全晶圓圖的均值,該誤差非常接近於基準誤差,該基準誤差經選擇為已在用以收集光譜之相同的晶粒上計算之晶圓均值所獲得的誤差。
用以收集13個晶粒光譜之光譜以用於ML推斷的光學器件 在全晶圓圖之均值中的誤差[A] 在預測藉由OCD所量測之13個晶粒之均值時的基準誤差[A]
NOVA T600 (6個通道) 2.0 1.9
P及S正常反射率 (2個通道) 2.6 2.5
表2:用於取樣改良之初始結果。
更好的取樣及取樣之經改良準確性亦可藉由使取樣策略基於在當前層處及先前層處所執行之量測來達成,使得當先前層量測經使用時,在不同層中之稀疏取樣對於當前層的經改良全晶圓圖預測最佳化。此等方法可用以預測如上文所述之參數,或藉由使用自動編碼器之變體及其他深學習增加取樣方法來預測晶粒上的尚未量測之原始光譜自身。根據本發明之此實施例,總的晶圓資訊可藉由量測晶圓上之小晶粒集合來提供。 [藉由減少所量測之通道之數目的通量最佳化]
在本發明之實施例中,度量通量(TPT)可藉由以下操作最佳化:自含有許多量測通道之度量配方開始,使用普通實體模型化而獲得參考值,及搜尋小數目個通道誰的TPT為更好的(例如,僅兩個正常通道)且可將具有準確性及通量之良好平衡的解決方案「傳送」至哪一者。此效應在圖3A及圖3B中顯示,圖3A及圖3B呈現本發明之實驗結果,該等實驗結果表示對7個層及每層多個參數之22個控制元件的審視。在圖3A及圖3B中,參考值藉由實體模型化或諸如CDSEM及TEM之外部參考工具來提供。如圖3A及圖3B顯示,許多通道至單一通道之傳送為可能的,而不會導致對準確性(圖3A)及重複性(圖3B)之嚴重懲罰。此允許3x-4x之TPT增加。
根據本發明之此實施例,訓練單元114自動地選擇經約束具有使用者定義或以其他方式預定義之所要通量的最佳通道。 [處置有限量之參考資料的方法] [直接製程控制]
在本發明之實施例中,諸如可藉由在半導體製造中使用之進階製程控制(Advanced Process Control;APC)系統使用的工具旋鈕控制解決方案對於變化源之兩個層級來提供:處理晶圓內(批次)及晶圓至晶圓變化(批次至批次)。ML可用以藉由首先獲得在用於製程工具(例如,控制CMP時間或蝕刻溫度之旋鈕上的DOE)之一範圍之配方參數之上量測的一組訊號S而直接控制製程參數。預測模型116接著經訓練以學習及校準對工具旋鈕之OCD訊號。預測模型116可接著用以預測有效旋鈕設定,該有效旋鈕設定可用作對工具旋鈕之回饋。
給定具有兩個不同DOE層級之兩個群組的訊號,且DOE層級作為參考,訓練單元114使用ML來提取對旋鈕改變之光譜回應,因此提取對旋鈕的光譜敏感性。訓練單元114追蹤群組之間的任何光譜差異,其中群組之標稱值較佳為盡可能地接近的,使得旋鈕效應將為佔主導者。未來製程可接著根據與達成參數之所要目標結果相關的學習來調整,或根據調整製程及工具漂移來調整以防止可能的故障。此效應在圖4A及圖4B中顯示,圖4A及圖4B呈現本發明之實驗結果,該等實驗結果顯示拋光時間方面(圖4A)及預期剩餘厚度方面(圖4B)之DOE藉由使用IM光譜的預測模型116學習之方式。圖4A及圖4B顯示,不管DOE之晶圓層級均勻性,「有效旋鈕」俘獲WIW可變性(亦即,模型對於每一晶圓預測一範圍之有效旋鈕設定)。為了證實此有效旋鈕技術為有用的,其經呈現以對比對於如藉由物理演算法使用NOVA T600® -MMSR進階光學CD度量工具量測的目標剩餘厚度之外部基本事實,該工具自Nova Measuring Instruments Ltd.(雷荷弗特,以色列)市售。此模型可用以對具有WIW旋鈕之製程工具進行回饋。如圖4A中所示,所預測之有效拋光時間(「有效旋鈕」)與基本事實的經拋光層厚度之相關程度高,而圖2B顯示所預測之拋光厚度效應對參考值。 [資料擴充]
簡單的ML演算法並不含有對其為了描述之基礎物理學的先前知識。此意謂著,其成功係以資料之可用性為基礎,且更特定地,以參考資料之可用性為基礎。在本發明之實施例中,用以訓練預測模型116之資料之訓練集合的大小藉由生成模型而增大,該等生成模型為具有描述非常複雜之資料機率分佈之能力的一類別之ML方法。簡單的物理類比為統計物理學中之波爾茲曼權值或用於歐式量子理論的路徑積分形式主義。在兩種狀況下,描述系統自由度之行為的機率函數得以定義(例如,統計物理學中之自旋或量子電動力學中之電子)。此等機率函數具有顯式形式,且可用以產生所涉及之物理學的實現。確實,在許多物理學領域中,此等形式主義在大規模馬可夫鏈蒙特-卡羅模擬中使用以計算物質之物理性質。資料科學中之生成模型可為顯式或隱式的,其中在顯式模型中機率函數經明確地陳述(與波爾茲曼權值相同),而在隱式模型中演算法「學習」經驗資料集之統計且接著能夠產生具有相同統計之新的資料實例。隱式方法之實例包括變分自動編碼器及生成對抗網路。在本發明之實施例中,此等方法用以使參考資料集增大及多樣化,藉此最佳化訓練單元114之效能且實現先前資訊及約束在將基礎物理學反映至預測模型116中的機器學習模型之不同特徵之間的插入。 [解決製程穩健性]
為了解決製程穩健性,在本發明之實施例中,ML演算法與大資料系統之組合得以使用,該組合將產生配方,以及監視及控制該等配方,如下: •    配方訓練:使使用者能夠使用ML且盡可能自動地在大的資料資料庫內查詢訓練資料,該資料庫可橫跨幾百種光譜類型(「量測配方」)且在許多個月及可能年之時段內。 •    配方驗證:使用交叉驗證及盲測試方法產生關於配方品質之客戶回饋。 •    配方監視:使用訓練資料來產生監視防禦指數連同用於此等指數之規格。此等指數接著經內聯監視,以諸如藉由調適SEMI標準SPC規則而對配方之準確性何時降級作旗標。 •    配方更新:一旦監視器對度量配方作旗標以用於修復,則自動再訓練序列經起始,且新的配方連同其驗證結果經自動地提出。
此實施例描繪於圖5A中,其中外圓表示參考工具,其可為高端通道豐富光學度量工具,如NOVA T600® -MMSR,或非光學參考,諸如XPS、SEM或TEM。通常,此等工具具有亦藉由較低TPT及非平凡生產力挑戰反映之較高COO,該等挑戰如破壞性、工具匹配、重複性等。準確而言,此等工具藉由高資訊含量表徵(例如,高解析度成像工具或多通道光學工具)。內圓表示快速且緊湊之OCD工具,如自Nova Measuring Instruments Ltd.(雷荷弗特,以色列)市售之NOVA i550® 正入射通道整合式度量(IM)工具,其具有高的通量及以所需取樣率(晶粒之數目)內聯量測每一晶圓之能力,連同內聯執行之ML演算法,其輸入為
Figure 02_image051
且輸出對於
Figure 02_image053
的預測。 [系統架構]
圖5B顯示用於實施本文所述之本發明之一或多個實施例的架構,其中電腦叢集包括網路伺服器層(例如,NodeJS™)及大的資料層(例如,Hadoop™叢集,自Apache Software Foundation(森林山,馬裡蘭州)市售)   。大的資料層允許以可縮放及分佈式方式儲存及處理資料。網路伺服器層允許使用者在控制系統中定義處理工作,且檢視控制系統報告及執行狀態。兩個層係使用微服務方法來設計,以達成可縮放性、高的可用性及負載平衡。訊息佇列用以在服務之間傳達以減少緊密耦合。各種資料處理管線用以改良大的資料層之效能。 [資料大小及長期製程穩健性]
具有大的資料系統允許增加量之可利用訓練資料以用於訓練預測模型116,以及允許即時地改良及更新預測模型116,此情形移除了歸因於資料管理耗用之準確性限制且確保長期製程穩健性。在圖6A及圖6B中顯示如應用於FEOL層的預測模型116之經改良準確性效能的兩個實例。此處,參考為在NOVA T600® -MMSR上開發之實體模型,其中預測模型116使用兩個正常通道用於推斷。曲線圖顯示定義為跨越~2000個晶粒之盲測試結果之1
Figure 02_image055
的預測模型116之準確性取決於用以訓練模型的晶圓之數目的方式,其中每一晶圓具有~15個晶粒。如圖6A顯示,準確性可在將訓練大小自5個晶圓增加至200個時改良45% (13A → 6A)。在此大樣本處飽和為非典型的,且常常在數十個位點上看見良好結果,只要DOE存在。圖6B顯示不同情形,其中預測模型116之準確性強烈地取決於哪種資料用以訓練其,且不僅取決於使用多少資料。特定地,圖6B顯示,當用在時間上最接近於盲測試晶圓之~20個晶圓訓練時(如藉由曲線600所示),模型彙聚至同一準確性層級,如當其用最遠離盲測試之100個晶圓訓練時(如藉由曲線602所示)。在此等曲線中間有基準曲線(如藉由曲線604所示),該基準曲線描述資料之隨機混洗以便「失去」對時間重要性的記憶。此指示,晶圓之類型為重要的,且本申請案將得益於當前實施例之大的資料系統允許的ML配方之動態更新。
上文所述之配方更新步驟經自動地執行,如為用以執行再訓練之資料的選擇。此選擇可為使用所有可利用資料,或可基於考慮用於每一晶圓之監視旗標的值及/或給定晶圓與正處理之其他晶圓在時間或在製程空間上之接近性的邏輯。舉例而言,不同權值
Figure 02_image057
可在可用於再訓練之資料中對於每一晶圓設定。權值
Figure 02_image057
可取決於該晶圓之旗標值、光譜自身,及/或其他後設資料特性,如晶圓及批次ID。此顯示於圖7中。
本文所述之本發明的任何態樣可根據習知技術以在非暫時性電腦可讀媒體中體現之電腦硬體及/或電腦軟體來實施,電腦硬體包括根據習知技術互操作的一或多個電腦處理器、電腦記憶體、I/O裝置,及網路介面。
應瞭解,如本文所使用之術語「處理器」或「裝置」意欲包括任何處理裝置,諸如包括CPU(central processing unit;中央處理單元)及/或其他處理電路之處理裝置。亦應理解,術語「處理器」或「裝置」可指代一個以上處理裝置,且與處理裝置相關聯之各種元件可藉由其他處理裝置共用。
如本文所使用之術語「記憶體」意欲包括與處理器或CPU相關聯之記憶體,諸如RAM、ROM、固定記憶體裝置(例如,硬驅動機)、抽取式記憶體裝置(例如,磁片)、快閃記憶體等。此記憶體可視為電腦可讀儲存媒體。
另外,如本文所使用之短語「輸入/輸出裝置」或「I/O裝置」意欲包括例如用於將資料鍵入至處理單元之一或多個輸入裝置(例如,鍵盤、滑鼠、掃描儀等),及/或用於呈現與處理單元相關聯之結果的一或多個輸出裝置(例如,揚聲器、顯示器、印表機等)。
本發明之實施例可包括系統、方法,及/或電腦程式產品。電腦程式產品可包括(多個)電腦可讀儲存媒體,該(等)電腦可讀儲存媒體上具有電腦可讀程式指令以用於使處理器實行本發明之態樣。
電腦可讀儲存媒體可為可保持且儲存指令以供指令執行裝置使用之有形裝置。電腦可讀儲存媒體可為例如但不限於:電子儲存裝置、磁性儲存裝置、光學儲存裝置、電磁儲存裝置、半導體儲存裝置,或前述各項之任何合適的組合。電腦可讀儲存媒體之更多特定實例的非詳盡清單包括以下各者:攜帶型電腦磁片、硬碟、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、可抹除可程式化唯讀記憶體(erasable programmable read-only memory;EPROM或快閃記憶體)、靜態隨機存取記憶體(static random access memory;SRAM)、攜帶型緊密光碟唯讀記憶體(portable compact disc read-only memory;CD-ROM)、數位通用磁碟(digital versatile disk;DVD)、記憶棒、軟性磁碟、諸如打孔卡片或凹槽中具有記錄於上之指令的凸起結構之機械編碼裝置,及前述各項之任何合適的組合。如本文所使用,電腦可讀儲存媒體本身並不解釋為暫時性訊號,諸如無線電波或其他自由傳播之電磁波、傳播通過波導或其他傳輸介質之電磁波(例如,穿過光纖纜線之光脈衝),或經由導線所傳輸的電訊號。
本文所述之電腦可讀程式指令可自電腦可讀儲存媒體下載至各別計算/處理裝置,或經由網路下載至外部電腦或外部儲存裝置,該網路例如網際網路、區域網路、廣域網路及/或無線網路。網路可包含銅傳輸纜線、光學傳輸纖維、無線傳輸、路由器、防火牆、交換器、閘道器電腦及/或邊緣伺服器。在每一計算/處理裝置中之網路配接器卡或網路介面自網路接收電腦可讀程式指令,且轉遞電腦可讀程式指令以用於儲存於各別計算/處理裝置內的電腦可讀儲存媒體中。
用於實行本發明之操作的電腦可讀程式指令可為組合程式指令、指令集架構(instruction-set-architecture;ISA)指令、機器指令、機器相依指令、微碼、韌體指令、狀態設定資料,或以一或多種程式化語言之任何組合撰寫的原始碼或目標碼,該一或多種程式化語言包括諸如Java、Smalltalk、C++或其類似者之物件導向程式化語言及習知程序程式化語言,諸如「C」程式化語言或類似程式化語言。電腦可讀程式指令可完全在使用者電腦上執行,部分地在使用者電腦上執行,作為獨立套裝軟體來執行,部分地在使用者電腦上且部分地在遠端電腦上執行,或完全在遠端電腦或伺服器上執行。在後一情形中,遠端電腦可經由包括區域網路(local area network;LAN)或廣域網路(wide area network;WAN)的任何類型之網路連接至使用者電腦,或連接可對外部電腦進行(例如,使用網際網路服務提供者經由網際網路)。在一些實施例中,包括例如可程式化邏輯電路、場可程式化閘陣列(field-programmable gate array;FPGA)或可程式化邏輯陣列(programmable logic array;PLA)之電子電路可藉由利用電腦可讀程式指令之狀態資訊個人化電子電路而執行電腦可讀程式指令,以便執行本發明之態樣。
本發明之態樣在本文中係參考根據本發明之實施例的方法、設備(系統)及電腦程式產品之流程圖說明及/或方塊圖來描述。將理解,流程圖說明及/或方塊圖之每一區塊,及流程圖說明及/或方塊圖中之區塊的組合可藉由電腦可讀程式指令來實施。
此等電腦可讀程式指令可提供至通用電腦、專用電腦或其他可程式化資料處理設備之處理器以產生機器,使得經由電腦或其他可程式化資料處理設備之處理器而執行之指令產生用於實施在該(等)流程圖及/或方塊圖區塊中所指定之功能/動作的構件。此等電腦可讀程式指令亦可儲存於電腦可讀儲存媒體中,其可指引電腦、可程式化資料處理設備及/或其他裝置以特定方式起作用,使得儲存有指令之電腦可讀儲存媒體包含製造物品,該製造物品包括實施在該(等)流程圖及/或方塊圖區塊中所指定之功能/動作之態樣的指令。
電腦可讀程式指令亦可載入至電腦、其他可程式化資料處理設備或其他裝置上,以使一系列操作步驟在電腦、其他可程式化設備或其他裝置上執行以產生電腦實施處理序,使得在電腦、其他可程式化設備或其他裝置上執行之指令實施在該(等)流程圖及/或方塊圖區塊中所指定的功能/動作。
諸圖中之流程圖說明及方塊圖說明根據本發明之各種實施例的系統、方法及電腦程式產品之可能實行方案的架構、功能性及操作。就此而言,流程圖說明或方塊圖中之每一區塊可表示模組、片段,或電腦指令之部分,其包含用於實施所指定邏輯功能的一或多個可執行電腦指令。在一些替代性實行方案中,區塊中所提到之功能可不按諸圖中所提到的次序發生。舉例而言,接連顯示之兩個區塊可實際上實質上同時執行,或該等區塊可有時以相反次序執行,此取決於所涉及之功能性。亦將注意,流程圖說明及方塊圖之每一區塊,及此等區塊之組合,可藉由執行所指定功能或動作的專用基於硬體及/或基於軟體的系統來實施。
已為說明之目的而呈現了本發明之各種實施例的描述,但其不欲為詳盡的或限於所揭示的實施例。舉例而言,本文所述之系統及方法適用於半導體晶圓上的任何類型之結構。在不脫離所描述實施例之範疇及精神的情況下,許多修改及變化將對於一般熟習此項技術者顯而易見。
100:光譜獲取工具 100’:光譜獲取工具 102:散射量度光譜/基線光譜 104:靶 106:參考半導體晶圓 106’:參考半導體晶圓/生產半導體晶圓 108:參考度量工具 110:量測值 112:散射量度光譜/可變性光譜 114:訓練單元 116:預測模型 118:預測單元 120:製程控制單元 122:半導體製造工具 200:步驟 202:步驟 204:步驟 206:步驟 208:步驟 210:步驟 212:步驟 600:曲線 602:曲線 604:曲線
自結合所附圖式所進行之以下詳細描述,本發明之態樣將更全面地理解及瞭解,其中:
圖1A及圖1B合起來為根據本發明之實施例建構及操作的用於半導體度量及製程控制之系統的簡化概念說明;
圖2為根據本發明之各種實施例操作的,圖1A及圖1B之系統之示範性操作方法的簡化流程圖說明;
圖3A及圖3B為呈現本發明之實驗結果的簡化圖形說明,該等實驗結果表示對多個層及每層之多個參數的控制元件之審視;
圖4A及圖4B為呈現本發明之實驗結果的簡化圖形說明,該等實驗結果顯示拋光時間方面及預期剩餘厚度方面之DOE藉由本發明的預測模型學習之方式;
圖5A及圖5B為用於實施本發明之實施例的系統架構之簡化概念說明;
圖6A及圖6B為呈現本發明之實驗結果的簡化圖形說明,該等實驗結果顯示本發明之預測模型之經改良準確性效能的實例;及
圖7為呈現與訓練本發明之預測模型相關的本發明之實驗結果的簡化圖形說明。
200:步驟
202:步驟
204:步驟
206:步驟
208:步驟
210:步驟
212:步驟

Claims (16)

  1. 一種半導體度量方法,其包含以下步驟: 使用光譜獲取工具且根據第一量測協定收集在第一組半導體晶圓靶上之光譜的基線集; 使用參考度量工具且根據第二量測協定收集前述第一組半導體晶圓靶之預定義參數的值; 對於光譜可變性之一或多個預定義源中的每一者,使用前述光譜獲取工具且根據前述第一量測協定在對應於前述第一組半導體晶圓靶的第二組半導體晶圓靶上收集光譜之可變性集合,其中光譜之前述可變性集合體現前述光譜可變性;及 使用光譜之所收集的前述集合及參數值來使用機器學習訓練預測模型,且最小化與前述預測模型相關聯之損耗函數, 其中前述預測模型經組配來用以使用第三組半導體晶圓靶之生產光譜對於前述預定義參數中之任一者預測值,其中前述生產光譜係使用前述光譜獲取工具且根據前述第一量測協定來收集,且 其中前述損耗函數係藉由對於光譜可變性之前述一或多個預定義源中之每一者併有表示前述光譜可變性的項來最小化。
  2. 如請求項1所記載之半導體度量方法,其中光譜可變性之前述一或多個預定義源包括工具可變性。
  3. 如請求項2所記載之半導體度量方法,其中前述收集前述可變性光譜包含使用前述光譜獲取工具之多個且等同之工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
  4. 如請求項1所記載之半導體度量方法,其中光譜可變性之前述一或多個預定義源包括量測重複性。
  5. 如請求項4所記載之半導體度量方法,其中前述收集前述可變性光譜包含在多個不同的時間點處使用前述光譜獲取工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
  6. 如請求項1所記載之半導體度量方法,其中前述第一量測協定及前述第二量測協定在通道之數目、照明角度、靶、及自同一靶所獲取之訊號中的任一者方面不同。
  7. 如請求項1所記載之半導體度量方法,其進一步包含: 在生產半導體晶圓之製造期間收集生產散射量度光譜;及 使用前述預測模型基於前述生產散射量度光譜對於前述預定義參數中之任一者產生預測值。
  8. 如請求項7所記載之半導體度量方法,其進一步包含將輸入提供至半導體製造工具,以用於在前述生產半導體晶圓之前述製造期間控制前述半導體製造工具之操作。
  9. 一種半導體度量系統,其包含: 光譜獲取工具,其經組配來 根據第一量測協定收集在第一組半導體晶圓靶上之散射量度光譜的基線集,及 對於光譜可變性之一或多個預定義源中的每一者,根據前述第一量測協定收集在對應於前述第一組半導體晶圓靶的第二組半導體晶圓靶上之散射量度光譜的可變性集合,其中光譜之前述可變性集合體現前述光譜可變性; 參考度量工具,其經組配來根據第二量測協定收集前述第一組半導體晶圓靶之預定義參數的值;及 訓練單元,其經組配來使用光譜之所收集的前述集合及參數值來使用機器學習訓練預測模型,且最小化與前述預測模型相關聯之損耗函數, 其中前述預測模型經組配來用以使用第三組半導體晶圓靶之生產光譜對於前述預定義參數中之任一者預測值,其中前述生產光譜係使用前述光譜獲取工具且根據前述第一量測協定來收集,且 其中前述損耗函數係藉由對於光譜可變性之前述一或多個預定義源中之每一者併有表示前述光譜可變性的項來最小化。
  10. 如請求項9所記載之半導體度量系統,其中光譜可變性之前述一或多個預定義源包括工具可變性。
  11. 如請求項10所記載之半導體度量系統,其中前述光譜獲取工具經組配來使用前述光譜獲取工具之多個且等同之工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
  12. 如請求項9所記載之半導體度量系統,其中光譜可變性之前述一或多個預定義源包括量測重複性。
  13. 如請求項12所記載之半導體度量系統,其中前述光譜獲取工具經組配來在多個不同的時間點處使用前述光譜獲取工具自前述半導體晶圓靶中之所選擇一者收集前述可變性光譜。
  14. 如請求項9所記載之半導體度量系統,其中前述第一量測協定及前述第二量測協定在通道之數目、照明角度、靶、及自同一靶所獲取之訊號中的任一者方面不同。
  15. 如請求項9所記載之半導體度量系統,其中前述光譜獲取工具經組配來在生產半導體晶圓之製造期間收集生產散射量度光譜,且進一步包含預測單元,前述預測單元經組配來使用前述預測模型基於前述生產散射量度光譜對於前述預定義參數中之任一者產生預測值。
  16. 如請求項15所記載之半導體度量系統,其進一步包含製程控制單元,前述製程控制單元經組配來基於前述預測值將輸入提供至半導體製造工具,以用於在前述生產半導體晶圓之前述製造期間控制前述半導體製造工具之操作。
TW108145140A 2018-06-14 2019-12-10 半導體製造的度量和製程控制 TWI714388B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862684817P 2018-06-14 2018-06-14
PCT/IB2019/054994 WO2019239380A1 (en) 2018-06-14 2019-06-14 Metrology and process control for semiconductor manufacturing
WOPCT/IB2019/054994 2019-06-14

Publications (2)

Publication Number Publication Date
TW202045911A true TW202045911A (zh) 2020-12-16
TWI714388B TWI714388B (zh) 2020-12-21

Family

ID=68843046

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111108304A TWI830165B (zh) 2018-06-14 2019-12-10 大批量製造半導體製程控制度量系統
TW109140642A TWI760929B (zh) 2018-06-14 2019-12-10 半導體度量方法及半導體度量系統
TW108145140A TWI714388B (zh) 2018-06-14 2019-12-10 半導體製造的度量和製程控制

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW111108304A TWI830165B (zh) 2018-06-14 2019-12-10 大批量製造半導體製程控制度量系統
TW109140642A TWI760929B (zh) 2018-06-14 2019-12-10 半導體度量方法及半導體度量系統

Country Status (7)

Country Link
US (3) US11093840B2 (zh)
JP (3) JP6974635B2 (zh)
KR (3) KR20230144122A (zh)
CN (2) CN111566566B (zh)
IL (1) IL279273B (zh)
TW (3) TWI830165B (zh)
WO (1) WO2019239380A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
US20230023634A1 (en) * 2020-01-06 2023-01-26 Nova Ltd. Combining physical modeling and macine learning
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
US11209737B1 (en) 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
IL308126A (en) * 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
KR102504761B1 (ko) 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법
TW202405371A (zh) * 2022-04-07 2024-02-01 以色列商諾威股份有限公司 用於光學臨界尺寸量測的方法、用於光學臨界尺寸量測的系統以及非暫時性機器可存取儲存媒體

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100708423B1 (ko) * 1999-09-08 2007-04-18 어드밴스드 마이크로 디바이시즈, 인코포레이티드 발광 스펙트럼의 주성분 분석을 이용하여 식각 종료점을 결정하는 방법 및 이를 포함하는 웨이퍼 식각 방법
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
WO2008020899A2 (en) * 2006-04-17 2008-02-21 Cdm Optics, Inc. Arrayed imaging systems and associated methods
JP2008171911A (ja) * 2007-01-10 2008-07-24 Tokyo Electron Ltd ラフネス評価方法及びシステム
US8520186B2 (en) * 2009-08-25 2013-08-27 Cymer, Llc Active spectral control of optical source
US9052709B2 (en) 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
US9127927B2 (en) * 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
WO2013124131A2 (en) * 2012-02-21 2013-08-29 Asml Netherlands B.V. Inspection apparatus and method
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
JP6339333B2 (ja) * 2013-08-27 2018-06-06 芝浦メカトロニクス株式会社 ドライエッチング装置およびエッチング量測定方法
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
WO2016086056A1 (en) * 2014-11-25 2016-06-02 Kla-Tencor Corporation Analyzing and utilizing landscapes
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
CN107438795A (zh) * 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
KR102353145B1 (ko) * 2015-04-10 2022-01-18 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
WO2016177548A1 (en) * 2015-05-07 2016-11-10 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10395356B2 (en) * 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
CN109313393A (zh) * 2016-06-09 2019-02-05 Asml荷兰有限公司 计量设备

Also Published As

Publication number Publication date
JP2021521654A (ja) 2021-08-26
CN111566566B (zh) 2022-04-08
US11093840B2 (en) 2021-08-17
IL279273A (en) 2021-01-31
JP6974635B2 (ja) 2021-12-01
IL279273B (en) 2022-02-01
US20210150387A1 (en) 2021-05-20
TWI830165B (zh) 2024-01-21
KR102586405B1 (ko) 2023-10-10
TWI714388B (zh) 2020-12-21
CN114997408A (zh) 2022-09-02
JP2023055776A (ja) 2023-04-18
JP7218412B2 (ja) 2023-02-06
KR20210033945A (ko) 2021-03-29
TWI760929B (zh) 2022-04-11
US20240078450A1 (en) 2024-03-07
TW202127009A (zh) 2021-07-16
TW202244486A (zh) 2022-11-16
WO2019239380A1 (en) 2019-12-19
US11763181B2 (en) 2023-09-19
KR20230144122A (ko) 2023-10-13
KR102349299B1 (ko) 2022-01-11
JP2022020745A (ja) 2022-02-01
CN111566566A (zh) 2020-08-21
KR20220008384A (ko) 2022-01-20
US20220036218A1 (en) 2022-02-03

Similar Documents

Publication Publication Date Title
TWI714388B (zh) 半導體製造的度量和製程控制
TWI794451B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
TWI672599B (zh) 探索裝置及探索方法
KR102376824B1 (ko) 제조 공정들을 학습하고 제조 공정들을 최적화하기 위한 시스템 및 방법
US10627788B2 (en) Retrieval apparatus and retrieval method for semiconductor device processing
KR20210118243A (ko) 센서 계측 데이터 통합
JP2013258338A (ja) 電子デバイスの生産管理装置、生産管理システム及び生産管理プログラム
US20220198333A1 (en) Recipe optimization through machine learning
JP7268156B2 (ja) 大量生産工程監視用に疎結合された検査及び計測システム
TW202240735A (zh) 用於半導體製造設備之處理控制的自適應模型訓練
Bringoltz et al. Machine Learning and Big Data in optical CD metrology for process control
Yang et al. Application of machine learning-based metrology for writer main pole CD measurement by CDSEM
TWI776335B (zh) 控制半導體裝置製造的方法及系統
US20230054159A1 (en) Method and device for predicting defects
TWI838361B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
US20240037442A1 (en) Generating indications of learning of models for semiconductor processing