CN111566566A - 半导体制造的度量和制程控制 - Google Patents

半导体制造的度量和制程控制 Download PDF

Info

Publication number
CN111566566A
CN111566566A CN201980007561.5A CN201980007561A CN111566566A CN 111566566 A CN111566566 A CN 111566566A CN 201980007561 A CN201980007561 A CN 201980007561A CN 111566566 A CN111566566 A CN 111566566A
Authority
CN
China
Prior art keywords
metrology
variability
semiconductor
spectrum
tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980007561.5A
Other languages
English (en)
Other versions
CN111566566B (zh
Inventor
埃坦·罗特施泰因
伊尔雅·拉比诺维奇
诺姆·塔尔
巴瑞克·布兰欧利兹
金涌河
艾瑞儿·布罗伊特曼
奥代德·科亨
伊伦·拉比诺维奇
塔尔·扎哈罗尼
珊·尤戈夫
丹尼尔·堪德尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nova Ltd
Original Assignee
Nova Measuring Instruments Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nova Measuring Instruments Ltd filed Critical Nova Measuring Instruments Ltd
Priority to CN202210283417.8A priority Critical patent/CN114997408A/zh
Publication of CN111566566A publication Critical patent/CN111566566A/zh
Application granted granted Critical
Publication of CN111566566B publication Critical patent/CN111566566B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • G03F7/706841Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computational Linguistics (AREA)
  • Health & Medical Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一种半导体度量系统包括:光谱获取工具,用于使用第一量测协议收集在第一半导体晶圆靶上的基线散射量度光谱,及对于光谱可变性的各种源,收集在第二半导体晶圆靶上的散射量度光谱的可变性集合,前述可变性集合体现前述光谱可变性;参考度量工具,用于使用第二量测协议收集前述第一半导体晶圆靶的参数值;及训练单元,用于使用前述所收集光谱及值来使用机器学习训练预测模型,且最小化并入光谱可变性项的相关联的损耗函数,前述预测模型用于基于生产半导体晶圆靶的光谱对于前述生产半导体晶圆靶预测值。

Description

半导体制造的度量和制程控制
背景技术
当今之半导体制造过程的收缩尺寸及日益增长之复杂性正将此等制程之度量驱动至其极限,且使在藉由严格之制程极限所要求的规格内保持度量工具为非常困难的。涉及度量结果之准确性、制程稳健性、精度、匹配及其他不确定性非常难以用当前方法达成。另外,给定制程控制要求,如通量(throughput;TPT)及晶圆内(within-wafer;WiW)取样率之限制的量度为尤其有挑战性的。最终,获得外部参考数据来训练及/或测试基于模型之度量解决方案为愈来愈具有挑战性的。
当今,此等挑战主要藉由优化「移动及量测」(move andmeasure;MAM)时间、工具稳定性及工具再现性之硬件改良来减轻,此又优化TPT及取样率。制程稳健性当前藉由以下操作来处置:在配方(亦即,量测协议)产生时间搜寻将尽可能稳定之度量配方,该等度量配方可时常以效能为代价。
发明内容
本发明在其实施例中呈现基于监督式学习之机器学习(machine learning;ML)方法。特定地,给定光学信号之数据集Si,对于每一量测样本i(例如,对于一组半导体晶圆上的每一晶粒)及对于参数之参考值Pi,ML用以建立模型以用于对于任何有待量测的信号Snew预测Ppredicted。模型复杂性可变化,且模型之选择取决于用以训练模型之数据集的类型及大小、S对P之固有敏感性,及与P相关之参考度量中之噪声的类型及幅度。
在本发明之一态样中,提供一种半导体度量方法,其包括:使用光谱获取工具且根据第一量测协议收集在第一组半导体晶圆靶上的光谱的基线集;使用光学度量工具且根据第二量测协议收集前述第一组半导体晶圆靶的预定义参数的值;对于光谱可变性的一或多个预定义源中的每一者,使用前述光谱获取工具且根据前述第一量测协议在对应于前述第一组半导体晶圆靶的第二组半导体晶圆靶上收集光谱的可变性集合,其中光谱的前述可变性集合体现前述光谱可变性;及使用光谱的所收集的前述集合及参数值来使用机器学习训练预测模型,且最小化与前述预测模型相关联的损耗函数,其中前述预测模型被配置为用以使用第三组半导体晶圆靶的生产光谱对于前述预定义参数中的任一者预测值,其中前述生产光谱使用前述光谱获取工具且根据前述第一量测协议来收集,且其中前述损耗函数藉由对于光谱可变性的前述一或多个预定义源中的每一者并入表示前述光谱可变性的项来最小化。
在本发明之另一态样中,光谱可变性的前述预定义源包括工具可变性。
在本发明之另一态样中,前述收集前述可变性光谱包括使用前述光谱获取工具的多个且等同的工具自前述半导体晶圆靶中的所选择一者收集前述可变性光谱。
在本发明之另一态样中,光谱可变性的前述预定义源包括量测重复性。
在本发明之另一态样中,前述收集前述可变性光谱包括在多个不同的时间点处使用前述光谱获取工具自前述半导体晶圆靶中的所选择一者收集前述可变性光谱。
在本发明之另一态样中,前述第一量测协定及前述第二量测协议在通道的数目、照明角度、靶,及自同一靶所获取的信号中的任一者方面不同。
在本发明之另一态样中,前述方法进一步包括:在生产半导体晶圆的制造期间收集生产散射量度光谱;及使用前述预测模型基于前述生产散射量度光谱对于前述预定义参数中的任一者产生预测值。
在本发明之另一态样中,其进一步包括将输入提供至半导体制造工具,以用于在前述生产半导体晶圆的前述制造期间控制前述半导体制造工具的操作。
在本发明之另一态样中,提供一种半导体度量系统,其包括:光谱获取工具,其被配置为根据第一量测协议收集在第一组半导体晶圆靶上的散射量度光谱的基线集,及对于光谱可变性的一或多个预定义源中的每一者,根据前述第一量测协议收集在对应于前述第一组半导体晶圆靶的第二组半导体晶圆靶上的散射量度光谱的可变性集合,其中光谱的前述可变性集合体现前述光谱可变性;光学度量工具,其被配置为根据第二量测协议收集前述第一组半导体晶圆靶的预定义参数的值;及训练单元,其被配置为使用光谱的所收集的集合及参数值来使用机器学习训练预测模型,且最小化与前述预测模型相关联的损耗函数,其中前述预测模型被配置为用以使用第三组半导体晶圆靶的生产光谱对于前述预定义参数中的任一者预测值,其中前述生产光谱使用前述光谱获取工具且根据前述第一量测协议来收集,且其中前述损耗函数藉由对于光谱可变性的前述一或多个预定义源中的每一者并入表示前述光谱可变性的项来最小化。
在本发明之另一态样中,光谱可变性的前述预定义源包括工具可变性。
在本发明之另一态样中,前述光谱获取工具被配置为使用前述光谱获取工具的多个且等同的工具自前述半导体晶圆靶中的所选择一者收集前述可变性光谱。
在本发明之另一态样中,光谱可变性的前述预定义源包括量测重复性。
在本发明之另一态样中,前述光谱获取工具被配置为在多个不同的时间点处使用前述光谱获取工具自前述半导体晶圆靶中的所选择一者收集前述可变性光谱。
在本发明之另一态样中,前述第一量测协定及前述第二量测协议在通道的数目、照明角度、靶,及自同一靶所获取的信号中的任一者方面不同。
在本发明之另一态样中,前述光谱获取工具被配置为在生产半导体晶圆的制造期间收集生产散射量度光谱,且进一步包括预测单元,前述预测单元被配置为使用前述预测模型基于前述生产散射量度光谱对于前述预定义参数中的任一者产生预测值。
在本发明之另一态样中,前述系统进一步包括制程控制单元,前述制程控制单元被配置为基于前述预测值将输入提供至半导体制造工具,以用于在前述生产半导体晶圆的前述制造期间控制前述半导体制造工具的操作。
附图说明
自结合所附图式所进行之以下详细描述,本发明之态样将更全面地理解及了解,其中:
图1A及图1B合起来为根据本发明之实施例建构及操作的用于半导体度量及制程控制之系统的简化概念说明;
图2为根据本发明之各种实施例操作的,图1A及图1B之系统之示范性操作方法的简化流程图说明;
图3A及图3B为呈现本发明之实验结果的简化图形说明,该等实验结果表示对多个层及每层之多个参数的控制组件之审视;
图4A及图4B为呈现本发明之实验结果的简化图形说明,该等实验结果显示抛光时间方面及预期剩余厚度方面之DOE藉由本发明的预测模型学习之方式;
图5A及图5B为用于实施本发明之实施例的系统架构之简化概念说明;
图6A及图6B为呈现本发明之实验结果的简化图形说明,该等实验结果显示本发明之预测模型之经改良准确性效能的实例;及
图7为呈现与训练本发明之预测模型相关的本发明之实验结果的简化图形说明。
具体实施方式
现参看图1A及图1B,其合起来为根据本发明之实施例建构及操作的半导体度量系统之简化概念说明。在图1A中,光谱获取工具100用以根据习知技术收集一或多个参考半导体晶圆106上的多个靶(例如,结构、晶粒)104的散射量度光谱102。光谱获取工具100在参考半导体晶圆106的制造期间,诸如恰在给定蚀刻步骤之完成之后,根据接近所选择处理步骤之第一预定义量测协议收集散射量度光谱102,现称为基线光谱102。光谱获取工具100可为能够收集半导体晶圆靶之散射量度光谱的任何已知类型之工具,诸如光谱椭圆偏光仪(Spectral Ellipsometer;SE)、光谱反射计(Spectral Reflectometer;SR)、偏振光谱反射计,或任何其他光学临界尺寸(Optical Critical Dimension;OCD)度量工具。藉由光谱获取工具100所使用之第一预定义量测协议较佳地并入来自两个或两个以上信息信道之散射量度量测值。
诸如临界尺寸扫描电子显微镜(Critical Dimension Scanning ElectronMicroscope;CD-SEM)、原子力显微镜(Atomic Force Microscope;AFM)、横截面穿隧电子显微镜(Tunneling Electron Microscope;TEM)、电度量工具、临界尺寸原子力显微镜(Critical Dimension Atomic Force Microscope;CD-AFM)、X-RAY度量工具或光学度量工具之参考度量工具108用以在参考半导体晶圆106的制造期间根据接近同一所选择处理步骤之第二预定义量测协议,根据习知技术收集参考半导体晶圆106上的靶104的预定义参数的量测值110,光谱获取工具100系在参考半导体晶圆106处收集基线光谱102。预定义参数可为半导体晶圆的任何类型的已知参数,诸如与其物理及化学特性、半导体晶圆结构之材料性质、电性质及几何性质相关。
藉由光谱获取工具100所使用之第一量测协议及藉由参考度量工具108所使用之第二量测协议较佳地在以下方面中的一或多者中彼此不同:
·不同的信息信道,诸如其中一协议使用来自多个照明通道且处于各种照明角度之多个信号,而另一协议仅使用在法向地照明给定样本的同时所获取的信号;
·同一样本内之不同位置,诸如其中一协议使用自给定样本之区域A内的点状靶位置所获取的信号,而另一协议使用自同一样本之区域B内的点状靶位置所获取的信号;
·不同的信号组合,诸如其中一协议使用自多个点状靶位置经由相同的照明通道所获取之多个重迭的信号,而另一协议使用自单一点状靶位置所获取之单一信号。
除了基线光谱102之外,光谱获取工具100亦在参考半导体晶圆106的制造期间根据第一预定义量测协议且接近同一所选择处理步骤来收集一或多个参考半导体晶圆106’上的散射量度光谱112的一或多个集合,散射量度光谱112现称为可变性光谱112,参考半导体晶圆106’可为参考半导体晶圆106或不同的半导体晶圆,光谱获取工具100系在参考半导体晶圆106处收集基线光谱102。光谱获取工具100收集与光谱可变性的预定义源相关联的每一组可变性光谱112,使得该组可变性光谱112体现光谱可变性。举例而言,在光谱可变性的预定义源与工具可变性相关的情况下,光谱获取工具100藉由使用多个等同之工具(亦即,来自同一制造商之同一型号)量测某一样本而收集一组可变性光谱112(例如,收集特定晶圆上的特定晶粒内的结构的光谱),该等工具全部使用同一量测协议。类似地,在光谱可变性的预定义源与量测重复性相关的情况下,光谱获取工具100藉由在不同的时间点处量测某一样本而收集一组可变性光谱112(例如,收集在特定晶圆上的特定晶粒内的结构的光谱)。光谱获取工具100收集与光谱可变性的任何数目个预定义源相关联的任何数目组可变性光谱112。
训练单元114被配置为藉由执行机器学习(ML)以识别在藉由光谱获取工具100所收集之散射量度光谱(亦即,基线光谱102及可变性光谱112之各种集合)与参考半导体晶圆106上的靶104的预定义参数之量测值110之间的对应性而训练预测模型116,同时最小化与模型相关联的损耗函数。预测模型116被配置为用以在大批量制造(HVM)过程期间诸如使用半导体晶圆靶之散射量度光谱对于预定义参数中的任一者预测值,其中光谱系根据第一量测协议使用光谱获取工具来收集。示范性损耗函数经表示为
Figure BDA0002572883860000071
其中
Figure BDA0002572883860000072
Figure BDA0002572883860000074
Figure BDA0002572883860000073
此处,
·Lossaccuracy为考虑基线光谱102及量测值110的损耗项
·X-藉由光谱获取工具所收集的光谱
·y-为使用参考度量工具之度量之结果的预定义参数之值
·
Figure BDA0002572883860000081
-使用光谱X提供y之预测的预测模型
·总和均指代对于独特实体样本(例如,晶圆上的不同晶粒)的总和
·
Figure BDA0002572883860000082
something指代每样本(例如,晶圆上的特定晶粒)计算之预测值
Figure BDA0002572883860000083
跨越在该样本上进行之该组量测的方差,以反映可变性的特定源。举例而言,
Figure BDA0002572883860000084
repetitions表示预测值
Figure BDA0002572883860000085
跨越在同一晶粒上进行之10次重复量测的方差,其中可变性源为量测重复性。
在以上损耗函数实例中,Lossaccuracy中之2的幂可用任何正幂替换,及/或替代于
Figure BDA00025728838600000811
任何单调增大之函数可得以使用。在类型Lossvariability之项中,表示特定可变性的任何量度可得以使用,且未必为方差,诸如描述可变性的任何较高的统计动差。因此,例如,替代于使用
Figure BDA0002572883860000086
之方差(等于
Figure BDA0002572883860000087
),具有任何p>0之
Figure BDA0002572883860000088
可替代地使用,或
Figure BDA0002572883860000089
Figure BDA00025728838600000810
之间的差之单调增大的函数之任何其他动差可得以使用。
参数Λ表示预测模型116之超参数,其判定跨越可变性项抑制可变性对准确性项之重要性的相对重要性。此等参数在藉由验证及交叉验证之方法的ML模型训练期间较佳为固定的,此情形使反映预定义规格之用户定义的配方分等级优化,诸如图1A及图1B之系统之用户的用户定义的配方分等级,此系就准确性、重复性、工具匹配,及对使用者重要的任何其他功能性而言。
预测模型116较佳经提供以供被配置为控制半导体晶圆上的半导体装置之制造的制程控制设备使用,如现参看图1B所述。
在图1B中,可为光谱获取工具100或另一类似或等同的光谱获取工具的光谱获取工具100’在生产制程期间使用,诸如在半导体晶圆上制造半导体装置之大批量制造(high-volume manufacturing;HVM)过程期间使用,以收集生产半导体晶圆106’的散射量度光谱。光谱获取工具100’在参考半导体晶圆106的制造期间根据第一预定义量测协议且接近同一所选择处理步骤收集散射量度光谱,光谱获取工具100系在参考半导体晶圆106处收集基线光谱102。预测单元118将预测模型116应用于自生产半导体晶圆106’所收集的光谱以在该处理步骤处产生与生产半导体晶圆106’之任何靶之任何预定义参数相关联的预测值,其中预定义参数为上文参看图1A所述的预定义参数中的任一者。可为用于控制在半导体晶圆上制造半导体装置之制程的任何已知之制程控制硬件及/或软件的制程控制单元120被配置为藉由在生产半导体晶圆106’的制造期间将输入提供至任何已知的半导体制造工具122(例如,微影工具、蚀刻工具、沈积工具等)以用于控制工具的操作,来根据习知技术控制生产半导体晶圆106’或后续生产半导体晶圆的制造,其中该输入系根据使用预测值的预定义协议来判定。
图1A及图1B可因此用以藉由在预测模型116之ML训练期间添加额外数据而改良诸如工具稳定性及工具再现性之量度,该数据表示相同的实体晶粒及晶圆的但来自多个工具(用于匹配之优化)及/或在多个时间点处(用于重复性之优化)的量测值。此等数据相对廉价地获得,此系由于其不需要参数之参考值。一旦此等数据经获取,则预测模型116可经优化,使得其可用以同时地预测参考值且具有规格中匹配及重复性。此藉由补充预测模型116标准损耗函数来达成,此通常旨在藉由诸如α·Lrepeatability+β·LT2T的反映额外量度的损耗项而最小化对参考值之匹配Loss=Lreference,且使用此等项之超参数前置因子α及β来平衡准确性对生产率。下文之表1呈现本发明之实验结果,该等实验结果显示在准确性保持安然无恙之同时在跨越不同「层」的重复性方面之改良(亦即,在不同类型之半导体制造步骤处之不同实体参数的不同量测情形)。
Figure BDA0002572883860000101
表1:优化准确性对重复性。
将了解,诸如重复性、T2T、晶圆平滑性等之自一致性优点均会随着模型经正规化之程度增强而得到改良。此效应可用以发现正规化方案中之最佳点,其平衡准确性及此等其他效能量度。判定额外量度之改良的超参数可使用任何合适之技术自动地选择,同时维持相同的准确性。
现参看图2,图2为根据本发明之实施例操作的,图1A及图1B之系统之示范性操作方法的简化流程图说明。在图2之方法中,根据第一预定义量测协议收集多个参考半导体晶圆靶之基线光谱(步骤200)。根据第二预定义量测协议收集参考半导体晶圆靶的预定义参数的量测值(步骤202)。根据第一预定义量测协议在多个参考半导体晶圆靶上收集一或多组可变性光谱,使得可变性集合体现光谱可变性(步骤204)。藉由执行机器学习(ML)训练预测模型以识别在所收集光谱与预定义参数之量测值之间的对应性,同时最小化与模型相关联的损耗函数(步骤206)。根据第一预定义量测协议收集生产半导体晶圆靶之生产散射量度光谱(步骤208)。将预测模型应用于生产光谱以产生与生产半导体晶圆靶之任何预定义参数相关联的预测值(步骤210)。藉由将输入提供至半导体制造工具而控制生产半导体晶圆或后续生产半导体晶圆的制造,其中该输入系根据使用预测值的预定义协议判定(步骤212)。
[取样增强]
在本发明之实施例中,取样增强可藉由以下操作来达成:将信号S设定为在相对小数目个半导体晶圆晶粒上量测的信号集合,诸如每晶圆10-15个晶粒,且训练预测模型116以预测诸如全晶圆图之更多晶粒上的参数P。藉由制程控制单元122所使用之参数可例如为晶圆模型参数,诸如晶圆图之径向描述中的晶圆均值或多项式参数。下文之表2呈现本发明的实验结果,该等实验结果显示BEOL应用中之CMP厚度参数之晶圆均值的准确性。对于量测之基本事实为量测65个晶粒之全晶圆图的自Nova Measuring Instruments Ltd.(雷荷弗特,以色列)市售之NOVA
Figure BDA0002572883860000111
进阶光学CD度量工具之RCWA解译。如所示,13个晶粒的光谱可与ML一起使用以预测具有~2.0A-2.6A之适度误差的65个晶粒之全晶圆图的均值,该误差非常接近于基准误差,该基准误差经选择为已在用以收集光谱的相同的晶粒上计算之晶圆均值所获得的误差。
Figure BDA0002572883860000121
表2:用于取样改良之初始结果。
更好的取样及取样之经改良准确性亦可藉由使取样策略基于在当前层处及先前层处所执行之量测来达成,使得当先前层量测经使用时,在不同层中之稀疏取样对于当前层的经改良全晶圆图预测优化。此等方法可用以预测如上文所述之参数,或藉由使用自动编码器之变体及其他深学习增加取样方法来预测晶粒上的尚未量测之原始光谱自身。根据本发明之此实施例,总的晶圆信息可藉由量测晶圆上的小晶粒集合来提供。
[藉由减少所量测之通道的数目的通量优化]
在本发明之实施例中,度量通量(TPT)可藉由以下操作优化:自含有许多量测通道之度量配方开始,使用普通实体模型化而获得参考值,及搜寻小数目个通道谁的TPT为更好的(例如,仅两个正常通道)且可将具有准确性及通量之良好平衡的解决方案「传送」至哪一者。此效应在图3A及图3B中显示,图3A及图3B呈现本发明之实验结果,该等实验结果表示对7个层及每层多个参数之22个控制组件的审视。在图3A及图3B中,参考值藉由实体模型化或诸如CDSEM及TEM之外部参考工具来提供。如图3A及图3B显示,许多信道至单一信道之传送为可能的,而不会导致对准确性(图3A)及重复性(图3B)之严重惩罚。此允许3x-4x之TPT增加。
根据本发明之此实施例,训练单元114自动地选择经约束具有使用者定义或以其他方式预定义之所要通量的最佳信道。
[处置有限量之参考数据的方法]
[直接制程控制]
在本发明之实施例中,诸如可藉由在半导体制造中使用之进阶制程控制(Advanced Process Control;APC)系统使用的工具旋钮控制解决方案对于变化源之两个层级来提供:处理晶圆内(批次)及晶圆至晶圆变化(批次至批次)。ML可用以藉由首先获得在用于制程工具(例如,控制CMP时间或蚀刻温度之旋钮上的DOE)之一范围之配方参数之上量测的一组信号S而直接控制制程参数。预测模型116接着经训练以学习及校准对工具旋钮之OCD信号。预测模型116可接着用以预测有效旋钮设定,该有效旋钮设定可用作对工具旋钮之回馈。
给定具有两个不同DOE层级之两个群组的信号,且DOE层级作为参考,训练单元114使用ML来提取对旋钮改变的光谱响应,因此提取对旋钮的光谱敏感性。训练单元114追踪群组之间的任何光谱差异,其中群组之标称值较佳为尽可能地接近的,使得旋钮效应将为占主导者。未来制程可接着根据与达成参数之所要目标结果相关的学习来调整,或根据调整制程及工具漂移来调整以防止可能的故障。此效应在图4A及图4B中显示,图4A及图4B呈现本发明之实验结果,该等实验结果显示抛光时间方面(图4A)及预期剩余厚度方面(图4B)之DOE藉由使用IM光谱的预测模型116学习之方式。图4A及图4B显示,不管DOE之晶圆层级均匀性,「有效旋钮」俘获WIW可变性(亦即,模型对于每一晶圆预测一范围之有效旋钮设定)。为了证实此有效旋钮技术为有用的,其经呈现以对比对于如藉由物理算法使用NOVA
Figure BDA0002572883860000141
-MMSR进阶光学CD度量工具量测的目标剩余厚度之外部基本事实,该工具自Nova MeasuringInstruments Ltd.(雷荷弗特,以色列)市售。此模型可用以对具有WIW旋钮之制程工具进行回馈。如图4A中所示,所预测之有效抛光时间(「有效旋钮」)与基本事实的经抛光层厚度之相关程度高,而图2B显示所预测之抛光厚度效应对参考值。
[数据扩充]
简单的ML算法并不含有对其为了描述之基础物理学的先前知识。此意味着,其成功系以数据之可用性为基础,且更特定地,以参考数据之可用性为基础。在本发明之实施例中,用以训练预测模型116之数据之训练集合的大小藉由生成模型而增大,该等生成模型为具有描述非常复杂之数据机率分布之能力的一类别之ML方法。简单的物理模拟为统计物理学中之波尔兹曼权值或用于欧式量子理论的路径积分形式主义。在两种状况下,描述系统自由度之行为的机率函数得以定义(例如,统计物理学中之自旋或量子电动力学中之电子)。此等机率函数具有显式形式,且可用以产生所涉及之物理学的实现。确实,在许多物理学领域中,此等形式主义在大规模马可夫链蒙特-卡罗模拟中使用以计算物质之物理性质。数据科学中之生成模型可为显式或隐式的,其中在显式模型中机率函数经明确地陈述(与波尔兹曼权值相同),而在隐式模型中算法「学习」经验数据集之统计且接着能够产生具有相同统计之新的数据实例。隐式方法之实例包括变分自动编码器及生成对抗网络。在本发明之实施例中,此等方法用以使参考数据集增大及多样化,藉此优化训练单元114之效能且实现先前信息及约束在将基础物理学反映至预测模型116中的机器学习模型之不同特征之间的插入。
[解决制程稳健性]
为了解决制程稳健性,在本发明之实施例中,ML算法与大数据系统之组合得以使用,该组合将产生配方,以及监视及控制该等配方,如下:
·配方训练:使使用者能够使用ML且尽可能自动地在大的数据数据库内查询训练数据,该数据库可横跨几百种光谱类型(「量测配方」)且在许多个月及可能年之时段内。
·配方验证:使用交叉验证及盲测试方法产生关于配方质量之客户回馈。
·配方监视:使用训练数据来产生监视防御指数连同用于此等指数之规格。此等指数接着经内联监视,以诸如藉由调适SEMI标准SPC规则而对配方之准确性何时降级作旗标。
·配方更新:一旦监视器对度量配方作旗标以用于修复,则自动再训练序列经起始,且新的配方连同其验证结果经自动地提出。
此实施例描绘于图5A中,其中外圆表示参考工具,其可为高端信道丰富光学度量工具,如NOVA
Figure BDA0002572883860000151
-MMSR,或非光学参考,诸如XPS、SEM或TEM。通常,此等工具具有亦藉由较低TPT及非平凡生产力挑战反映之较高COO,该等挑战如破坏性、工具匹配、重复性等。准确而言,此等工具藉由高信息含量表征(例如,高分辨率成像工具或多信道光学工具)。内圆表示快速且紧凑之OCD工具,如自Nova Measuring Instruments Ltd.(雷荷弗特,以色列)市售之NOVA
Figure BDA0002572883860000152
正入射通道整合式度量(IM)工具,其具有高的通量及以所需取样率(晶粒之数目)内联量测每一晶圆之能力,连同内联执行之ML算法,其输入为Sinner-circle且输出对于Preference的预测。
[系统架构]
图5B显示用于实施本文所述之本发明之一或多个实施例的架构,其中计算机丛集包括网络服务器层(例如,NodeJSTM)及大的数据层(例如,HadoopTM丛集,自ApacheSoftware Foundation(森林山,马里兰州)市售)。大的资料层允许以可缩放及分布式方式储存及处理资料。网络服务器层允许用户在控制系统中定义处理工作,且检视控制系统报告及执行状态。两个层使用微服务方法来设计,以达成可缩放性、高的可用性及负载平衡。消息队列用以在服务之间传达以减少紧密耦合。各种数据处理管线用以改良大的数据层之效能。
[资料大小及长期制程稳健性]
具有大的数据系统允许增加量之可利用训练数据以用于训练预测模型116,以及允许实时地改良及更新预测模型116,此情形移除了归因于数据管理耗用之准确性限制且确保长期制程稳健性。在图6A及图6B中显示如应用于FEOL层的预测模型116之经改良准确性效能的两个实例。此处,参考为在NOVA
Figure BDA0002572883860000161
-MMSR上开发之实体模型,其中预测模型116使用两个正常通道用于推断。曲线图显示定义为跨越~2000个晶粒之盲测试结果之1σ的预测模型116之准确性取决于用以训练模型的晶圆之数目的方式,其中每一晶圆具有~15个晶粒。如图6A显示,准确性可在将训练大小自5个晶圆增加至200个时改良45%(13A→6A)。在此大样本处饱和为非典型的,且常常在数十个位点上看见良好结果,只要DOE存在。图6B显示不同情形,其中预测模型116之准确性强烈地取决于哪种数据用以训练其,且不仅取决于使用多少数据。特定地,图6B显示,当用在时间上最接近于盲测试晶圆之~20个晶圆训练时(如藉由曲线600所示),模型汇聚至同一准确性层级,如当其用最远离盲测试之100个晶圆训练时(如藉由曲线602所示)。在此等曲线中间有基准曲线(如藉由曲线604所示),该基准曲线描述数据之随机混洗以便「失去」对时间重要性的记忆。此指示,晶圆之类型为重要的,且本申请案将得益于当前实施例之大的数据系统允许的ML配方之动态更新。
上文所述之配方更新步骤经自动地执行,如为用以执行再训练之数据的选择。此选择可为使用所有可利用数据,或可基于考虑用于每一晶圆之监视旗标的值及/或给定晶圆与正处理之其他晶圆在时间或在制程空间上的接近性的逻辑。举例而言,不同权值ρ可在可用于再训练之数据中对于每一晶圆设定。权值ρ可取决于该晶圆之旗标值、光谱自身,及/或其他后设数据特性,如晶圆及批次ID。此显示于图7中。
本文所述之本发明的任何态样可根据习知技术以在非暂时性计算机可读媒体中体现之计算机硬件及/或计算机软件来实施,计算机硬件包括根据习知技术互操作的一或多个计算机处理器、计算机内存、I/O装置,及网络接口。
应了解,如本文所使用之术语「处理器」或「装置」意欲包括任何处理装置,诸如包括CPU(central processing unit;中央处理单元)及/或其他处理电路之处理装置。亦应理解,术语「处理器」或「装置」可指代一个以上处理装置,且与处理装置相关联之各种组件可藉由其他处理装置共享。
如本文所使用之术语「内存」意欲包括与处理器或CPU相关联之内存,诸如RAM、ROM、固定内存装置(例如,硬驱动机)、抽取式内存装置(例如,磁盘)、闪存等。此内存可视为计算机可读储存媒体。
另外,如本文所使用之短语「输入/输出装置」或「I/O装置」意欲包括例如用于将数据键入至处理单元之一或多个输入设备(例如,键盘、鼠标、扫描仪等),及/或用于呈现与处理单元相关联之结果的一或多个输出装置(例如,扬声器、显示器、打印机等)。
本发明之实施例可包括系统、方法,及/或计算机程序产品。计算机程序产品可包括(多个)计算机可读储存媒体,该(等)计算机可读储存媒体上具有计算机可读程序指令以用于使处理器实行本发明之态样。
计算机可读储存媒体可为可保持且储存指令以供指令执行装置使用之有形装置。计算机可读储存媒体可为例如但不限于:电子储存装置、磁性储存装置、光学储存装置、电磁储存装置、半导体储存装置,或前述各项之任何合适的组合。计算机可读储存媒体之更多特定实例的非详尽列表包括以下各者:携带型计算机磁盘、硬盘、随机存取内存(randomaccess memory;RAM)、只读存储器(read-only memory;ROM)、可抹除可程序化只读存储器(erasable programmable read-only memory;EPROM或闪存)、静态随机存取内存(staticrandom access memory;SRAM)、携带型紧密光盘只读存储器(portable compact discread-only memory;CD-ROM)、数字通用磁盘(digital versatile disk;DVD)、记忆棒、软性磁盘、诸如打孔卡片或凹槽中具有记录于上的指令的凸起结构之机械编码装置,及前述各项之任何合适的组合。如本文所使用,计算机可读储存媒体本身并不解释为暂时性信号,诸如无线电波或其他自由传播之电磁波、传播通过波导或其他传输介质之电磁波(例如,穿过光纤缆线之光脉冲),或经由导线所传输的电信号。
本文所述之计算机可读程序指令可自计算机可读储存媒体下载至各别计算/处理装置,或经由网络下载至外部计算机或外部储存装置,该网络例如因特网、局域网络、广域网及/或无线网络。网络可包含铜传输缆线、光学传输纤维、无线传输、路由器、防火墙、交换器、网关计算机及/或边缘服务器。在每一计算/处理装置中之网络配接器卡或网络接口自网络接收计算机可读程序指令,且转递计算机可读程序指令以用于储存于各别计算/处理装置内的计算机可读储存媒体中。
用于实行本发明之操作的计算机可读程序指令可为组合程序指令、指令集架构(instruction-set-architecture;ISA)指令、机器指令、机器相依指令、微码、韧体指令、状态设定数据,或以一或多种程序化语言之任何组合撰写的原始码或目标码,该一或多种程序化语言包括诸如Java、Smalltalk、C++或其类似者之面向对象程序化语言及习知程序程序化语言,诸如「C」程序化语言或类似程序化语言。计算机可读程序指令可完全在用户计算机上执行,部分地在用户计算机上执行,作为独立软件包来执行,部分地在用户计算机上且部分地在远程计算机上执行,或完全在远程计算机或服务器上执行。在后一情形中,远程计算机可经由包括局域网络(local area network;LAN)或广域网(wide area network;WAN)的任何类型之网络连接至用户计算机,或连接可对外部计算机进行(例如,使用因特网服务提供商经由因特网)。在一些实施例中,包括例如可程序化逻辑电路、场可程序化门阵列(field-programmable gate array;FPGA)或可程序化逻辑数组(programmable logicarray;PLA)之电子电路可藉由利用计算机可读程序指令之状态信息个人化电子电路而执行计算机可读程序指令,以便执行本发明之态样。
本发明之态样在本文中系参考根据本发明之实施例的方法、设备(系统)及计算机程序产品之流程图说明及/或方块图来描述。将理解,流程图说明及/或方块图之每一区块,及流程图说明及/或方块图中之区块的组合可藉由计算机可读程序指令来实施。
此等计算机可读程序指令可提供至通用计算机、专用计算机或其他可程序化数据处理设备之处理器以产生机器,使得经由计算机或其他可程序化数据处理设备之处理器而执行之指令产生用于实施在该(等)流程图及/或方块图区块中所指定之功能/动作的构件。此等计算机可读程序指令亦可储存于计算机可读储存媒体中,其可指引计算机、可程序化数据处理设备及/或其他装置以特定方式起作用,使得储存有指令之计算机可读储存媒体包含制造物品,该制造物品包括实施在该(等)流程图及/或方块图区块中所指定之功能/动作之态样的指令。
计算机可读程序指令亦可加载至计算机、其他可程序化数据处理设备或其他装置上,以使一系列操作步骤在计算机、其他可程序化设备或其他装置上执行以产生计算机实施进程,使得在计算机、其他可程序化设备或其他装置上执行之指令实施在该(等)流程图及/或方块图区块中所指定的功能/动作。
诸图中之流程图说明及方块图说明根据本发明之各种实施例的系统、方法及计算机程序产品之可能实行方案的架构、功能性及操作。就此而言,流程图说明或方块图中之每一区块可表示模块、片段,或计算机指令之部分,其包含用于实施所指定逻辑功能的一或多个可执行计算机指令。在一些替代性实行方案中,区块中所提到之功能可不按诸图中所提到的次序发生。举例而言,接连显示之两个区块可实际上实质上同时执行,或该等区块可有时以相反次序执行,此取决于所涉及之功能性。亦将注意,流程图说明及方块图之每一区块,及此等区块之组合,可藉由执行所指定功能或动作的专用基于硬件及/或基于软件的系统来实施。
已为说明之目的而呈现了本发明之各种实施例的描述,但其不欲为详尽的或限于所揭示的实施例。举例而言,本文所述之系统及方法适用于半导体晶圆上的任何类型之结构。在不脱离所描述实施例之范畴及精神的情况下,许多修改及变化将对于一般熟习此项技术者显而易见。

Claims (16)

1.一种半导体度量方法,包括:
使用光谱获取工具且根据第一量测协议收集在第一组半导体晶圆靶上的光谱的基线集;
使用参考度量工具且根据第二量测协议收集所述第一组半导体晶圆靶的预定义参数的值;
对于光谱可变性的一或多个预定义源中的每一者,使用所述光谱获取工具且根据所述第一量测协议在对应于所述第一组半导体晶圆靶的第二组半导体晶圆靶上收集光谱的可变性集合,其中,光谱的所述可变性集合体现所述光谱可变性;及
使用光谱的所收集的集合及参数值来使用机器学习训练预测模型,且最小化与所述预测模型相关联的损耗函数,
其中,所述预测模型被配置为用以使用第三组半导体晶圆靶的生产光谱对于所述预定义参数中的任一者预测值,其中,所述生产光谱使用所述光谱获取工具且根据所述第一量测协议来收集,且
其中,所述损耗函数藉由对于光谱可变性的所述一或多个预定义源中的每一者并入表示所述光谱可变性的项来最小化。
2.根据权利要求1所述的半导体度量方法,其中,光谱可变性的所述一或多个预定义源包括工具可变性。
3.根据权利要求2所述的半导体度量方法,其中,收集可变性光谱包含使用所述光谱获取工具的多个且等同的工具自半导体晶圆靶中的所选择一者收集所述可变性光谱。
4.根据权利要求1所述的半导体度量方法,其中,光谱可变性的所述一或多个预定义源包括量测重复性。
5.根据权利要求4所述的半导体度量方法,其中,收集可变性光谱包含在多个不同的时间点处使用所述光谱获取工具自半导体晶圆靶中的所选择一者收集所述可变性光谱。
6.根据权利要求1所述的半导体度量方法,其中,所述第一量测协议及所述第二量测协议在通道的数目、照明角度、靶、及自同一靶所获取的信号中的任一者方面不同。
7.根据权利要求1所述的半导体度量方法,进一步包含:
在生产半导体晶圆的制造期间收集生产散射量度光谱;及
使用所述预测模型基于所述生产散射量度光谱对于所述预定义参数中的任一者产生预测值。
8.根据权利要求7所述的半导体度量方法,进一步包含将输入提供至半导体制造工具,以用于在生产半导体晶圆的所述制造期间控制所述半导体制造工具的操作。
9.一种半导体度量系统,包含:
光谱获取工具,被配置为
根据第一量测协议收集在第一组半导体晶圆靶上的散射量度光谱的基线集,及
对于光谱可变性的一或多个预定义源中的每一者,根据所述第一量测协议收集在对应于所述第一组半导体晶圆靶的第二组半导体晶圆靶上的散射量度光谱的可变性集合,其中,光谱的所述可变性集合体现所述光谱可变性;
参考度量工具,被配置为根据第二量测协议收集所述第一组半导体晶圆靶的预定义参数的值;及
训练单元,被配置为使用光谱的所收集的集合及参数值来使用机器学习训练预测模型,且最小化与所述预测模型相关联的损耗函数,
其中,所述预测模型被配置为用以使用第三组半导体晶圆靶的生产光谱对于所述预定义参数中的任一者预测值,其中,所述生产光谱使用所述光谱获取工具且根据所述第一量测协议来收集,且
其中,所述损耗函数藉由对于光谱可变性的所述一或多个预定义源中的每一者并入表示所述光谱可变性的项来最小化。
10.根据权利要求9所述的半导体度量系统,其中,光谱可变性的所述一或多个预定义源包括工具可变性。
11.根据权利要求10所述的半导体度量系统,其中,所述光谱获取工具被配置为使用所述光谱获取工具的多个且等同的工具自半导体晶圆靶中的所选择一者收集可变性光谱。
12.根据权利要求9所述的半导体度量系统,其中,光谱可变性的所述一或多个预定义源包括量测重复性。
13.根据权利要求12所述的半导体度量系统,其中,所述光谱获取工具被配置为在多个不同的时间点处使用所述光谱获取工具自半导体晶圆靶中的所选择一者收集可变性光谱。
14.根据权利要求9所述的半导体度量系统,其中,所述第一量测协议及所述第二量测协议在通道的数目、照明角度、靶、及自同一靶所获取的信号中的任一者方面不同。
15.根据权利要求9所述的半导体度量系统,其中,所述光谱获取工具被配置为在生产半导体晶圆的制造期间收集生产散射量度光谱,且进一步包含预测单元,所述预测单元被配置为使用所述预测模型基于所述生产散射量度光谱对于所述预定义参数中的任一者产生预测值。
16.根据权利要求15所述的半导体度量系统,进一步包含制程控制单元,所述制程控制单元被配置为基于所述预测值将输入提供至半导体制造工具,以用于在生产半导体晶圆的所述制造期间控制所述半导体制造工具的操作。
CN201980007561.5A 2018-06-14 2019-06-14 半导体制造的度量和制程控制 Active CN111566566B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210283417.8A CN114997408A (zh) 2018-06-14 2019-06-14 半导体度量方法和半导体度量系统

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862684817P 2018-06-14 2018-06-14
US62/684,817 2018-06-14
PCT/IB2019/054994 WO2019239380A1 (en) 2018-06-14 2019-06-14 Metrology and process control for semiconductor manufacturing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210283417.8A Division CN114997408A (zh) 2018-06-14 2019-06-14 半导体度量方法和半导体度量系统

Publications (2)

Publication Number Publication Date
CN111566566A true CN111566566A (zh) 2020-08-21
CN111566566B CN111566566B (zh) 2022-04-08

Family

ID=68843046

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201980007561.5A Active CN111566566B (zh) 2018-06-14 2019-06-14 半导体制造的度量和制程控制
CN202210283417.8A Pending CN114997408A (zh) 2018-06-14 2019-06-14 半导体度量方法和半导体度量系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202210283417.8A Pending CN114997408A (zh) 2018-06-14 2019-06-14 半导体度量方法和半导体度量系统

Country Status (7)

Country Link
US (3) US11093840B2 (zh)
JP (3) JP6974635B2 (zh)
KR (3) KR102349299B1 (zh)
CN (2) CN111566566B (zh)
IL (1) IL279273B (zh)
TW (3) TWI760929B (zh)
WO (1) WO2019239380A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI815419B (zh) * 2021-05-06 2023-09-11 荷蘭商Asml荷蘭公司 用於判定與微影製程相關之隨機度量之方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
IL294540A (en) * 2020-01-06 2022-09-01 Nova Ltd Connecting a physical sink with machine learning
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
US11209737B1 (en) 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
KR102504761B1 (ko) * 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법
TW202405371A (zh) * 2022-04-07 2024-02-01 以色列商諾威股份有限公司 用於光學臨界尺寸量測的方法、用於光學臨界尺寸量測的系統以及非暫時性機器可存取儲存媒體

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130158948A1 (en) * 2011-12-16 2013-06-20 Jonathan Iloreta Techniques for optimized scatterometry
CN105684127A (zh) * 2013-08-11 2016-06-15 科磊股份有限公司 用于半导体目标的度量的差分方法及设备
TW201706723A (zh) * 2015-05-07 2017-02-16 Asml荷蘭公司 度量衡方法及設備、電腦程式及微影系統
CN107429995A (zh) * 2015-04-06 2017-12-01 科磊股份有限公司 在计量系统中计量数据的前向馈送
US20170357155A1 (en) * 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology Methods, Metrology Apparatus and Device Manufacturing Method

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100708423B1 (ko) * 1999-09-08 2007-04-18 어드밴스드 마이크로 디바이시즈, 인코포레이티드 발광 스펙트럼의 주성분 분석을 이용하여 식각 종료점을 결정하는 방법 및 이를 포함하는 웨이퍼 식각 방법
IL140179A (en) 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
EP2016620A2 (en) * 2006-04-17 2009-01-21 Omnivision Cdm Optics, Inc. Arrayed imaging systems and associated methods
JP2008171911A (ja) * 2007-01-10 2008-07-24 Tokyo Electron Ltd ラフネス評価方法及びシステム
US8520186B2 (en) * 2009-08-25 2013-08-27 Cymer, Llc Active spectral control of optical source
US9052709B2 (en) 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
CN104220932B (zh) * 2012-02-21 2017-02-22 Asml荷兰有限公司 检查设备和方法
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
JP6339333B2 (ja) * 2013-08-27 2018-06-06 芝浦メカトロニクス株式会社 ドライエッチング装置およびエッチング量測定方法
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
JP6770958B2 (ja) * 2014-11-25 2020-10-21 ケーエルエー コーポレイション ランドスケープの解析および利用
WO2016162228A1 (en) * 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology
CN107438795A (zh) * 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10395356B2 (en) * 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications
US10346740B2 (en) 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130158948A1 (en) * 2011-12-16 2013-06-20 Jonathan Iloreta Techniques for optimized scatterometry
CN105684127A (zh) * 2013-08-11 2016-06-15 科磊股份有限公司 用于半导体目标的度量的差分方法及设备
CN107429995A (zh) * 2015-04-06 2017-12-01 科磊股份有限公司 在计量系统中计量数据的前向馈送
TW201706723A (zh) * 2015-05-07 2017-02-16 Asml荷蘭公司 度量衡方法及設備、電腦程式及微影系統
US20170357155A1 (en) * 2016-06-09 2017-12-14 Asml Netherlands B.V. Metrology Methods, Metrology Apparatus and Device Manufacturing Method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI815419B (zh) * 2021-05-06 2023-09-11 荷蘭商Asml荷蘭公司 用於判定與微影製程相關之隨機度量之方法

Also Published As

Publication number Publication date
TWI760929B (zh) 2022-04-11
KR20220008384A (ko) 2022-01-20
TWI830165B (zh) 2024-01-21
KR102586405B1 (ko) 2023-10-10
JP2022020745A (ja) 2022-02-01
TW202045911A (zh) 2020-12-16
TWI714388B (zh) 2020-12-21
US11093840B2 (en) 2021-08-17
US20220036218A1 (en) 2022-02-03
JP6974635B2 (ja) 2021-12-01
KR102349299B1 (ko) 2022-01-11
TW202244486A (zh) 2022-11-16
CN114997408A (zh) 2022-09-02
TW202127009A (zh) 2021-07-16
KR20230144122A (ko) 2023-10-13
JP2023055776A (ja) 2023-04-18
KR20210033945A (ko) 2021-03-29
IL279273B (en) 2022-02-01
CN111566566B (zh) 2022-04-08
JP7218412B2 (ja) 2023-02-06
US11763181B2 (en) 2023-09-19
US20240078450A1 (en) 2024-03-07
JP2021521654A (ja) 2021-08-26
US20210150387A1 (en) 2021-05-20
WO2019239380A1 (en) 2019-12-19
IL279273A (en) 2021-01-31

Similar Documents

Publication Publication Date Title
CN111566566B (zh) 半导体制造的度量和制程控制
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
TWI672599B (zh) 探索裝置及探索方法
WO2018157752A1 (en) Approximate random number generator by empirical cumulative distribution function
US20190214285A1 (en) Semiconductor Metrology and Defect Classification Using Electron Microscopy
KR20220164786A (ko) 스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법
JP6931084B2 (ja) 光学検査結果に発する計量案内型検査サンプルシェイピング
US20230052392A1 (en) Process abnormality identification using measurement violation analysis
US20230054159A1 (en) Method and device for predicting defects
TW202240735A (zh) 用於半導體製造設備之處理控制的自適應模型訓練
Bringoltz et al. Machine Learning and Big Data in optical CD metrology for process control
TWI838361B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
US20230108313A1 (en) Data triage in microscopy systems
JP2023540529A (ja) マイクロ電子デバイス製造中に物理的シミュレーションモデルを加速するシステムおよび方法
TW202341307A (zh) 用於腔室條件監測的預測模型
WO2024118162A1 (en) Modeling for indexing and semiconductor defect image retrieval
TW202340885A (zh) 用於在評估系統與製造系統之間進行介接的通訊節點

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: Rehovot

Applicant after: Novell Ltd.

Address before: Rehovot

Applicant before: NOVA MEASURING INSTRUMENTS Ltd.

GR01 Patent grant
GR01 Patent grant