CN107429995A - 在计量系统中计量数据的前向馈送 - Google Patents

在计量系统中计量数据的前向馈送 Download PDF

Info

Publication number
CN107429995A
CN107429995A CN201680019827.4A CN201680019827A CN107429995A CN 107429995 A CN107429995 A CN 107429995A CN 201680019827 A CN201680019827 A CN 201680019827A CN 107429995 A CN107429995 A CN 107429995A
Authority
CN
China
Prior art keywords
metering
target
selected semiconductor
change
deviations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680019827.4A
Other languages
English (en)
Other versions
CN107429995B (zh
Inventor
A·莱维
D·坎戴尔
M·E·阿德尔
L·波斯拉夫斯基
J·鲁滨逊
T·马西安诺
B·布尔戈尔茨
T·格林茨威格
D·克莱因
T·伊茨科维赫
N·卡梅尔
N·阿米尔
V·拉马纳坦
J·坎普
M·瓦格纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN107429995A publication Critical patent/CN107429995A/zh
Application granted granted Critical
Publication of CN107429995B publication Critical patent/CN107429995B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4738Diffuse reflection, e.g. also for testing fluids, fibrous materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/41Refractivity; Phase-affecting properties, e.g. optical path length
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6489Photoluminescence of semiconductors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本发明揭示一种计量性能分析系统,其包含:计量工具,其包含一或多个检测器;及控制器,其以通信方式耦合到所述一或多个检测器。所述控制器经配置以从所述计量工具接收与计量目标相关联的一或多个计量数据集,其中所述一或多个计量数据集包含一或多个测定计量度量且所述一或多个测定计量度量指示与标称值的偏差。所述控制器经进一步配置以确定与所述标称值的所述偏差和一或多个选定半导体工艺变化之间的关系,及基于所述一或多个计量度量的值和所述一或多个选定半导体工艺变化之间的所述关系确定与所述标称值的所述偏差的一或多个根本原因。

Description

在计量系统中计量数据的前向馈送
相关申请案的交叉参考
本申请案根据35U.S.C.§119(e)规定主张2015年4月6日申请的标题为“用于计量工具设置优化的计量数据的前向馈送(FEED FORWARD OF METROLOGY DATA FOR METROLOGYTOOL SETUP OPTIMIZATION)”的序列号为62/143,689的美国临时申请案的权益,所述美国临时申请案以全文引用的方式并入本文中。
本申请案根据35U.S.C.§119(e)规定主张2015年5月15日申请的标题为“用计量确定工艺变化的根本原因(DETERMINING ROOT CAUSE OF PROCESS VARIATIONS WITHMETROLOGY)”的序列号为62/161,982的美国临时申请案的权益,所述美国临时申请案以全文引用的方式并入本文中。
技术领域
本发明大体上涉及计量系统的领域,且更特定来说,涉及在计量系统中计量数据的前向馈送。
背景技术
半导体形状及放置计量受到待测量晶片的特性中的不断改变的条件的挑战。尽管所有先进工艺控制方法论的目的是以最小时间及空间变化使所有制造条件保持稳定,但此目的在实践中难以实现。由半导体工艺工具(例如光刻工具、沉积工具、蚀刻工具、抛光工具等等)执行的半导体工艺可随着时间而漂移,从而导致目标晶片特性(例如但不限于组成物、膜厚度、特征大小或光学特性)跨越晶片或许多晶片的对应变化。目标晶片特性的这些变化可对后续计量步骤产生有害的影响。举例来说,当执行叠加计量测量时,特定层跨越晶片的膜厚度变化可导致准确度或精度性能变化。尽管目标可在面对此类变化的情况下针对其稳健性进行设计,但维持可容许计量性能的可容许变化范围受到界限。如果变化扩展超过这些界限,那么会导致计量性能偏离,从而对工艺控制产生潜在的负面影响。
发明内容
根据本发明的一或多个说明性实施例揭示一种计量性能分析系统。在一个说明性实施例中,所述系统包含计量工具,所述计量工具包含一或多个检测器。在另一说明性实施例中,所述系统包含控制器,所述控制器以通信方式耦合到所述一或多个检测器。在另一说明性实施例中,所述控制器包含经配置以执行程序指令的一或多个处理器。在另一说明性实施例中,所述一或多个处理器经配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器从所述计量工具接收与计量目标相关联的一或多个计量数据集。在另一说明性实施例中,所述一或多个计量数据集包含一或多个测定计量度量。在另一说明性实施例中,所述一或多个测定计量度量指示与一或多个标称值的一或多个偏差。在另一说明性实施例中,所述一或多个处理器经配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器确定与所述一或多个标称值的所述一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系。在另一说明性实施例中,所述一或多个处理器经配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器基于与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系确定与所述一或多个标称值的所述一或多个偏差的一或多个根本原因。
根据本发明的一或多个说明性实施例揭示一种计量性能分析系统。在一个说明性实施例中,所述系统包含计量工具,所述计量工具包含一或多个检测器。在另一说明性实施例中,所述系统包含控制器,所述控制器以通信方式耦合到所述一或多个检测器。在另一说明性实施例中,所述控制器包含经配置以执行程序指令的一或多个处理器。在另一说明性实施例中,所述一或多个处理器经配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器从所述计量工具接收与计量目标相关联的一或多个计量数据集。在另一说明性实施例中,所述一或多个计量数据集包含一或多个测定计量度量。在另一说明性实施例中,所述一或多个测定计量度量指示与一或多个标称值的一或多个偏差。在另一说明性实施例中,使用第一配方产生所述一或多个计量数据集。在另一说明性实施例中,所述一或多个处理器经配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器确定与所述一或多个标称值的所述一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系。在另一说明性实施例中,所述一或多个处理器经配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器基于与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系确定与所述一或多个标称值的所述一或多个偏差的一或多个根本原因。在另一说明性实施例中,所述一或多个处理器经配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器指导所述计量工具使用第二配方产生与至少一个额外计量目标相关联的一或多个额外测定计量度量,其中所述第二配方减缩所述计量工具对所述一或多个根本原因的敏感度。
根据本发明的一或多个说明性实施例揭示一种用于分析计量系统的性能的方法。在一个说明性实施例中,所述方法包含接收与计量目标相关联的一或多个计量数据集。在另一说明性实施例中,所述一或多个计量数据集包含一或多个测定计量度量。在另一说明性实施例中,所述一或多个测定计量度量指示与标称值的偏差。在另一说明性实施例中,所述方法包含确定与所述一或多个标称值的所述一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系。在另一说明性实施例中,所述方法包含基于与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系确定与所述一或多个标称值的所述一或多个偏差的一或多个根本原因。
应理解,前述一般描述及下列详细描述两者仅是示范性及阐释性的,且未必限定如所主张的本发明。并入本说明书中且构成本说明书的部分的附图说明本发明的实施例,且与一般描述一起用于阐释本发明的原理。
附图说明
通过参考附图,所属领域的技术人员可更好地理解本发明的数种优势,附图中:
图1A是根据本发明的一或多个实施例的计量系统的框图视图。
图1B是根据本发明的一或多个实施例的计量系统的框图视图。
图2是说明根据本发明的一或多个实施例的并入反馈的计量系统的框图。
图3是说明根据本发明的一或多个实施例的用于分析计量系统的性能的方法的流程图。
图4A包含根据本发明的一或多个实施例的与计量目标相关联的散射测量叠加计量工具的计量数据,其说明计量目标处的对称工艺变化。
图4B包含根据本发明的一或多个实施例的与计量目标相关联的散射测量叠加计量工具的计量数据,其说明计量目标处的对称工艺变化。
图5包含说明根据本发明的一或多个实施例的光瞳平面中的不连续性弧依据样本上的位置的位置变化的样本映图。
图6包含根据本发明的一或多个实施例的与两个空间分离计量目标相关联的散射测量叠加计量工具的计量数据,其说明两个目标之间的相同方向性的不对称工艺变化。
图7包含根据本发明的一或多个实施例的与两个空间分离计量目标相关联的散射测量叠加计量工具的计量数据,其说明两个目标之间的不同方向性的不对称工艺变化。
图8包含根据本发明的一或多个实施例的与样本上的三个空间分离计量目标相关联的包含不对称工艺变化的叠加测量信号。
具体实施方式
现在将详细地参考附图中所说明的所揭示的主题。
大体上参看图1A到8,根据本发明的一或多个实施例描述用于确定工艺变化的根本原因的前向馈送计量数据的系统及方法。本发明的实施例涉及模拟经建模计量目标的计量测量。本发明的额外实施例涉及根据一或多个工艺变化扰动计量目标且模拟经扰动计量目标的计量测量。本发明的额外实施例涉及对真实计量目标执行计量测量且通过识别负责计量误差的一或多个半导体工艺变化确定计量误差的一或多个根本原因。本发明的另外实施例涉及在计量偏离之前预测及/或调解一或多个工艺变化的漂移。
在本文中应认识到,由半导体工艺工具执行的半导体工艺(例如膜的沉积、光刻步骤、蚀刻步骤等等)可随着时间而漂移。漂移可为大量因素的结果,所述因素包含但不限于与工艺相关联的控制算法的工具磨损或漂移。此外,漂移可影响样本的一或多个特性,这又可影响一或多个计量测量(例如叠加测量、表面轮廓测量等等)。举例来说,当执行叠加计量测量时,特定层跨越晶片的膜厚度变化可导致准确度或精度性能变化。尽管计量目标可经设计为在存在半导体工艺变化的情况下提供稳健性能,但目标特征(例如表面轮廓、膜厚度等等)超过阈值的偏差可导致性能超出指定容限,这指示性能偏离。此外,计量目标对特定工艺变化的稳健性可取决于计量工具的特定配置或配方(例如用于执行计量测量的光波长或光偏光等等),以及计量目标的光学特性(例如一或多个膜的厚度、衍射效应等等)。
在本文中应进一步认识到,与计量测量超出容限范围的偏差相关联的偏离可对半导体制造线内的工艺控制产生负面影响。此外,偏离的检测可使制造的临时停止成为必要,以确定偏离的根本原因(例如确定一或多个漂移半导体工艺、计量工具的故障检修、计量工具设置的再制等等)。
本发明的实施例涉及利用计量数据以确定计量性能(例如叠加性能等等)的偏差。应注意,计量工具可提供各种输出,包含但不限于计量数据(例如计量测量结果、目标图像、光瞳图像等等)或计量度量(例如精度、工具诱发移位、敏感度、衍射效率、离焦斜率、侧壁角度、临界尺寸等等)。额外实施例涉及确定与例如但不限于半导体工艺变化(例如与半导体工艺工具漂移相关联)的计量性能偏差相关联的根本原因。另外实施例涉及将前向馈送数据提供到计量工具及/或额外计量工具。在此方面,可由同一工具(例如在不同裸片上、在相同工艺的不同批量上等等)或由半导体制造线中的一或多个额外工具利用包含与半导体工艺变化及/或与半导体工艺变化相关联的计量测量变化相关联的数据的前向馈送数据以减轻根本原因且防止或最小化计量偏离。
如贯穿本发明所使用,术语“样本”通常是指由包含一或多个“层”或“膜”的半导体或非半导体材料形成的衬底,及通常被选择为针对光学计量具周期性的图案化结构。举例来说,半导体或非半导体材料包含但不限于单晶硅、砷化镓及磷化铟。形成于衬底上的层可包含但不限于抗蚀剂、介电材料、导电材料或半导电材料。在所属领域中已知许多不同类型的样本层,且如本文中所使用的术语样本希望涵盖衬底及形成于其上的任何类型的层。
本发明的实施例可并入所属领域中已知的任何类型的计量系统,包含但不限于具有一或多个照明角度的光谱椭偏仪、用于测量穆勒矩阵(Mueller matrix)元素的光谱椭偏仪(例如使用旋转补偿器)、单波长椭偏仪、角分辨椭偏仪(例如光束轮廓椭偏仪)、光谱反射计、单波长反射计、角分辨反射计(例如光束轮廓反射计)、成像系统、光瞳成像系统、光谱成像系统或散射仪。此外,计量系统可包含单个计量工具或多个计量工具。在第7,478,019号美国专利中大体上描述并入多个计量工具的计量系统。在第5,608,526号美国专利中大体上描述基于主要反射光学器件的聚焦光束椭偏术,所述美国专利以全文引用的方式并入本文中。在第5,859,424号美国专利中大体上描述使用变迹器以减轻造成照明点散布超过由几何光学器件界定的大小的光学衍射效应,所述美国专利以全文引用的方式并入本文中。第6,429,943号美国专利大体上描述具有同时多重入射角照明的高数值孔径工具的使用,所述美国专利以全文引用的方式并入本文中。
在本文中应进一步认识到,计量工具可测量一或多个目标的特性,例如但不限于临界尺寸(CD)、叠加、侧壁角度、膜厚度或工艺相关参数(例如焦点、剂量等等)。目标可包含本质上具周期性的某些关注区域,例如存储器裸片中的光栅。计量目标可进一步拥有各种空间特性且通常是由一或多个单元构成,所述一或多个单元可包含可能已在一或多个光刻相异曝光中印刷的一或多个层中的特征。目标或单元可拥有例如两倍或四倍旋转对称性、反射对称性的各种对称性。在第6,985,618号美国专利中描述此类计量结构的实例,所述美国专利以全文引用的方式包含于本文中。不同单元或单元组合可属于相异层或曝光步骤。个别单元可包括隔离式非周期性特征,或替代地,其可由一维、二维或三维周期性结构或非周期性与周期性结构的组合构成。周期性结构可未分段,或其可由可处于或接近于用于印刷它们的光刻工艺的最小设计规则的精细分段式特征构成。计量目标也可与同一层中或计量结构的层上方、下方或之间的层中的虚设结构(dummification structure)并置或紧密靠近。目标可包含多个层(例如膜),其厚度可由计量工具测量。目标可包含放置于半导体晶片上以供使用(例如对准、叠加配准操作等等)的目标设计。此外,目标可位于半导体晶片上的多个位点处。举例来说,目标可位于划线道内(例如裸片之间)及/或位于裸片自身中。可由如在第7,478,019中美国专利中所描述的相同或多个计量工具同时或连续地测量多个目标,所述美国专利以全文引用的方式并入本文中。举例来说,可在半导体制造工艺中利用来自计量工具的计量数据以前向馈送、后向馈送及/或侧向馈送对工艺(例如光刻步骤、蚀刻步骤等等)的校正以提供完整工艺控制解决方案。
图1A是根据本发明的一或多个实施例的计量系统的框图视图。在一个实施例中,系统100包含计量子系统101,计量子系统101包含经配置以产生照明光束104的照明源102。举例来说,照明光束104可包含一或多个选定波长的光,包含但不限于紫外(UV)辐射、可见辐射或红外(IR)辐射。在另一实施例中,由照明源102发射的照明光束104的辐射波长是可调谐的。在此方面,照明光束104的辐射波长可经调整到任何选定辐射波长(例如UV辐射、可见辐射、红外辐射等等)。此外,照明光束104可包含一或多个辐射光束。
照明源102可包含所属领域中已知的适合于产生照明光束104的任何照明源。举例来说,照明源102可包含但不限于单色光源(例如激光)、具有包含两个或多于两个离散波长的光谱的多色光源、宽带光源或波长扫描光源。此外,照明源102可但不限于由白色光源(例如具有包含可见波长的光谱的宽带光源)、激光源、自由形式照明源、单极照明源、多极照明源、弧光灯、无电极灯或激光维持等离子(LSP)源形成。此外,可经由自由空间传播或导引光(例如光纤、光导管等等)传递照明光束104。
在另一实施例中,照明源102经由照明路径108将照明光束104引导到样本106。照明路径108可包含一或多个透镜110。此外,照明路径108可包含适合于修改及/或调节照明光束104的一或多个额外光学组件112。举例来说,一或多个光学组件112可包含但不限于一或多个偏光器、一或多个滤光器、一或多个分光器、一或多个扩散器、一或多个均质器、一或多个变迹器或一或多个光束整形器。在一个实施例中,照明路径108包含分光器114。在另一实施例中,计量子系统101包含物镜116以将照明光束104聚焦到样本106上的一或多个位置上。
照明源102可经由照明路径108将照明光束104以任何角度引导到样本。在一个实施例中,照明源102将照明光束104以与样本106的表面成正入射角引导到样本106。在另一实施例中,照明源102将照明光束104以一角度(例如掠射角、45度角等等)引导到样本106。在另一实施例中,照明光束104在样本106上的入射角是可调整的。举例来说,照明光束104穿过分光器114及物镜116的路径可经调整以控制照明光束104在样本106上的入射角。在此方面,照明光束104可具有穿过分光器114及物镜116的标称路径,使得照明光束104在样本106上具有正入射角。此外,可通过修改照明光束104在分光器114上的位置及/或角度(例如通过可旋转镜、空间光调制器、自由形式照明源等等)控制照明光束104在样本106上的入射角。
在另一实施例中,系统100包含适合于固定样本106的载物台组合件118。载物台组合件118可包含所属领域中已知的任何样本载物台架构。举例来说,载物台组合件118可包含但不限于线性载物台。作为另一实例,载物台组合件118可包含但不限于旋转载物台。此外,样本106可包含晶片,例如但不限于半导体晶片。
在另一实施例中,计量子系统101包含经配置以经由集光路径122捕获从样本106发出的辐射的一或多个检测器120。举例来说,检测器120可接收从样本106反射或散射(例如经由镜面反射、漫反射等等)的辐射。作为另一实例,检测器120可接收由样本产生的辐射(例如与照明光束104的吸收相关联的发光等等)。作为额外实例,检测器120可从样本106接收辐射的一或多个衍射阶(例如0阶衍射、±1阶衍射、±2阶衍射等等)。此外,在本文中应注意,一或多个检测器120可包含所属领域中已知的适合于测量从样本106接收的照明的任何光学检测器。举例来说,检测器120可包含但不限于CCD检测器、TDI检测器、光电倍增管(PMT)、突崩光二极管(APD)等等。在另一实施例中,检测器120可包含适合于识别从样本106发出的辐射波长的光谱检测器。此外,集光路径122可包含用于引导及/或修改由物镜116收集的照明的多个光学元件,包含但不限于一或多个透镜124、一或多个滤光器、一或多个偏光器、一或多个光束块或一或多个分光器。此外,计量子系统101可包含多个检测器120(例如与由一或多个分光器产生的多个光束路径相关联)以促进计量子系统101的多个计量测量(例如多个计量工具)。
在另一实施例中,系统100包含控制器126,控制器126以通信方式耦合到检测器120。举例来说,控制器126可经配置以接收计量数据,包含但不限于计量数据(例如计量测量结果、目标图像、光瞳图像等等)或计量度量(例如精度、工具诱发移位、敏感度、衍射效率、离焦斜率、侧壁角度、临界尺寸等等)。在另一实施例中,控制器126以通信方式耦合到照明源102。举例来说,控制器126可指导照明源102提供一或多个选定照明波长(例如响应于反馈)。从一般意义上来说,控制器126可与计量子系统101内的任何元件以通信方式耦合。在另一实施例中,控制器126以通信方式耦合到光学组件112及/或照明源102以指导在照明光束104和样本106之间调整入射角。此外,控制器126可分析从检测器120接收的数据且将数据馈送到在计量子系统101内或在系统100外部的额外组件。在本文中应认识到,可由单个控制器126或替代地由多个控制器126实行贯穿本发明所描述的步骤。在本文中应进一步注意,一或多个控制器126可容置于共同外壳中或多个外壳内。以此方式,任何控制器或控制器组合可被单独地封装为适合于集成到完整系统100中的模块。
在另一实施例中,控制器126包含一或多个处理器128。在另一实施例中,一或多个处理器128经配置以执行维持于存储器媒体130或存储器中的一组程序指令。此外,控制器126可包含一或多个模块(例如计量性能分析模块等等),所述一或多个模块包含存储于存储器媒体130中且由一或多个处理器128执行的一或多个程序指令。控制器126的一或多个处理器128可包含所属领域中已知的任何处理元件。从此意义上来说,一或多个处理器128可包含经配置以执行算法及/或指令的任何微处理器型装置。在一个实施例中,一或多个处理器128可由经配置以执行经配置以操作系统100的程序的桌上型计算机、主计算机系统、工作站、图像计算机、并行处理器或任何其它计算机系统(例如网络计算机)组成,如贯穿本发明所描述。应进一步认识到,术语“处理器”可经广泛地定义为涵盖具有执行来自非暂时性存储器媒体130的程序指令的一或多个处理元件的任何装置。
存储器媒体130可包含所属领域中已知的适合于存储可由相关联的一或多个处理器128执行的程序指令的任何存储媒体。举例来说,存储器媒体130可包含非暂时性存储器媒体。作为额外实例,存储器媒体134可包含但不限于只读存储器、随机存取存储器、磁性或光学存储器装置(例如光盘)、磁带、固态驱动器等等。应进一步注意,存储器媒体130可容置于具有一或多个处理器128的共同控制器外壳中。在一个实施例中,存储器媒体130可相对于一或多个处理器128及控制器126的物理位置而远程地定位。举例来说,控制器126的一或多个处理器128可存取可通过网络(例如因特网、企业内部网等等)存取的远程存储器(例如服务器)。因此,上文描述不应被解释为对本发明的限制,而仅仅为说明。
图1B是根据本发明的一或多个实施例的计量系统的框图视图。图1B所描绘的系统100可表示图1A所描述的系统100的替代实施例。在一个实施例中,照明路径108及集光路径122含有单独元件。举例来说,照明路径108可利用第一聚焦元件132以将照明光束104聚焦到样本106上,且集光路径122可利用第二聚焦元件134以从样本106收集辐射。在此方面,第一聚焦组件132及第二聚焦组件134的数值孔径可不同。此外,在本文中应注意,图1B所描绘的系统100可促进样本106及/或多于一个照明源102(例如耦合到一或多个额外检测器120)的多角度照明。在此方面,图1B所描绘的系统100可执行多个计量测量。在另一实施例中,一或多个光学组件112可安装到可旋转臂(未展示),所述可旋转臂围绕样本106枢转,使得可由可旋转臂的位置控制照明光束104在样本106上的入射角。
图2是说明根据本发明的一或多个实施例的并入反馈的系统100的框图。在一个实施例中,系统100包含一或多个计量工具202。与系统100相关联的计量工具202可与多个独立计量工具202相关联或组合于单个计量系统内。在另一实施例中,计量工具202提供计量数据(例如计量测量结果、目标图像、光瞳图像等等)或计量度量(例如精度、工具诱发移位、敏感度、衍射效率、离焦斜率、侧壁角度、临界尺寸等等)作为输出。在另一实施例中,由数据库204接收计量工具202的输出以存储计量工具202的输出。
在另一实施例中,系统100包含计量性能分析模块206。在一个实施例中,计量性能分析模块206从数据库204(例如与当前计量目标及/或一或多个先前特性化计量目标相关联的计量输出)接收计量输出(例如计量数据、计量度量等等)。在另一实施例中,计量性能分析模块206直接从计量工具202中的一或多者接收计量输出(例如不使用数据库204)。
在另一实施例中,计量性能分析模块206监测计量输出,且识别与标称值的一或多个偏差(例如一或多个计量度量的偏差、一或多个目标特性的偏差等等)。此外,计量性能分析模块206可识别与计量目标相关联的一或多个半导体工艺的一或多个变化,其可促成来自计量工具202的计量输出的测定偏差。在一个实施例中,计量性能分析模块206识别可使计量目标的性能降级的对称工艺变化(例如计量目标上的一或多个膜的厚度修改等等)及/或不对称工艺变化(例如不对称蚀刻轮廓等等)。举例来说,对称及/或不对称工艺变化可使与叠加目标相关联的叠加测量的精度降级。
此外,计量性能分析模块206可识别与计量目标(包含但不限于膜厚度参数、依据波长而变化的实数折射率值、依据波长而变化的虚数折射率值(例如与一或多个吸收峰值相关联)、局部平面性或应力/应变)相关联的任何类型的半导体工艺的偏差。另外,计量性能分析模块206可识别计量目标参数(例如但不限于临界尺寸、诱发形貌、表面凹陷、腐蚀、侧壁角度或侧壁角度的不对称性)的偏差。在另一实施例中,计量性能分析模块206识别单元之间、裸片之间、依据样本106上的位置、跨越批量中的样本、跨越批量等等的工艺变化或计量目标参数变化。
在另一实施例中,计量分析模块206确定与计量输出的经识别偏差相关联的一或多个根本原因。举例来说,计量分析模块206可识别至少部分地负责计量输出的测定偏差的一或多个工艺变化(例如与由半导体工艺工具等等执行的一或多个半导体工艺相关联的漂移及/或工艺偏差)。此外,计量分析模块206可依据样本106上的位置来特性化一或多个经识别工艺变化(例如以产生经识别工艺变化的样本映图)。可由控制器126利用计量数据的偏差的样本映图的产生以促进根本原因的识别及/或提供有目标的反馈。举例来说,某些半导体工艺可倾向于显示共同工艺变化(例如氧化物膜层的化学气相沉积可倾向于显示膜厚度的“墨西哥帽(sombrero)轮廓”,硬掩模的化学气相沉积可倾向于显示“圆顶”膜厚度轮廓等等)。
可由系统100利用由计量性能分析模块206进行的一或多个计量输出的偏差的一或多个根本原因的识别以提供对额外计量步骤的修改(例如通过计量数据的前向馈送)。在一个实施例中,计量性能分析模块206将计量性能数据(例如与一或多个经识别工艺变化相关联的数据)提供到配方控制模块208以用于由计量工具202修改一或多个额外计量步骤的配方。举例来说,计量工具202中的一或多者的配方可经修改以改变空间取样签名以补偿计量度量(例如叠加计量度量的敏感度或精度)的偏差。作为另一实例,计量工具202中的一或多者的配方可经修改(例如通过调整照明光束104的波长及/或偏光)以减缩对所检测工艺变化的计量性能相依性。在另一实施例中,计量性能分析模块206将计量性能数据(例如与一或多个经识别工艺变化相关联的数据)提供到目标控制模块210以指导计量目标的修改(例如到计量工具202中的一或多者及/或半导体工艺工具)。举例来说,目标控制模块210可识别对经识别工艺变化较不敏感的计量目标。在此方面,提前已知对不同计量目标的触发对经识别工艺变化较不敏感。在另一实施例中,将与当前计量步骤相关联的数据提供到数据库204(例如计量性能分析模块206可提供计量性能数据,配方控制模块208可提供由计量工具202使用的一或多个配方,目标控制模块210可提供由计量工具202特性化的一或多个计量目标等等)。在另一实施例中,利用与对测量工艺的模拟相关联的计量数据以校准用于根本原因分析的数据。
在本文中应注意,可通过计量数据的前向馈送补偿及/或减轻计量性能的偏差。此外,计量数据的前向馈送可将系统100的性能维持在指定容限内且减缩或消除与相关联的偏离。在一个实施例中,可将由计量性能分析模块206提供的计量性能数据发送到系统操作者。举例来说,可在偏离之前向系统操作者警告一或多个半导体工艺的漂移(例如与制造线上的一或多个半导体工艺工具相关联)。因此,系统操作者可将必要维护提供到对应半导体工艺工具且最小化对制造线的产量的任何影响。在计量偏离的情况下,由计量性能分析模块206提供的计量性能数据可提供额外信息以在问题来源处实现校正动作。
在另一实施例中,利用与一或多个先前计量步骤相关联的计量性能数据(例如由数据库204提供)以预测(例如通过前向馈送计量数据)一或多个半导体工艺的变化对当前计量步骤的影响。举例来说,计量性能分析模块206可计算用于多个可用计量目标的预期计量性能,以便基于反馈来识别用于当前计量步骤的最佳计量目标。作为另一实例,可基于反馈来计算依据计量取样或叠加模型的预期不确定性或偏压。因此,计量性能分析模块206可将进一步反馈提供到计量工具202(例如通过配方控制模块208及/或目标控制模块210)以在计量测量步骤之前基于依据配方、计量目标、计量取样或控制模型的经识别工艺变化的预测影响而减轻任何预期性能偏差。
作为说明性实例,可由计量性能分析模块206依据样本106上的位置而产生计量数据(例如膜厚度、折射率等等)。在此类情况下,将计量数据前向馈送到计量性能分析模块206(例如经由数据库204)会使能够将精度及准确度预测为前向馈送计量数据依据样本106上的位置的值。因此,可预测工艺变化对用于产生曝光工具可校正值的计量模型的值的影响。此外,可针对配方及/或计量目标的任何经计算变化来收集计量模型的偏压及残差。在此方面,可在当前计量步骤之前提供用于计量工具202的计量参数以优化曝光工具可校正值或最小化模型残差。另外,计量性能分析模块206可提供反馈(例如作为可校正值、取样变化等等)作为例如但不限于蚀刻后检验系统或显影后检验系统的检验系统的部分。此外,计量数据变化的根本原因的确定可提供用于使与显影后检验相关联的数据和蚀刻后检验偏压相关的手段(例如以量化与样本106上的牺牲层相关联的效应)。
在一个实施例中,针对后续目标、裸片、晶片、批量等等实时自动地产生(例如由计量性能分析模块206)基于计量数据的前向馈送的对当前计量步骤的修改。在另一实施例中,将基于前向馈送计量数据的对当前计量步骤的建议修改提供到系统操作者以用于验证及/或批准。
在另一实施例中,利用计量数据(例如前向馈送计量数据)以优化计量工具202对一或多个半导体工艺(例如膜厚度、蚀刻不对称性等等)的敏感度。举例来说,计量工具202对半导体工艺的敏感度的优化可提供若干半导体工艺中哪一半导体工艺可能正在漂移的准确确定。作为另一实例,计量工具202可在制造线内的一或多个步骤处提供多个配方及/或计量目标的测量以隔离一或多个工艺变化对计量性能的特定影响(例如以隔离及强化计量数据的测定偏差和所述偏差的一或多个根本原因之间的相关性)。
在另一实施例中,系统100可出于不同目的而利用计量工具202的不同配方。举例来说,对工艺变化稳健的第一配方可用于叠加测量。另外,对工艺变化敏感的第二配方可用于根本原因分析。在此方面,同一计量目标可用于多个功能。在另一实施例中,可使用多个计量目标(例如针对叠加测量使用对工艺变化稳健的第一计量目标且针对根本原因分析使用对工艺变化敏感的第二计量目标)。此外,可由系统通过所属领域中已知的任何方法(包含但不限于自动配方优化)选择与计量工具202相关联的计量目标及/或配方。在另一实施例中,可利用基于两个计量目标及/或两个配方的组合测量的度量以确定测定计量数据变化的根本原因。举例来说,使用工艺稳健目标的计量测量和使用工艺敏感目标的计量测量之间的差异可提供工艺敏感目标的不准确度的量度以促进根本原因的确定。
在另一实施例中,可在一或多个处理步骤之后分析计量目标(例如叠加计量目标等等)以特性化特定工艺步骤计量目标对工艺变化(例如光学路径差(OPD)的变化,OPD是膜层等等的厚度与折射率的组合)的性能。举例来说,计量性能分析模块206可但不限于在一或多个蚀刻步骤之后或在一或多个光刻步骤之后监测计量数据。此外,计量性能分析模块206可在任何工艺步骤之后监测一组不同计量数据。
图3是说明根据本发明的一或多个实施例的用于分析计量系统的性能的方法的流程图。申请人注意到,本文中先前在系统100的上下文中所描述的实施例及启用技术应被解释为扩展到方法300。然而,应进一步注意,方法300并不限于系统100的架构。
在一个实施例中,方法300与存储于控制器126的存储器媒体130上且由一或多个处理器128执行的一或多个命令指令相关联。举例来说,方法300可包含与计量目标结构相关联的不确定性分析。
步骤302说明接收一或多个计量数据集。举例来说,根据本发明的一或多个实施例,计量性能分析模块206可从数据库204及/或计量工具202接收一或多个计量数据集。在一个实施例中,一或多个计量数据集包含但不限于计量数据(例如计量测量结果、目标图像、光瞳图像等等)或计量度量(例如精度、工具诱发移位、敏感度、衍射效率、离焦斜率、侧壁角度、临界尺寸等等)。在另一实施例中,计量性能分析模块206识别计量数据集内表示与标称值的偏差的一或多个值。举例来说,与计量工具202相关联的光瞳图像可提供指示计量目标上的一或多个半导体工艺变化的数据(例如光瞳图像中的一或多个特征)。
根据本发明的一或多个实施例,步骤304说明产生计量目标的几何模型。举例来说,可由计量性能分析模块206产生或(例如由系统操作者)导入计量目标(例如叠加目标、样本106上的一或多个特征等等)的几何模型。在此方面,产生计量目标的参数化版本。另外,在由KLA-TENCOR提供的ACUSHAPE软件产品中实施用于工艺建模的几何引擎的使用。
根据本发明的一或多个实施例,步骤306说明基于计量目标的几何模型模拟一或多个计量度量。在一个实施例中,计量性能分析模块206由计量工具202预测与一或多个配方及/或一或多个计量目标相关联的一或多个计量度量的值。在一个实施例中,计量工具202直接测量与计量目标相关联的物理参数(例如表面轮廓、(例如叠加目标的)一或多个对象之间的间隔等等)。在另一实施例中,使用一或多个计算模型产生或验证与计量数据集相关联的一或多个关注参数。举例来说,照明光束104与样本106上的计量目标的光学相互作用可但不限于使用电磁(EM)解算器进行建模。此外,EM解算器可利用所属领域中已知的任何方法,包含但不限于严格耦合波分析(RCWA)、有限元素法分析、力矩分析法、表面积分技术、体积积分技术或时域有限差分分析。另外,可使用数据拟合及优化技术分析经收集数据,所述技术包含但不限于库、快速降阶模型、回归、例如神经网络的机器学习算法、支持向量机(SVM)、降维算法(例如主分量分析(PCA)、独立分量分析(ICA)、局部线性嵌入(LLE)等等)、数据的稀疏表示(例如傅立叶(Fourier)或小波变换、卡尔曼(Kalman)滤波器、用于促进来自相同或不同工具类型的匹配的算法等等)。举例来说,可但无需凭借由KLA-TENCOR提供的信号响应计量(SRM)软件产品执行数据收集及/或拟合。
在另一实施例中,由不包含建模、优化及/或拟合(例如相位特性化等等)的算法分析由计量工具202产生的原始数据。在本文中应注意,可但无需通过使用并行化、分布式计算、负载平衡、多服务支持、计算硬件的设计及实施或动态负载优化而针对计量应用定制由控制器126执行的计算算法。此外,可但无需由控制器126(例如通过固件、软件或现场可编程门阵列(FPGA)等等)或与计量子系统100相关联的一或多个可编程光学元件执行算法的各种实施方案。
根据本发明的一或多个实施例,步骤308说明产生计量目标的经扰动几何模型。在一个实施例中,根据一或多个选定半导体工艺变化扰动计量目标的几何模型(例如在步骤304中开发的模型)。可由计量性能分析模块206产生或(例如由系统操作者)导入经扰动几何模型。举例来说,可修改与计量目标的几何模型相关联的一或多个膜的厚度。作为另一实例,可修改计量目标内的分量的实数或虚数折射率值(例如与一或多个辐射波长相关联,所述波长与关注配方相关联)。作为额外实例,可修改计量目标(例如光栅覆光栅(grating-over-grating)计量叠加目标)的一或多个分量的侧壁角度。
根据本发明的一或多个实施例,步骤310说明基于一或多个半导体工艺变化模拟一或多个计量度量的一或多个变化。在一个实施例中,计量性能分析模块206对经扰动几何模型(例如在步骤308中开发的经扰动几何模型)重复在步骤306中执行的计算及/或模拟。在此方面,计量性能分析模块206可确定由计量工具202提供的计量度量的一或多个值和在步骤308中建模的一或多个选定半导体工艺变化(例如与计量目标相关联的一或多个膜的厚度的变化、计量目标内的分量的实数或虚数折射率值、计量目标的一或多个分量的侧壁角度等等)之间的一或多个关系。在另一实施例中,记录(例如在数据库204中)计量度量的偏差和已知半导体工艺变化之间的总关系。
步骤312说明确定由计量工具202提供的测定计量数据(例如包含与标称值的一或多个偏差)的一或多个根本原因。在一个实施例中,计量性能分析模块206利用一或多个计量度量的一或多个值和在步骤304到310中产生的一或多个选定半导体工艺变化之间的一或多个记录关系以确定引起在步骤302中测量的计量度量的一或多个半导体工艺变化。此外,计量性能分析模块206可产生依据样本106上的位置而变化的一或多个半导体工艺的映图。举例来说,计量性能分析模块206可提供跨越样本106的表面的膜厚度以确定膜厚度在中心最高且朝向样本106的边缘减小。计量性能分析模块206可进一步确定计量目标(例如叠加目标)的精度可归因于膜的非均匀施加而根据样本106上的计量目标的径向位置变化。作为另一实例,计量性能分析模块206可提供计量目标上的特征的侧壁角度以确定特征的侧壁角度或其不对称性跨越样本106的表面线性地变化。计量性能分析模块206可进一步确定计量目标(例如叠加目标)的精度可归因于与蚀刻处理步骤相关联的偏差而根据样本106上的计量目标的线性位置变化。
在本文中应注意,用于分析计量系统的性能的方法300的描述是仅仅出于说明性目的而提供且不应被解释为限制性。在另一实施例中,计量性能分析模块206通过回归分析来确定测定计量度量的一或多个偏差的一或多个根本原因。举例来说,计量性能分析模块206可利用回归分析技术以确定计量目标的几何及光学参数和计量数据(例如与计量工具202相关联的实际图像及/或光瞳图像)之间的关系。在另一实施例中,计量性能分析模型通过模拟与回归分析步骤的组合来确定测定计量度量的一或多个偏差的一或多个根本原因。
在另一实施例中,系统100包含操作为散射测量叠加计量工具的一或多个计量工具202。此外,与系统100内的控制器126相关联的计量性能分析模块206可通过计量工具202的光瞳图像的分析来识别及评估半导体工艺变化。现在将更详细地描述将计量工具202的光瞳图像用作确定计量数据偏差(例如可影响叠加测量性能的工艺变化)的一或多个根本原因的计量度量。举例来说,计量性能分析模块206可监测计量子系统101的光瞳图像内的一或多个光瞳特征以识别对称及不对称工艺变化两者。应注意,将计量工具202的光瞳图像用作确定计量数据偏差的一或多个根本原因的计量度量的描述是仅仅出于说明性目的而提供且不应被解释为限制性。从一般意义上来说,由计量工具202产生的任何计量数据可用于确定计量数据偏差的一或多个根本原因。
在本文中应认识到,用于散射测量叠加计量工具中的计量目标(例如叠加目标等等)通常包含光栅覆光栅结构。举例来说,光栅覆光栅散射测量叠加目标的单元可包含计量目标的一个层中的一系列周期性结构(例如衍射光栅),其堆叠于第二层中的至少第二系列周期性结构上方。在此方面,计量目标的单元是由至少两个堆叠衍射光栅形成。另外,叠加目标可包含多个单元,其中在多个衍射光栅之间具有不同预定偏移(例如横向平移)。在此方面,叠加测量会测量跨越单元中的每一者恒定的偏移,这又可与半导体工艺的两个层的对准误差相关联。
在本文中应进一步认识到,在散射测量叠加计量工具中,入射于样本106上的计量目标上的照明光束104将产生至少部分地由检测器120检测的良好定义的衍射图案。位于图像平面处的(例如光谱仪)可提供与由计量工具202捕获的辐射波长(例如穿过入射光瞳进入的辐射波长)相关联的数据。因此,位于散射测量叠加计量工具的光瞳平面处的检测器120(例如CCD检测器)可提供与光进入系统的角度相关联的数据。在此方面,光瞳平面中的每一像素测量与照明光束104的不同衍射角相关联的计量目标的反射率。
因此,可通过在叠加目标的单元中的每一者的测量之间产生差分信号而在散射测量叠加计量工具中执行叠加测量。举例来说,经配置以测量来自计量目标的零阶衍射的散射测量叠加计量工具可需要来自具有不同预定偏移的计量目标的四个单元的测量以确定沿着单个方向的叠加偏移。作为另一实例,经配置以测量来自计量目标的一阶衍射(例如+1及-1衍射阶)的散射测量叠加计量工具可需要来自具有不同预定偏移的计量目标的两个单元的测量以确定沿着单个方向的叠加偏移。在此方面,差分信号可为来自计量目标的两个单元中的每一者的测量的逐像素减法。
在另一实施例中,与系统100相关联的计量度量可由差分信号产生。举例来说,系统100可产生多个差分信号,例如但不限于D1=S+1-S-1、D2=S+2-S-2、Dalpha=S+1-S-2或Dbeta=S+2-S-1,其中S+1及S-1是与来自计量目标的第一单元的+/-1衍射阶相关联的信号且S+2及S-2是与来自计量目标的第二单元的+/-1衍射阶相关联的信号。此外,系统100可产生与例如但不限于G=α-β=(D1-D2)/2f0或Δ=(Dalpha-Dbeta)/2f0的差分信号相关联的额外量,其中f0是预定偏移(例如光栅覆光栅计量目标的第一衍射光栅和第二衍射光栅之间的横向偏移)。在此方面,计量目标的单元的信号可被但无需被建模为S+1=C+α(∈+f0)、S-1=C-β(∈+f0)、S+2=C+α(∈-f0)或S-2=C-β(∈-f0),其中∈指示叠加误差(例如计量目标的层之间的恒定叠加)。
在本文中应注意,可利用(例如由计量性能分析模块206)差分信号及/或由差分信号计算的量中的任一者以确定计量数据和一或多个工艺变化之间的相关性(例如在根本原因分析中)。举例来说,α与β不同的程度以及此差异依据跨越样本106的表面的位置的变化性可促进不对称工艺变化的确定。作为另一实例,C值可被计算为不对称工艺变化的指示符。作为另外实例,Δ可提供数据以促进确定用于由系统100使用的计量的模型,例如但不限于单散射模型(例如,与包含单个衍射光栅的计量目标等等相关联)或多散射模型(例如与包含多个衍射光栅的计量目标等等相关联)。在此方面,在单散射模型中,信号O1=f0·Dalpha/(D1-D2)及O2=f0·Dbeta/(D1-D2)可具有对测定叠加值的相等贡献。此外,对于单散射模型,关于与计量目标相关联的光学路径差的相位可被计算为:
其中P是与计量目标相关联的衍射光栅的间距。
在一个实施例中,计量性能分析模块206利用与光栅覆光栅计量目标相关联的谐振效应以依据样本106上的位置来识别、测量及辨别工艺变化的不同来源(例如对称及/或不对称工艺变化)。在此方面,散射测量叠加计量工具的光瞳图像可形成与方法300相关联的计量数据的至少部分。在本文中应注意,光栅覆光栅计量目标可操作为法布里-佩洛(Fabry-Perot)状谐振器,使得散射测量叠加计量工具可以入射光瞳上的特定辐射波长及/或入射角测量消失信号。在此方面,计量工具(例如散射测量叠加计量工具等等)的光瞳平面可包含一或多个特征,例如但不限于由检测器120捕获的信号中与给定光瞳敏感度位置处的消失敏感度相关联的不连续性弧等等。因此,一或多个光瞳特征可为(例如由计量性能分析模块206)用于确定计量数据的偏差的根本原因的计量度量。
图4A及4B包含根据本发明的一或多个实施例的与两个空间分离计量目标相关联的散射测量叠加计量工具的计量数据,其说明两个目标之间的对称工艺变化。在一个实施例中,计量性能分析模块206可通过监测光瞳平面内的不连续性弧402的位置的变化及/或与光栅覆光栅计量目标相关联的谐振波长的移位而检测空间分离计量目标之间的对称工艺变化(例如膜厚度的变化、临界尺寸的变化等等)。
如图4A所说明,在一个实施例中,将与样本106上的第一位置处的第一计量目标相关联的光瞳图像(例如与计量目标的单元相关联的差分信号)展示为光瞳信号404a(例如具有光瞳坐标PX及PY)。此外,包含光瞳平面中的消失信号的区域(例如与以指定角度进入系统的光相关联)的不连续性弧402在光瞳平面内的第一位置处可见。在另一实施例中,在光谱406a中说明进入光瞳的辐射光谱(例如如由图像平面处的检测器120所检测)。谐振信号408a说明围绕第一波长(例如如图4所展示的500nm)的谐振。误差信号410a说明与计量目标相关联的叠加测量的对应不准确度。叠加测量的不准确度可但不限于表示如由散射测量叠加测量工具使用工艺敏感配方(例如包含不连续性弧402)所测量的叠加和实际叠加(例如如使用工艺稳健配方或使用单独分析所测量)之间的差异。如图4A所说明,误差信号410a可针对谐振波长附近的波长(例如照明光束104的波长)而变化。
如图4B所说明,在另一实施例中,将与样本106上的第二位置处的第二计量目标相关联的光瞳图像(例如与计量目标的单元相关联的差分信号)展示为光瞳信号404b(例如具有光瞳坐标PX及PY)。此外,不连续性弧402在光瞳平面内的第二位置处可见。在另一实施例中,在光谱406b中说明进入光瞳的辐射光谱(例如如由图像平面处的检测器120所检测)。与谐振信号408b相关联的谐振及对应误差信号410b相对于第一计量目标的谐振移位(例如移位到550nm,如图4B所展示)。
图5包含根据本发明的一或多个实施例的说明光瞳平面中的不连续性弧402依据样本106上的位置的位置变化的样本映图500。在此方面,每一信号502提供与经监测光瞳特征(例如不连续性弧402的位置、谐振波长等等)相关联的值。在一个实施例中,如图5所展示,与指示对称工艺变化(例如膜厚度的变化、临界尺寸的变化等等)的经监测光瞳特征相关联的值的量值依据样本106上的位置而改变,其中在样本106的中心附近具有最大值。
在另一实施例中,应用方法300以开发已知对称工艺变化和计量数据(例如光瞳平面中的不连续性弧402的位置、谐振频率等等)之间的一或多个相关性。举例来说,某些膜沉积过程(例如但不限于化学气相沉积过程)产生经沉积膜的厚度变化(例如与硬掩模的沉积相关联的圆顶轮廓、与氧化物膜的沉积相关联的波状轮廓等等)。在一个实施例中,系统100可识别样本106上的对称工艺的变化且提供计量性能数据(例如通过计量性能分析模块206提供到配方控制模块208),以修改用于一或多个计量工具202的配方以减轻对称性能变化对计量性能的影响。在另一实施例中,目标控制模块210可识别印刷于晶片上的不同位点处的不同计量目标(例如具有不同光栅间距)以减轻对称性能变化对计量性能的影响。
图6包含根据本发明的一或多个实施例的与两个空间分离计量目标相关联的散射测量叠加计量工具的计量数据,其说明两个目标之间具有相同方向性的不对称工艺变化。举例来说,不对称工艺变化具有相同方向性的两个目标可包含但不限于左倾侧壁的变化角度。在一个实施例中,计量性能分析模块206可通过监测光瞳平面内的谐振强度及/或依据样本106上的位置而相关联的测定叠加的趋势来检测空间分离计量目标之间的相同方向性的不对称工艺变化。另外,计量性能分析模块206可通过监测在谐振配方(例如具有例如但不限于不连续性弧的光瞳特征的配方)中测量的叠加和在稳健配方(例如不具有与叠加目标中的谐振相关联的光瞳特征的配方)中测量的叠加之间的差异的符号而检测不对称工艺变化。
在另一实施例中,谐振信号602a、602b分别说明与空间分离的第一及第二计量目标相关联的谐振。此外,误差信号604a、604b分别说明在第一及第二计量目标处的叠加测量的对应不准确度。举例来说,对于相同方向性的不对称工艺变化,不准确度的符号(例如如由依据样本106上的位置的叠加数据的趋势或通过与使用稳健配方的测量的比较所特性化)可相同。然而,可由谐振强度(例如如由位于计量工具202的图像平面中的检测器120所测量)特性化(例如由计量性能分析模块206)相同方向性的不对称工艺变化的程度。此外,在如图6所展示的一个实施例中,相同方向性的不对称工艺变化可能不会影响光瞳平面中的谐振频率。
图7包含根据本发明的一或多个实施例的与两个空间分离计量目标相关联的散射测量叠加计量工具的计量数据,其说明两个目标之间具有不同方向性的不对称工艺变化。在一个实施例中,计量性能分析模块206可通过监测与光栅覆光栅计量目标相关联的测定叠加的不准确度的符号而检测空间分离计量目标之间具有不同方向性的不对称工艺变化(例如右倾侧壁角度和左倾侧壁角度之间的变化等等)。
在另一实施例中,谐振信号702a、702b分别说明与空间分离的第一及第二计量目标相关联的谐振。此外,误差信号704a、704b分别说明在第一及第二计量目标处的叠加测量的对应不准确度。举例来说,对于相同方向性的不对称工艺变化,不准确度的符号(例如如由依据样本106上的位置的叠加数据的趋势或通过与使用稳健配方的测量的比较所特性化)可不同。此外,如图7所说明,在一个实施例中,具有不同方向性的不对称工艺变化可能不会影响光瞳平面中的谐振频率。
图8包含根据本发明的一或多个实施例的与样本上的三个空间分离计量目标相关联的包含不对称工艺变化的叠加测量信号。在一个实施例中,分别由叠加信号802、804及806展示依据在样本106上的空间分离位置处的第一计量目标、第二计量目标及第三计量目标的波长的叠加测量。举例来说,计量性能分析模块206可通过监测依据样本106上的位置的叠加信号802、802及806的趋势而检测不对称工艺变化(例如从左倾切换到右倾的侧壁角度变化)的方向。如图8所展示,在一个实施例中,叠加信号804在针对所有测定波长的叠加误差的约-3nm处近似恒定(例如归因于光瞳平面中的弱或缺失不连续性弧)。在另一实施例中,与样本106上的不同位置相关联的叠加信号802在405nm处具有-1.8nm的值,在420nm处上升到-1nm,在445nm处下降到-4.9,且在490nm处上升到-4.1。在另一实施例中,与样本上的第三位置相关联的叠加信号806的趋势围绕-3nm的叠加值近似为叠加信号802的趋势的镜像。在此方面,计量性能分析模块206可基于来自计量工具202的叠加信号802、804、806的分析而识别不对称工艺变化的方向变化。此外,计量性能分析模块206可确定仅弱不对称工艺变化可与第二计量目标相关联(例如与叠加信号804相关联),而与第一及第三计量目标相关联(例如与叠加信号802及806相关联)的不对称工艺变化具有近似相同强度,但具有相反方向性。
本文中所描述的主题有时说明其它组件内所含有或与其它组件连接的不同组件。应理解,此类所描绘的架构仅仅是示范性的,且事实上可实施实现相同功能性的许多其它架构。从概念意义上来说,用于实现相同功能性的组件的任何布置有效地“相关联”,使得实现所要功能性。因此,在不考虑架构或中间组件的情况下,本文中经组合以实现特定功能性的任何两个组件可被视为彼此“相关联”,使得实现所要功能性。同样地,如此相关联的任何两个组件也可被视为彼此“连接”或“耦合”以实现所要功能性,且能够如此相关联的任何两个组件也可被视为彼此“可耦合”以实现所要功能性。可耦合的特定实例包含但不限于可物理交互及/或物理交互的组件及/或可无线交互及/或无线交互的组件及/或可逻辑交互及/或逻辑交互的组件。
据信,将通过前述描述理解本发明及其许多伴随优势,且将明白,可在不脱离所揭示的主题或不牺牲其全部材料优势的情况下对组件的形式、构造及布置做出各种改变。所描述的形式仅仅是阐释性的,且所附权利要求书希望涵盖及包含此类改变。此外,应理解,本发明由所附权利要求书界定。

Claims (33)

1.一种计量性能分析系统,其包括:
计量工具,其包含一或多个检测器;及
控制器,其以通信方式耦合到所述一或多个检测器,所述控制器包含经配置以执行程序指令的一或多个处理器,所述程序指令经配置以致使所述一或多个处理器进行以下操作:
从所述计量工具接收与计量目标相关联的一或多个计量数据集,其中所述一或多个计量数据集包含一或多个测定计量度量,其中所述一或多个测定计量度量指示与一或多个标称值的一或多个偏差;
确定与所述一或多个标称值的所述一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系;及
基于与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系确定与所述一或多个标称值的所述一或多个偏差的一或多个根本原因。
2.根据权利要求1所述的系统,其中所述确定与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的一或多个关系进一步包括:
产生所述计量目标的几何模型;
基于所述计量目标的所述几何模型模拟一或多个计量度量;
产生所述计量目标的经扰动几何模型,其中所述经扰动几何模型包含由所述一或多个选定半导体工艺变化造成的所述计量目标的一或多个更改;
基于所述一或多个选定半导体工艺变化模拟所述一或多个计量度量的一或多个变化,以确定与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系。
3.根据权利要求1所述的系统,其中所述计量目标是叠加目标。
4.根据权利要求3所述的系统,其中所述叠加目标包含光栅覆光栅叠加目标。
5.根据权利要求1所述的系统,其中所述一或多个计量度量包含光瞳图像度量、精度、工具诱发移位、敏感度、衍射效率或离焦斜率中的至少一者。
6.根据权利要求1所述的系统,其中所述一或多个计量数据集包含非叠加数据。
7.根据权利要求1所述的系统,其中所述一或多个选定半导体工艺变化包含膜厚度、与一或多个波长相关联的实数折射率值、与一或多个波长相关联的虚数折射率值、平面性、应力、应变、临界尺寸、表面凹陷、腐蚀或侧壁角度中的至少一者。
8.根据权利要求1所述的系统,其中所述一或多个处理器经进一步配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器进行以下操作:
基于所述根本原因修改所述一或多个选定半导体工艺的配方。
9.根据权利要求8所述的系统,其中所述修改所述一或多个选定半导体工艺的所述配方包括:
修改与所述计量工具相关联的照明光束的波长或偏光中的至少一者。
10.根据权利要求1所述的系统,其中所述一或多个处理器经进一步配置以执行程序指令,所述程序指令经配置以致使所述一或多个处理器进行以下操作:
基于所述根本原因指导所述计量工具用替代计量目标替换所述计量目标。
11.根据权利要求10所述的系统,其中所述基于所述根本原因指导所述计量工具用替代计量目标替换所述计量目标会致使与所述一或多个标称值的所述一或多个偏差减缩。
12.根据权利要求1所述的系统,其中所述计量工具包含椭偏仪。
13.根据权利要求12所述的系统,其中所述椭偏仪包含单波长椭偏仪、光谱椭偏仪或角分辨椭偏仪中的至少一者。
14.根据权利要求13所述的系统,其中所述椭偏仪包含以多个照明角度引导到所述计量目标的多个照明光束。
15.根据权利要求13所述的系统,其中所述光谱椭偏仪测量穆勒矩阵元素。
16.根据权利要求1所述的系统,其中所述计量工具包含反射计。
17.根据权利要求16所述的系统,其中所述反射计包含单波长反射计、光谱反射计或角分辨反射计中的至少一者。
18.根据权利要求1所述的系统,其中所述计量工具包含成像系统。
19.根据权利要求18所述的系统,其中所述成像系统包含光瞳成像系统或光谱成像系统中的至少一者。
20.根据权利要求1所述的系统,其中所述计量工具包含具有光瞳成像系统的角分辨散射仪。
21.根据权利要求20所述的系统,其中从光瞳图像提取一或多个测定计量度量,其中所述计量目标是光栅覆光栅结构。
22.根据权利要求21所述的系统,其中所述一或多个测定计量度量包含所述光瞳图像中的光瞳特征。
23.根据权利要求22所述的系统,其中与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系包含与对称选定半导体工艺变化相关联的所述光瞳图像中的所述光瞳特征的位置的变化。
24.根据权利要求22所述的系统,其中与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系包含与不对称选定半导体工艺变化相关联的所述光瞳图像中的所述光瞳特征的强度的变化。
25.根据权利要求22所述的系统,其中与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系包含与不对称选定半导体工艺变化的方向性相关联的所述光瞳图像中的所述光瞳特征的符号的变化。
26.根据权利要求25所述的系统,其中所述不对称选定半导体工艺变化的所述方向性包括:
侧壁角度不对称性的方向性。
27.根据权利要求1所述的系统,其中确定所述一或多个根本原因包含:在晶片表面上的一或多个位置处产生所述一或多个测定计量度量的一或多个值的映图。
28.根据权利要求1所述的系统,其中所述计量工具进一步包括:
照明源,其经配置以产生照明光束;
成像系统,其经配置以将所述照明光束引导到所述计量目标上;及
一或多个集光光学器件,其经配置以将入射于所述计量目标上的所述照明光束的至少一部分捕获到所述一或多个检测器以产生所述一或多个计量数据集。
29.根据权利要求1所述的系统,其中所述控制器集成于所述计量工具内。
30.一种计量性能分析系统,其包括:
计量工具,其包含一或多个检测器;及
控制器,其以通信方式耦合到所述一或多个检测器,所述控制器包含经配置以执行程序指令的一或多个处理器,所述程序指令经配置以致使所述一或多个处理器进行以下操作:
从所述计量工具接收与计量目标相关联的一或多个计量数据集,其中所述一或多个计量数据集包含一或多个测定计量度量,其中所述一或多个测定计量度量指示与一或多个标称值的一或多个偏差,其中使用第一配方产生所述一或多个计量数据集;
确定与所述一或多个标称值的所述一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系;及
基于与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系确定与所述一或多个标称值的所述一或多个偏差的一或多个根本原因;及
指导所述计量工具使用第二配方产生与至少一个额外计量目标相关联的一或多个额外测定计量度量,其中所述第二配方减缩所述计量工具对所述一或多个根本原因的敏感度。
31.根据权利要求30所述的系统,其中所述确定与所述一或多个标称值的所述一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系进一步包括:
产生所述计量目标的几何模型;
基于所述计量目标的所述几何模型模拟一或多个计量度量;
产生所述计量目标的经扰动几何模型,其中所述经扰动几何模型包含由所述一或多个选定半导体工艺变化造成的所述计量目标的一或多个更改;
基于所述一或多个选定半导体工艺变化模拟所述一或多个计量度量的一或多个变化,以确定所述一或多个计量度量的所述一或多个值和所述一或多个选定半导体工艺变化之间的所述一或多个关系。
32.一种用于分析计量系统的性能的方法,其包括:
接收与计量目标相关联的一或多个计量数据集,其中所述一或多个计量数据集包含一或多个测定计量度量,其中所述一或多个测定计量度量指示与一或多个标称值的偏差;
确定与所述一或多个标称值的一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系;及
基于与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系确定与所述一或多个标称值的所述一或多个偏差的一或多个根本原因。
33.根据权利要求32所述的方法,其中所述确定与所述一或多个标称值的所述一或多个偏差和一或多个选定半导体工艺变化之间的一或多个关系进一步包括:
产生所述计量目标的几何模型;
基于所述计量目标的所述几何模型模拟一或多个计量度量;
产生所述计量目标的经扰动几何模型,其中所述经扰动几何模型包含由所述一或多个选定半导体工艺变化造成的所述计量目标的一或多个更改;
基于所述一或多个选定半导体工艺变化模拟所述一或多个计量度量的一或多个变化,以确定与所述一或多个标称值的所述一或多个偏差和所述一或多个选定半导体工艺变化之间的所述一或多个关系。
CN201680019827.4A 2015-04-06 2016-04-05 在计量系统中计量数据的前向馈送 Active CN107429995B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201562143689P 2015-04-06 2015-04-06
US62/143,689 2015-04-06
US201562161982P 2015-05-15 2015-05-15
US62/161,982 2015-05-15
US15/090,389 2016-04-04
US15/090,389 US9903711B2 (en) 2015-04-06 2016-04-04 Feed forward of metrology data in a metrology system
PCT/US2016/026060 WO2016164372A1 (en) 2015-04-06 2016-04-05 Feed forward of metrology data in a metrology system

Publications (2)

Publication Number Publication Date
CN107429995A true CN107429995A (zh) 2017-12-01
CN107429995B CN107429995B (zh) 2020-02-28

Family

ID=57017454

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680019827.4A Active CN107429995B (zh) 2015-04-06 2016-04-05 在计量系统中计量数据的前向馈送

Country Status (7)

Country Link
US (1) US9903711B2 (zh)
JP (1) JP6807865B2 (zh)
KR (1) KR102322724B1 (zh)
CN (1) CN107429995B (zh)
SG (1) SG11201708135YA (zh)
TW (1) TWI708053B (zh)
WO (1) WO2016164372A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111433559A (zh) * 2017-12-12 2020-07-17 科磊股份有限公司 增强计量目标信息内容
CN111566566A (zh) * 2018-06-14 2020-08-21 诺威量测设备股份有限公司 半导体制造的度量和制程控制
TWI711879B (zh) * 2018-05-01 2020-12-01 日商佳能股份有限公司 控制裝置、微影蝕刻設備和物件的製造方法
TWI765043B (zh) * 2018-03-13 2022-05-21 美商克萊譚克公司 覆蓋計量系統及方法
TWI781335B (zh) * 2019-07-19 2022-10-21 德商科尼亞克公司 先進工藝控制方法及晶片製造元件

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10642255B2 (en) * 2013-08-30 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Component control in semiconductor performance processing with stable product offsets
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
JP6770958B2 (ja) * 2014-11-25 2020-10-21 ケーエルエー コーポレイション ランドスケープの解析および利用
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
US10409171B2 (en) * 2017-01-25 2019-09-10 Kla-Tencor Corporation Overlay control with non-zero offset prediction
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10444161B2 (en) 2017-04-05 2019-10-15 Kla-Tencor Corporation Systems and methods for metrology with layer-specific illumination spectra
WO2018188891A1 (en) 2017-04-14 2018-10-18 Asml Netherlands B.V. Method of measuring
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
US10806016B2 (en) 2017-07-25 2020-10-13 Kla Corporation High power broadband illumination source
US11187838B2 (en) * 2017-07-31 2021-11-30 Kla Corporation Spectral filter for high-power fiber illumination sources
US10401738B2 (en) * 2017-08-02 2019-09-03 Kla-Tencor Corporation Overlay metrology using multiple parameter configurations
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
CN111052328B (zh) * 2017-08-30 2021-08-03 科磊股份有限公司 根据工艺变化的计量测量参数的快速调整
US10699969B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corporation Quick adjustment of metrology measurement parameters according to process variation
EP3451061A1 (en) * 2017-09-04 2019-03-06 ASML Netherlands B.V. Method for monitoring a manufacturing process
EP3627228A1 (en) 2017-09-28 2020-03-25 ASML Netherlands B.V. Lithographic method
US10466597B2 (en) * 2017-11-01 2019-11-05 Texas Instruments Incorporated Methods and apparatus to control grayscale photolithography
WO2019206586A1 (en) 2018-04-26 2019-10-31 Asml Netherlands B.V. Alignment sensor apparatus for process sensivity compensation
US11410111B1 (en) * 2018-08-08 2022-08-09 Wells Fargo Bank, N.A. Generating predicted values based on data analysis using machine learning
US11630396B2 (en) 2018-08-14 2023-04-18 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
US11333982B2 (en) * 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
KR102135725B1 (ko) * 2019-06-03 2020-07-20 주식회사 한화 자동 착륙 제어 장치 및 이의 동작 방법
KR20210011278A (ko) 2019-07-22 2021-02-01 삼성전자주식회사 Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
KR20220093360A (ko) * 2019-12-19 2022-07-05 에이에스엠엘 네델란즈 비.브이. 구조체 내에서 서로 상이한 층에 있는 금속성 피처 사이의 전기적 접촉을 광학적으로 결정하는 방법
US20230035404A1 (en) * 2019-12-26 2023-02-02 Nova Ltd. Combined ocd and photoreflectance method and system
US11761969B2 (en) 2020-01-21 2023-09-19 Kla Corporation System and method for analyzing a sample with a dynamic recipe based on iterative experimentation and feedback
KR20210156894A (ko) * 2020-06-18 2021-12-28 삼성전자주식회사 스루-포커스 이미지 기반 계측 장치, 그것의 동작 방법, 및 그 동작을 실행하는 컴퓨팅 장치
US11209737B1 (en) * 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
US11604063B2 (en) * 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
US11854854B2 (en) * 2021-07-23 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for calibrating alignment of wafer and lithography system

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050192698A1 (en) * 2004-02-26 2005-09-01 Chang Yung Cheng Method and system for improving process control for semiconductor manufacturing operations
US20090037134A1 (en) * 2007-07-30 2009-02-05 Ashok Kulkarni Semiconductor device property extraction, generation, visualization, and monitoring methods
US20120206729A1 (en) * 2011-02-10 2012-08-16 Kla-Tencor Corporation Structured illumination for contrast enhancement in overlay metrology
US20130003050A1 (en) * 2011-06-29 2013-01-03 Kla-Tencor Corporation System and method for nondestructively measuring concentration and thickness of doped semiconductor layers
US20130304424A1 (en) * 2012-05-08 2013-11-14 Kla-Tencor Corporation Metrology Tool With Combined X-Ray And Optical Scatterometers
CN103582819A (zh) * 2011-04-06 2014-02-12 科磊股份有限公司 用于提供经改进过程控制的质量度量的方法及系统
US20140089870A1 (en) * 2012-09-06 2014-03-27 Asml Netherlands B.V. Inspection Method and Apparatus and Lithographic Processing Cell
US20140199791A1 (en) * 2012-11-20 2014-07-17 Kla-Tencor Corporation Method and System for Universal Target Based Inspection and Metrology
CN104395996A (zh) * 2012-05-08 2015-03-04 科磊股份有限公司 基于光谱敏感度及工艺变化的测量配方优化

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE169400T1 (de) * 1991-01-11 1998-08-15 Rudolph Res Corp Gleichzeitiges vielfach-winkel/vielfach- wellenlängen ellipsometer und methode
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
JP2001304842A (ja) 2000-04-25 2001-10-31 Hitachi Ltd パターン検査方法及びその装置並びに基板の処理方法
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
US7567351B2 (en) * 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US8525993B2 (en) * 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
US20130042089A1 (en) 2011-08-11 2013-02-14 Advanced Micro Devices, Inc. Word line late kill in scheduler
CN105830069B (zh) * 2013-12-11 2021-04-20 科磊股份有限公司 依据要求的目标及工艺灵敏度分析

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050192698A1 (en) * 2004-02-26 2005-09-01 Chang Yung Cheng Method and system for improving process control for semiconductor manufacturing operations
US20090037134A1 (en) * 2007-07-30 2009-02-05 Ashok Kulkarni Semiconductor device property extraction, generation, visualization, and monitoring methods
US20120206729A1 (en) * 2011-02-10 2012-08-16 Kla-Tencor Corporation Structured illumination for contrast enhancement in overlay metrology
CN103582819A (zh) * 2011-04-06 2014-02-12 科磊股份有限公司 用于提供经改进过程控制的质量度量的方法及系统
US20130003050A1 (en) * 2011-06-29 2013-01-03 Kla-Tencor Corporation System and method for nondestructively measuring concentration and thickness of doped semiconductor layers
US20130304424A1 (en) * 2012-05-08 2013-11-14 Kla-Tencor Corporation Metrology Tool With Combined X-Ray And Optical Scatterometers
CN104395996A (zh) * 2012-05-08 2015-03-04 科磊股份有限公司 基于光谱敏感度及工艺变化的测量配方优化
US20140089870A1 (en) * 2012-09-06 2014-03-27 Asml Netherlands B.V. Inspection Method and Apparatus and Lithographic Processing Cell
US20140199791A1 (en) * 2012-11-20 2014-07-17 Kla-Tencor Corporation Method and System for Universal Target Based Inspection and Metrology

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111433559A (zh) * 2017-12-12 2020-07-17 科磊股份有限公司 增强计量目标信息内容
TWI765043B (zh) * 2018-03-13 2022-05-21 美商克萊譚克公司 覆蓋計量系統及方法
TWI711879B (zh) * 2018-05-01 2020-12-01 日商佳能股份有限公司 控制裝置、微影蝕刻設備和物件的製造方法
CN111566566A (zh) * 2018-06-14 2020-08-21 诺威量测设备股份有限公司 半导体制造的度量和制程控制
CN111566566B (zh) * 2018-06-14 2022-04-08 诺威有限公司 半导体制造的度量和制程控制
TWI781335B (zh) * 2019-07-19 2022-10-21 德商科尼亞克公司 先進工藝控制方法及晶片製造元件

Also Published As

Publication number Publication date
KR20170136565A (ko) 2017-12-11
JP6807865B2 (ja) 2021-01-06
WO2016164372A1 (en) 2016-10-13
TW201702588A (zh) 2017-01-16
JP2018515911A (ja) 2018-06-14
US9903711B2 (en) 2018-02-27
KR102322724B1 (ko) 2021-11-05
SG11201708135YA (en) 2017-11-29
US20160290796A1 (en) 2016-10-06
TWI708053B (zh) 2020-10-21
CN107429995B (zh) 2020-02-28

Similar Documents

Publication Publication Date Title
CN107429995A (zh) 在计量系统中计量数据的前向馈送
TWI675179B (zh) 多重圖案化參數之量測
US9772562B2 (en) Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods and apparatus
CN105874387B (zh) 用于设计量测目标的方法和设备
TWI649628B (zh) 用於半導體目標之量測的微分方法及裝置
CN110083017B (zh) 多模型计量
US9355200B2 (en) Method and apparatus for design of a metrology target
JP6723269B2 (ja) 焦点感応オーバーレイターゲットを使用する焦点決定のためのシステムおよび方法
TWI631476B (zh) 用於裝置上之量測之方法及系統
CN107924561A (zh) 使用图像的以模型为基础的计量
TWI638993B (zh) 具有包括可重複使用之子結構的半導體裝置模型之度量衡系統
US20160349627A1 (en) Measuring a Process Parameter for a Manufacturing Process Involving Lithography
CN105593973A (zh) 用于确定聚焦的方法及设备
NL2007088A (en) Method and apparatus for determining an overlay error.
CN112331576A (zh) 验证计量目标及其设计
TW201708810A (zh) 自動計量系統之選擇
TWI714617B (zh) 製程敏感計量之系統及方法
CN108886004A (zh) 用于自动多区带检测及建模的系统及方法
US9360768B2 (en) Inspection method and apparatus
TW202249134A (zh) 自校準疊對計量
CN110392920A (zh) 基于超出规格点的减少的用于对准测量的取样图的确定
KR20210027497A (ko) 위상 공개 광학 및 x 선 반도체 계측
KR20240025497A (ko) 스큐 트레이닝 샘플을 사용하는 자가 교정 오버레이 계측

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant