CN104395996A - 基于光谱敏感度及工艺变化的测量配方优化 - Google Patents

基于光谱敏感度及工艺变化的测量配方优化 Download PDF

Info

Publication number
CN104395996A
CN104395996A CN201380031757.0A CN201380031757A CN104395996A CN 104395996 A CN104395996 A CN 104395996A CN 201380031757 A CN201380031757 A CN 201380031757A CN 104395996 A CN104395996 A CN 104395996A
Authority
CN
China
Prior art keywords
measurement
model
parameter sets
object construction
measuring technique
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380031757.0A
Other languages
English (en)
Other versions
CN104395996B (zh
Inventor
史帝蓝·伊凡渥夫·潘戴夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN104395996A publication Critical patent/CN104395996A/zh
Application granted granted Critical
Publication of CN104395996B publication Critical patent/CN104395996B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明揭示一种优化测量配方,其通过缩减实现满意测量结果所需的测量技术及机器参数范围集合加以确定。测量技术及机器参数范围集合的所述缩减是基于与初始测量模型相关联的可用工艺变化信息及光谱敏感度信息。所述工艺变化信息及所述光谱敏感度信息用以确定浮动参数较少且参数之间相关性较小的第二测量模型。使用所述第二约束模型及对应于缩减的测量技术及机器参数范围集合的测量数据集合执行后续测量分析。比较所述后续测量分析的结果与参考测量结果以确定估计参数值与从所述参考测量导出的参数值之间的差是否在预定阈值内。

Description

基于光谱敏感度及工艺变化的测量配方优化
相关申请案的交叉参考
本申请案根据35U.S.C.§119主张2012年5月8日申请的标题为“Method ForMeasuring Structures By Using Signal With Insufficient Information Content(用于通过使用具有不充分的信息内容的信号测量结构的方法)”的第61/644,037号美国临时专利申请案的优先权,所述申请案的主题以引用方式全部并入本文。
技术领域
所述实施例涉及度量系统及方法,且更特定来说涉及用于结构参数的改善测量的方法及系统。
背景技术
例如逻辑及存储器装置的半导体装置通常通过施加于样品的一序列处理步骤而制造。半导体装置的各种特征及多个结构层级通过这些处理步骤形成。例如,除其它以外,光刻为涉及在半导体晶片上产生图案的半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制造多个半导体装置且接着可将多个半导体装置分成个别半导体装置。
在半导体制造工艺期间的各个步骤处使用度量过程以检测晶片上的缺陷以促进更高良率。光学度量技术提供实现高处理能力而无需冒样品破坏的风险的潜能。通常使用包含散射测量及反射测量实施方案以及相关联分析算法的数个基于光学度量的技术以特性化纳米级结构的临界尺寸、膜厚度、组合物及其它参数。
传统上,对由薄膜及/或重复周期性结构组成的目标执行光学度量。在装置制造期间,这些膜及周期性结构通常表示实际装置几何形状及材料结构或中间设计。随着装置(例如,逻辑及存储器装置)迈向较小纳米级尺寸,特性化变得更加困难。并入复杂三维几何形状及具有多种物理性质的材料的装置造成特性化困难。
例如,现代存储器结构通常为使光学辐射难以穿透到底层的高宽高比的三维结构。此外,特性化复杂结构(例如,FinFET)所需的参数数目增加导致参数相关性增加。因此,特性化目标的参数通常无法可靠地与可用测量解除相关。在另一实例中,在现代半导体结构中逐渐采用不透明高k(介电常数)材料。光学辐射通常不能穿透由这些材料建构的层。因此,测量变得越来越具挑战性。
响应于这些挑战,已开发出更复杂的光学工具。例如,目前使用多种技术(例如,光谱椭圆偏光测量(SE)、紫外线反射测量(UVR)、二维光束轮廓反射测量(2D-BPR)等等)测量复杂的结构。此外,在若干机器参数(例如,波长、方位角及入射角等等)的大范围内执行测量且通常同时执行测量。因此,用以产生可靠结果(包含测量配方)的测量时间、计算时间及总体时间显著增加。此外,在大波长范围内的光强度的展开度降低任何特定波长下的照明强度且增加在所述波长下执行的测量的信号不确定性。
未来的度量应用归因于越来越小的解析度要求、多参数相关性、越来越复杂的几何结构及越来越多地使用不透明材料而对度量提出挑战。因此,期望用于改善的测量的方法及系统。
发明内容
本发明提呈用于针对经配置以测量与不同的半导体制造工艺相关联的结构及材料特性的度量系统产生优化测量配方的方法及系统。
在一个方面中,通过缩减实现满意测量结果所需的测量技术及机器参数范围集合确定优化测量配方。所述测量技术及机器参数范围集合的缩减是基于与初始测量模型相关联的可用工艺变化信息及光谱敏感度信息。
所述工艺变化信息及所述光谱敏感度信息用以确定浮动参数较少且参数之间的相关性较小的第二测量模型。以此方式,所述第二模型有效地约束在期望信号响应及工艺变化的子空间内。
使用所述第二约束模型及对应于测量技术及机器参数范围的缩减集合的测量数据集合执行后续测量分析。比较所述后续测量分析的结果与参考测量结果以确定估计参数值与从参考测量导出的参数值之间的差是否在预定阈值内。如果所述差在所述预定阈值内,那么实现基于测量技术及机器参数范围的缩减集合的优化测量配方。如果所述差并不在所述预定阈值内,那么使用所述第二约束模型及对应于测量技术及机器参数范围的不同缩减集合的另一测量数据集合执行另一测量分析。再次比较所述结果与参考测量结果以确定估计参数值与从参考测量导出的参数值之间的差是否在预定阈值内。反复此过程直到实现基于测量技术及机器参数范围的缩减集合的优化测量配方。
由于采用测量技术及机器参数范围的缩减集合而更快速地执行基于优化测量配方执行的测量。此外,还缩减用于库(library)产生、回归及分析的计算时间。
前述为发明内容且因此必然含有细节的简化、一般化及省略;因此,所属领域的技术人员应了解,发明内容仅仅为阐释性且绝无任何限制。在本文中陈述的非限制性实施方式中将明白本文所述装置及/或工艺的其它方面、发明特征及优点。
附图说明
图1为说明经配置以根据本文所述方法基于工艺变化信息及光谱敏感度信息优化测量配方的度量系统100的图。
图2为说明基于工艺变化信息及光谱敏感度信息优化测量配方的示范性方法300的流程图。
具体实施方式
现在将详细参考本发明的背景实例及一些实施例,本发明的实例在附图中加以说明。本发明提出用于优化测量配方的方法及系统。采用优化配方的系统用以测量与不同的半导体制造工艺相关联的结构及材料特性(例如,材料组合物、结构及膜的尺寸特性等等)。
一般而言,本文中论述的光学度量技术是测量受检验样品的物理性质的间接方法。在大多数情况中,测量值无法用来直接确定所述样品的物理性质。标称测量过程由结构的参数化(例如,膜厚度、临界尺寸等等)及机器的参数化(例如,波长、入射角、偏光角等等)组成。创建尝试预测测量值的测量模型。所述模型包含与机器相关联的参数(Pmachine)及与样品相关联的参数(Pspecimen)。
机器参数为用以特性化度量工具本身的参数。示范性机器参数包含入射角(AOI)、分析器角度(A0)、偏光器角度(P0)、照明波长、数值孔径(NA)等等。样品参数为用以特性化样品的参数。对于薄膜样品,示范性样品参数包含折射率、电介质函数张量、所有层的标称层厚度、层序列等等。为测量目的,所述机器参数被视为已知参数,且所述样品参数(或样品参数的子集)被视为未知浮动参数。通过产生理论预测值与实验数据之间的最佳拟合的反复过程(例如,回归、库匹配等等)来解析浮动参数。改变未知样品参数Pspecimen且计算模型输出值,直到确定导致所述模型输出值与实验测量值之间的近似匹配的样品参数值集合。
通常,通过评估测量模型对每一参数变化的敏感度来确定在后续分析中浮动的样品参数的子集。在一些实例中,执行固定错误分析以确定欲浮动的合适参数集合。然而,在许多情况中,所述样品参数高度相关。这可导致模型不稳定。在一些情况中,这通过固定特定样品参数来解决。然而,这通常导致在估计剩余参数时产生重大错误。在许多情况中,模型参数之间的高相关度需要使用各自在广泛的机器参数范围(例如,大波长范围、多个方位角及多个入射角)内执行的多种测量技术,且甚至在这些条件下,所述模型也可能失败。即使采用各自在广泛的机器参数范围内执行的多种测量技术的测量配方导致满意的测量结果,然而就处理时间而言,成本可为不合意的。
在一个方面中,通过缩减实现满意测量结果所需的测量技术及机器参数范围集合来确定优化测量配方(例如,测量技术及机器参数范围集合)。所述测量技术及机器参数范围集合的缩减是基于与初始测量模型相关联的可用工艺变化信息及光谱敏感度信息。更具体来说,所述工艺变化信息及所述光谱敏感度信息用以确定浮动参数较少且参数之间的相关性较小的第二测量模型。以此方式,所述第二模型被有效地约束在所期望信号响应及工艺变化的子空间内。
使用所述第二约束模型及对应于测量技术及机器参数范围的缩减集合的测量数据集合执行后续测量分析。比较所述后续测量分析的结果与参考测量结果以确定估计参数值与从参考测量导出的参数值之间的差是否在预定阈值内。如果所述差在所述预定阈值内,那么实现基于测量技术及机器参数范围的缩减集合的优化测量配方。如果所述差并不在所述预定阈值内,那么使用所述第二约束模型及对应于测量技术及机器参数范围的不同缩减集合的另一测量数据集合执行另一测量分析。再次比较所述结果与参考测量结果以确定估计参数值与从参考测量导出的参数值之间的差是否在预定阈值内。反复此过程直到实现基于测量技术及机器参数范围的缩减集合的优化测量配方。
由于采用测量技术及机器参数范围的缩减集合而更快速地执行基于优化测量配方执行的测量。此外,还缩减用于库产生、回归及分析的计算时间。
在一些实例中,在对应于可用工艺变化信息及光谱敏感度信息的约束解空间内改善准确度、精确度及模型稳定性。此外,在一些实例中,通过优化测量配方实现采用有限波长范围内的高强度光源的测量系统。
图1说明根据本文提出的示范性方法测量样品的特性的系统100。如图1中所示,系统100可用以对样品201的一或多个结构执行光谱椭圆偏光测量及2D-BPR测量。在此方面中,系统100可包含装备有照明器102及光谱仪104的光谱椭圆偏光仪。系统100的照明器102经配置以产生选定波长范围(例如,150nm到850nm)的照明并将所述照明引导到安置在所述样品201的表面上的结构。继而,光谱仪104经配置以接收从所述样品201的表面反射的照明。进一步注意,使用偏光状态产生器107使从照明器102出射的光偏光以产生偏光照明光束106。通过安置在样品201上的结构反射的辐射行进穿过偏光状态分析器109且到达光谱仪104。就偏光状态分析收集光束108中由光谱仪104接收的辐射,从而允许通过光谱仪对由分析器传递的辐射进行光谱分析。这些光谱111被传递到计算系统130用于分析测量结构。
如图1中描绘,系统100还包含经配置以检测从受检验样品衍射的光并分析指示结构不规则性的检测信号的2D-BPR系统。2D-BPR系统包含递送照明光204的照明器202。照明光204通过光束分离器205引导朝向高NA物镜206。在优选实施例中,物镜206具有大约0.9的数值孔径。然而,在一些其它实施例中,物镜206可具有小于0.9的NA。在又一些其它实施例中,物镜206可具有大于0.9的NA。例如,在浸没系统中操作的物镜206可具有大于0.9的NA。物镜206同时照明一照明区域(即,照明光点)207内的样品201且收集在广泛角度范围内从样品201衍射的光。可使用场光阑及变迹器(未展示)以控制照明及收集光束的空间性质。经收集光208经由光束分离器205引导到检测器203。在所描绘实施例中,检测器203为电荷耦合装置(CCD)的二维阵列。检测器203检测经收集光208并产生指示照明区域207的光瞳图像的输出信号209。
在一些实施例中,照明光204包含若干波长通道。例如,可通过多个不同激光器提供照明光204。在一些实施例中,2D-BPR系统包含具有不同偏光的不同光学通道及收集并测量偏光之间的相对相差(未展示)的波板。在一些实施例中,照明器202经配置以同时提供多个波长(例如,超连续激光源、灯源或例如激光持续等离子的激光驱动光源)。
如图1中描绘,系统100包含多种测量技术(即,SE及2D-BPR)。然而,一般而言,系统100可包含任何数目种不同测量技术。通过非限制性实例,系统100可配置为光谱椭圆偏光仪(包含穆勒(Mueller)矩阵椭圆偏光测量)、光谱反射计、光谱散射计、覆盖散射计、角度解析光束轮廓反射计、偏光解析光束轮廓反射计、光束轮廓椭圆偏光仪、任何单个或多个波长椭圆偏光仪或其任何组合。此外,一般而言,可从多个工具而非整合多种技术的一个工具收集通过不同测量技术收集且根据本文所述方法分析的测量数据。
在进一步实施例中,系统100可包含用以根据本文所述方法通过缩减实现满意测量结果所需的测量技术及机器参数范围集合产生优化测量配方的一或多个计算系统130。在一个实施例中,一或多个计算系统130通信地耦合到光谱仪104及检测器203。一或多个计算系统130经配置以接收与样品201的结构测量相关联的测量数据111及209。
此外,在一些实施例中,一或多个计算系统130进一步经配置以接收与样品201通过参考测量源120的测量相关联的参考测量数据121的集合。在一些实例中,参考测量数据121存储在存储器(例如存储器132)中且通过计算系统130检索。在一些实施例中,参考测量源120为能够高度准确地测量目标结构的另一度量工具(例如,TEM、SEM、X光散射计等等)。
在进一步实施例中,一或多个计算系统130经配置以采用实时临界尺寸标注(RTCD)实时存取模型参数,或其可存取预先计算模型的库以根据本文所述方法确定优化测量配方。
应认识到,可通过单个计算机系统130或替代地多个计算机系统130实行贯穿本发明描述的各种步骤。此外,系统100的不同子系统(例如光谱椭圆偏光仪104)可包含适用于实行本文所述步骤的至少一个部分的计算机系统。因此,前述描述不应被解释为限制本发明,而仅仅为说明。此外,一或多个计算系统130可经配置以执行本文所述任何示范性方法的任何元素。
此外,计算机系统130可以此项技术中已知的任何方式通信地耦合到光谱仪104及检测器203。例如,一或多个计算系统130可耦合到分别与光谱仪104及检测器203相关联的计算系统。在另一实例中,可通过耦合到计算机系统130的单个计算机系统直接控制光谱仪104及检测器203中的任一者。
度量系统100的计算机系统130可经配置以通过可包含有线部分及/或无线部分的传输媒体从所述系统的子系统(例如,光谱仪104、检测器203等等)接收及/或获取数据或信息。以此方式,所述传输媒体可用作计算机系统130与系统100的其它子系统之间的数据链路。
整合度量系统100的计算机系统130可经配置以通过可包含有线部分及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,测量结果、建模输入、建模结果等等)。以此方式,所述传输媒体可用作计算机系统130与其它系统(例如,板上存储器度量系统100、外部存储器、参考测量源120或其它外部系统)之间的数据链路。例如,计算系统130可经配置以经由数据链路从存储媒体(即,存储器132或外部存储器)接收测量数据。例如,使用光谱仪104获得的光谱结果可存储在永久或半永久存储器装置(例如,存储器132或外部存储器)中。在此方面,可从板上存储器或外部存储器系统导入光谱结果。此外,计算机系统130可经由传输媒体发送数据到其它系统。例如,通过计算机系统130确定的包含测量技术及机器参数值的缩减集合的优化测量配方可(例如经由输出信号140)传达且存储在外部存储器中。在此方面,可对另一系统导出测量结果。
计算系统130可包含(但不限于)个人计算机系统、主计算机系统、工作站、图像计算机、并行处理器或此项技术中已知的任何其它装置。一般而言,术语“计算系统”可经广泛定义以涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可经由例如导线、电缆或无线传输链路的传输媒体传输实施例如本文中描述的方法的程序指令134。例如,如图1中说明,存储于存储器132中的程序指令134经由总线133传输到处理器131。程序指令134存储在计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
图2说明适用于通过本发明的度量系统100实施的方法300。在一个方面中,应认识到可经由通过计算系统130的一或多个处理器执行的预编程算法实行方法300的数据处理框。虽然下列描述在度量系统100的背景内容下提出,但是在本文中应认识到度量系统100的特定结构方面并不表示限制且应仅解释为阐释性。
在框301中,接收与目标结构的测量相关联的第一数量的测量数据。所述测量数据是基于使用测量技术及机器参数值集合执行的目标结构的测量。所述测量技术及机器参数值集合包含至少一个测量技术及与每一测量技术相关联的机器参数值。一般而言,在测量范围全面但是可能需要非期望的时间量以用于数据收集及分析的意义上,测量技术及机器参数值的所述集合为测量技术及机器参数值的扩展集合。
在一个实例中,第一数量的测量数据包含通过图1中说明的2D-BPR度量子系统在广泛的波长范围内测量的测量数据209。此外,第一数量的测量数据包含通过图1中说明的SE度量子系统在广泛波长及偏光角范围内测量的测量数据111。一般而言,第一数量的测量数据包含广泛范围的数据。归因于数据收集及分析所需的时间量,收集此数量的数据作为例程测量配方的一部分可能为非所期望的。
在框302中,确定目标结构的第一测量模型。所述测量模型包含第一参数集合。所述参数可包含几何参数、材料参数及其它参数。此类测量模型可通过计算系统130使用建模及库产生程序包(例如可从加利福尼亚州,圣何塞,KLA-Tencor公司购得的AcuShapeTM)而产生。
在框303中,接收与所述目标结构相关联的一定量的工艺变化数据。在一些实施例中,工艺变化数据从实际工艺数据中产生,例如在工艺流程中物理上测量的数据(例如,在半导体晶片制造工艺流程中的任何一点采取的测量)。在一些实例中,可基于通过参考测量源120执行的测量产生工艺变化数据。在一些其它实例中,可基于通过系统100使用第一测量模型但拟合于使用测量技术及机器参数值的不同集合收集的测量数据而执行的测量产生工艺变化数据。
在一些其它实施例中,工艺变化数据是基于模型的。例如,工艺模拟器(例如,可从加利福尼亚州,圣何塞,KLA-Tencor公司购得的PROLITHTM模拟器)可用以基于工艺窗内的变化模拟目标结构的改变以产生工艺变化数据。
在一些其它实例中,工艺变化数据由用户定义。例如,工艺变化数据可通过定义参数之间的关系的方程式加以描述。在另一实例中,工艺变化数据可通过定义参数之间的关系的一或多个相关矩阵加以描述。在另一实例中,工艺变化数据可通过选择期望配置文件集合而定义。
所述工艺变化数据定义或用以定义对第一测量模型的参数的现实物理约束(例如,模型参数的变化范围)。示范性参数包含底部临界尺寸(BCD)、顶部临界尺寸(TCD)、中间临界尺寸(MCD)、侧壁角度(SWA)等等。
在让与KLA-Tencor公司且在2013年5月2日以第2013/0110477 A1号美国专利公开案公开的第13/286,079号美国专利申请案中描述用于基于工艺变化进行模型优化的示范性方法及系统,所述申请案的全部内容以引用方式并入本文。
在框304中,确定第一测量模型对第一参数集合的变化的光谱敏感度。例如,可通过涉及模型参数的扰动的有限差方法确定所述第一测量模型的光谱敏感度。在一些实例中,通过计算系统130针对与使用所述测量技术及机器参数值集合执行的目标结构的测量相关联的每一机器参数值评估所述第一测量模型的光谱敏感度。在一些其它实例中,针对与使用所述测量技术及机器参数值集合执行的目标结构的测量相关联的机器参数值的子集评估所述第一测量模型的光谱敏感度。
让与KLA-Tencor公司的第13/781,474号美国专利申请案中描述用于基于光谱敏感度进行模型优化的示范性方法及系统,所述申请案的全部内容以引用方式并入本文。
在框305中,将所述第一测量模型变换为包含第二参数集合的结构的第二测量模型。所述第一模型到所述第二模型的变换是基于所述第一测量模型的光谱敏感度及所述一定数量的工艺变化数据。此外,所述第二参数集合不同于所述第一参数集合。此外,所述第二参数集合包含的参数少于所述第一参数集合所包含的参数。相对于第一数目个参数,参数数目的减小及第二集合的参数之间的相关性的减小促成增加的模型稳定性及计算速度。
光谱敏感度信息及工艺变化信息用以确定通过变换最小化参数相关性的新参数化(即,第二参数集合)。一般而言,这些操作涉及使用依据不同的机器参数(例如,波长、偏光角、相干性状态等等)测量的信号而工作。在一些实例中,主成分分析(PCA)用以产生第二模型。针对所述第二参数集合定义标称值及范围。以此方式,依据所述第二参数集合约束所述第一参数集合。
在框306中,确定与所述目标结构的测量相关联的第二数量的测量数据。所述第二数量的测量数据与使用测量技术及机器参数值的缩减集合执行的测量相关联。换句话说,第二数量的测量数据包含与包含于原始集合中的测量技术及机器参数值的子集相关联的测量数据。在一些实例中,所述第二数量的测量数据通过(例如)计算系统130从最初接收的测量数据提取。在一些其它实例中,基于通过系统100使用测量技术及机器参数值的缩减集合执行的测量接收所述第二数量的测量数据。
在一些实例中,测量技术及机器参数值的缩减集合的选择是基于可用技术及实际限制(例如,计算时间、具有大信噪比但波长范围有限的测量技术等等)。在一些实例中,测量技术及机器参数值的缩减集合的选择是基于通过可用测量技术及机器参数值的空间进行的算法搜索。
在框307中,确定所述第二数量的测量数据对所述第二测量模型的回归的结果与目标结构的参考测量之间的差。所述第二数量的测量数据对所述第二测量模型的回归基于使用测量技术及机器参数值的缩减集合进行的测量提供对所述目标结构的结构参数值的估计。针对参考测量(例如,参考测量数据121)检查此估计质量以确保使用测量技术及机器参数值的缩减集合执行的测量足够准确。在一些实例中,所述参考测量可为通过另一测量技术(例如,TEM、SEM、X光散射测量等等)执行的目标结构的测量。在一些其它实例中,所述参考测量可为第二模型对最初接收测量数据(例如,测量数据111及209)的回归。在一些其它实例中,所述参考测量可为所述第二数量的测量数据(例如,测量数据111及209的子集)。在此实例中,所述第二数量的测量数据对所述第二测量模型的回归错误为测量准确度的指示符。
在框308中,将测量技术及机器参数值的缩减集合存储在存储器中。在一个实例中,测量技术及机器参数值的缩减集合存储为所述目标结构的优化测量配方的部分。测量技术及机器参数值的缩减集合可存储在测量系统100的板上(例如,存储器132中)或可(例如经由输出信号140)传达到外部存储器装置。
在进一步实施例中,如果所述差小于阈值,那么提供优化测量配方。所述优化测量配方包含测量技术及机器参数值的缩减集合。所述阈值可为可用于特性化所述第二数量的测量数据对所述第二测量模型的回归的结果与所述目标结构的参考测量之间的差的任何度量。
然而,如果所述差大于所述阈值,那么确定与测量技术及机器参数值的第二缩减集合相关联的第三量的测量数据。所述第三量的测量数据对所述第二测量模型的回归基于使用测量技术及机器参数值的第二缩减集合进行的测量提供对所述目标结构的结构参数值的估计。接着可如前文所述般针对参考测量测试测量技术及机器参数值的此第二缩减集合以确定测量技术及机器参数值的所提出集合是否得出满意测量结果。可继续此反复直到识别出测量技术及机器参数值的满意集合。
如前文论述,测量技术及机器参数值的数目减小可提供处理能力及参数估计准确度的期望改善。然而,除减小测量技术及机器参数值的数目以外,减小重新参数化模型的自由度的数目也可提供类似优点。因此,可以反复方式回到第一模型到第二模型的变换以减小参数数目。
一般而言,本文所述的系统及方法可实施为制备用于脱机或工具型(on-tool)测量的优化测量配方的工艺的部分。此外,两个测量模型及任何重新参数化测量模型皆可描述一或多个目标结构。
如本文中描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等等)、任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离)及两个或两个以上结构之间的位移(覆盖光栅结构之间的覆盖位移等等)。结构可包含三维结构、图案化结构、覆盖结构等等。
如本文中描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中描述,术语“度量系统”包含至少部分用以在任何方面(包含测量应用(例如临界尺寸度量、覆盖度量、焦距/剂量度量及组合物度量))特性化样品的任何系统。然而,此类专门术语并不限制如本文中描述的术语“度量系统”的范围。此外,度量系统100可经配置以测量图案化晶片及/或未图案化的晶片。度量系统可配置为LED检验工具、边缘检验工具、背面检验工具、宏观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)及获利于基于临界尺寸数据对系统参数的校准的任何其它度量或检验工具。
本文针对可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)描述各种实施例。术语“样品”在本文中用以指代晶片、光罩或可通过此项技术中已知的方式处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中使用,术语“晶片”大体上指代由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。此类衬底通常可在半导体制造设施中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可“经图案化”或“未经图案化”。例如,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为在光罩制造工艺的任何阶段的光罩或可或不一定经释放以于半导体制造设施中使用的完成光罩。光罩或“屏蔽”大体上被定义为其上形成有实质上不透明区域且以图案配置的实质上透明衬底。所述衬底可包含(例如)例如非晶SiO2的玻璃材料。可在光刻工艺的曝光步骤期间将光罩安置在覆盖有光致抗蚀剂的晶片上方,使得可将所述光罩上的图案转印到光致抗蚀剂。
形成于晶片上的一或多个层可经图案化或未经图案化。例如,晶片可包含各自具有可重复图案特征的多个裸片。此类材料层的形成及处理最终可产生完成的装置。可在晶片上形成许多不同类型的装置,且如本文中使用的术语晶片旨在涵盖其上制造此项技术中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,可将所描述的功能实施于硬件、软件、固件或其任何组合中。如果实施于软件中,那么功能可作为一或多个指令或代码存储在计算机可读媒体上或经由所述计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体二者,通信媒体包含促进将计算机程序从一个位置传送到另一位置的任何媒体。存储媒体可为可通过通用或专用计算机存取的任何可用媒体。举例而言(且不作为限制),此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置,或可用以载送或存储呈指令或数据结构的形式的所要程序代码且可通过通用或专用计算机或通用或专用处理器存取的任何其它媒体。再者,任何连接也可适当地称为计算机可读媒体。例如,如果软件使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或例如红外线、无线电及微波的无线技术从网站、服务器或其它远程源传输,那么同轴电缆、光纤电缆、双绞线、DSL或例如红外线、无线电及微波的无线技术包含于媒体的定义中。如本文中使用,磁盘及光盘包含压缩光盘(CD)、激光光盘、光学光盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地重现数据而光盘使用激光光学地重现数据。上述组合应也包含于计算机可读媒体的范围内。
虽然上文已针对指导目的描述某些特定实施例,但是本专利文献的教示具有一般适用性且不限于上述特定实施例。因此,在不脱离如权利要求书中陈述的本发明的范围的情况下,可实践所描述实施例的各种特征的各种修改、调适及组合。

Claims (20)

1.一种方法,其包括:
接收与使用测量技术及机器参数值集合执行的目标结构的测量相关联的第一数量的测量数据;
确定包含第一参数集合的所述目标结构的第一测量模型;
接收与所述目标结构相关联的一定数量的工艺变化数据;
确定所述第一测量模型对所述第一参数集合的变化的光谱敏感度;
将所述第一测量模型变换为包含第二参数集合的所述结构的第二测量模型,其中所述第一模型的所述变换是基于所述第一测量模型的所述光谱敏感度及所述一定数量的工艺变化数据,且其中所述第二参数集合不同于所述第一参数集合;
确定与使用缩减的测量技术及机器参数值集合执行的所述目标结构的测量相关联的第二数量的测量数据;
确定所述第二数量的测量数据对所述第二测量模型的回归的结果与所述目标结构的参考测量的结果之间的差;及
将所述缩减的测量技术及机器参数值集合存储在存储器中。
2.根据权利要求1所述的方法,其进一步包括:
确定所述第二数量的测量数据对所述第二测量模型的所述回归的所述结果与所述目标结构的所述参考测量之间的所述差是否超过阈值;及
如果所述差未超过所述阈值,那么将所述缩减的测量技术及机器参数值集合存储在所述存储器中。
3.根据权利要求2所述的方法,其进一步包括:
如果所述差的确超过所述阈值,那么确定与使用第二缩减的测量技术及机器参数值集合执行的所述目标结构的测量相关联的第三数量的测量数据。
4.根据权利要求1所述的方法,其中所述第一参数集合包含至少一个几何参数及至少一个色散参数。
5.根据权利要求1所述的方法,其中将所述结构的所述第一模型所述变换到所述结构的所述第二模型涉及将所述第一参数集合的自由度的数目减小到所述第二参数集合的自由度的较小数目。
6.根据权利要求1所述的方法,其中所述一定数量的工艺变化数据包含以下任一者:约束方程式,其定义两个或两个以上参数之间的关系;相关矩阵,其定义两个或两个以上参数之间的所述关系;及所期望配置文件集合,其由用户选择。
7.根据权利要求1所述的方法,其中所述第一模型及所述第二模型描述多个目标。
8.一种用以基于光谱敏感度及工艺变化数据产生优化测量配方的系统,所述系统包括:
光学度量系统,其包含照明源及检测器,所述光学度量系统经配置以使用测量技术及机器参数值集合对目标结构执行测量;及
计算系统,其经配置以:
接收与使用所述测量技术及机器参数值集合执行的目标结构的所述测量相关联的第一数量的测量数据;
确定包含第一参数集合的所述目标结构的第一测量模型;
接收与所述目标结构相关联的一定数量的工艺变化数据;
确定所述第一测量模型对所述第一参数集合的变化的光谱敏感度;
将所述第一测量模型变换为包含第二参数集合的所述结构的第二测量模型,其中所述第一模型的所述变换是基于所述第一测量模型的所述光谱敏感度及所述一定数量的工艺变化数据,且其中所述第二参数集合不同于所述第一参数集合;
确定与使用缩减的测量技术及机器参数值集合执行的所述目标结构的测量相关联的第二数量的测量数据;
确定所述第二数量的测量数据对所述第二测量模型的回归的结果与所述目标结构的参考测量的结果之间的差;及
将所述缩减的测量技术及机器参数值集合存储在存储器中。
9.根据权利要求8所述的系统,其中所述计算系统进一步经配置以:
确定所述第二数量的测量数据对所述第二测量模型的所述回归的所述结果与所述目标结构的所述参考测量之间的所述差是否超过阈值;及
如果所述差未超过所述阈值,那么将所述缩减的测量技术及机器参数值集合存储在所述存储器中。
10.根据权利要求9所述的系统,其中所述计算系统进一步经配置以:
如果所述差的确超过所述阈值,那么确定与使用第二缩减的测量技术及机器参数值集合执行的所述目标结构的测量相关联的第三数量的测量数据。
11.根据权利要求8所述的系统,其中所述第一参数集合包含至少一个几何参数及至少一个色散参数。
12.根据权利要求8所述的系统,其中将所述结构的所述第一模型所述变换到所述结构的所述第二模型涉及将所述第一参数集合的自由度的数目减小到所述第二参数集合的自由度的较小数目。
13.根据权利要求8所述的系统,其中所述一定数量的工艺变化数据包含以下任一者:约束方程式,其定义两个或两个以上参数之间的关系;相关矩阵,其定义两个或两个以上参数之间的所述关系;及所期望配置文件集合,其由用户选择。
14.根据权利要求8所述的系统,其中所述第一模型及所述第二模型描述多个目标。
15.一种非暂时性计算机可读媒体,其包括:
用于引起计算机接收与使用测量技术及机器参数值集合执行的目标结构的测量相关联的第一数量的测量数据的代码;
用于引起所述计算机确定包含第一参数集合的所述目标结构的第一测量模型的代码;
用于引起所述计算机接收与所述目标结构相关联的一定数量的工艺变化数据的代码;
用于引起所述计算机确定所述第一测量模型对所述第一参数集合的变化的光谱敏感度的代码;
用于引起所述计算机将所述第一测量模型变换为包含第二参数集合的所述结构的第二测量模型的代码,其中所述第一模型的所述变换是基于所述第一测量模型的所述光谱敏感度及所述一定数量的工艺变化数据,且其中所述第二参数集合不同于所述第一参数集合;
用于引起所述计算机确定与使用缩减的测量技术及机器参数值集合执行的所述目标结构的测量相关联的第二数量的测量数据的代码;
用于引起所述计算机确定所述第二数量的测量数据对所述第二测量模型的回归的结果与所述目标结构的参考测量的结果之间的差的代码;及
用于引起所述计算机将所述缩减的测量技术及机器参数值集合存储在存储器中的代码。
16.根据权利要求15所述的非暂时性计算机可读媒体,其进一步包括:
用于引起所述计算机确定所述第二数量的测量数据对所述第二测量模型的所述回归的所述结果与所述目标结构的所述参考测量之间的所述差是否超过阈值的代码;及
用于引起所述计算机在所述差未超过所述阈值的情况下将所述缩减的测量技术及机器参数值集合存储在所述存储器中的代码。
17.根据权利要求16所述的非暂时性计算机可读媒体,其进一步包括:
用于引起所述计算机在所述差的确超过所述阈值的情况下确定与使用第二缩减的测量技术及机器参数值集合执行的所述目标结构的测量相关联的第三数量的测量数据的代码。
18.根据权利要求15所述的非暂时性计算机可读媒体,其中所述第一参数集合包含至少一个几何参数及至少一个色散参数。
19.根据权利要求15所述的非暂时性计算机可读媒体,其中所述结构的所述第一模型到所述结构的所述第二模型的所述变换涉及将所述第一参数集合的自由度的数目减小到所述第二参数集合的自由度的较小数目。
20.根据权利要求15所述的非暂时性计算机可读媒体,其中所述一定数量的工艺变化数据包含以下任一者:约束方程式,其定义两个或两个以上参数之间的关系;相关矩阵,其定义两个或两个以上参数之间的所述关系;及所期望配置文件集合,其由用户选择。
CN201380031757.0A 2012-05-08 2013-05-07 基于光谱敏感度及工艺变化的测量配方优化 Active CN104395996B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261644037P 2012-05-08 2012-05-08
US61/644,037 2012-05-08
US13/887,524 US10354929B2 (en) 2012-05-08 2013-05-06 Measurement recipe optimization based on spectral sensitivity and process variation
US13/887,524 2013-05-06
PCT/US2013/040003 WO2013169818A1 (en) 2012-05-08 2013-05-07 Measurement recipe optimization based on spectral sensitivity and process variation

Publications (2)

Publication Number Publication Date
CN104395996A true CN104395996A (zh) 2015-03-04
CN104395996B CN104395996B (zh) 2017-10-17

Family

ID=49549315

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380031757.0A Active CN104395996B (zh) 2012-05-08 2013-05-07 基于光谱敏感度及工艺变化的测量配方优化

Country Status (5)

Country Link
US (1) US10354929B2 (zh)
KR (1) KR102137848B1 (zh)
CN (1) CN104395996B (zh)
TW (1) TWI603052B (zh)
WO (1) WO2013169818A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107408519A (zh) * 2015-03-24 2017-11-28 科磊股份有限公司 基于模型的单个参数测量
CN107429995A (zh) * 2015-04-06 2017-12-01 科磊股份有限公司 在计量系统中计量数据的前向馈送
CN109643672A (zh) * 2016-08-31 2019-04-16 科磊股份有限公司 具有各向异性介电常数的半导体结构的基于模型的光学测量
CN111433899A (zh) * 2017-12-08 2020-07-17 科磊股份有限公司 先进纳米结构的测量方法
CN112423876A (zh) * 2018-06-18 2021-02-26 科思创知识产权两合公司 用于确定生产聚合物产品的生产参数的方法和计算机系统
TWI809237B (zh) * 2019-01-18 2023-07-21 美商科磊股份有限公司 在非所要繞射級存在之情況下之散射測量模型化

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9228943B2 (en) * 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130245985A1 (en) * 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10386729B2 (en) 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
US10429320B2 (en) * 2013-06-04 2019-10-01 Kla-Tencor Corporation Method for auto-learning tool matching
WO2015101460A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
CN105874388B (zh) 2013-12-30 2019-03-15 Asml荷兰有限公司 用于量测目标的设计的方法和设备
SG11201604739RA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
CN104807398B (zh) * 2014-01-28 2018-06-05 睿励科学仪器(上海)有限公司 一种在ocd测量中用于筛选波段的方法和装置
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10422739B1 (en) * 2014-12-18 2019-09-24 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter systems with a super continuum laser source of a beam of electromagnetism, and improved detector system
US11035729B1 (en) * 2014-12-18 2021-06-15 J.A. Woqllam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10132684B1 (en) * 2014-12-18 2018-11-20 J.A. Woolam Co., Inc. Reflectometer, spectrophometer, ellipsometer and polarimeter system with a super continuum laser-source of a beam of electromagnetism and improved detector system
US11170072B2 (en) 2015-04-10 2021-11-09 ASML Netherands B.V. Method and apparatus for inspection and metrology
JP6244329B2 (ja) * 2015-05-12 2017-12-06 東京エレクトロン株式会社 基板の検査方法、基板処理システム及びコンピュータ記憶媒体
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US10690602B2 (en) * 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10401738B2 (en) * 2017-08-02 2019-09-03 Kla-Tencor Corporation Overlay metrology using multiple parameter configurations
CN112306004B (zh) * 2019-07-26 2022-02-01 长鑫存储技术有限公司 半导体制程工艺配方管理方法与系统
US11769242B2 (en) 2020-05-21 2023-09-26 Kla Corporation Mode selection and defect detection training

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030011767A1 (en) * 2001-07-12 2003-01-16 Minolta Co., Ltd. Spectral characteristic measuring apparatus and method for correcting wavelength shift of spectral sensitivity in the apparatus
CN1672012A (zh) * 2002-07-25 2005-09-21 音质技术公司 光计量术中模型和参数的选择
CN1732696A (zh) * 2002-11-12 2006-02-08 索尼株式会社 光源估计设备和方法、成像设备及图像处理方法
US20060290947A1 (en) * 2005-06-16 2006-12-28 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US20060290929A1 (en) * 2005-06-28 2006-12-28 Kenji Imura Method for calibrating spectral characteristics of a spectral analyzer and a spectral analyzer applying said method
JP2009008561A (ja) * 2007-06-28 2009-01-15 Konica Minolta Sensing Inc 分光特性測定装置、分光特性測定システム
US20100067004A1 (en) * 2008-09-05 2010-03-18 Konica Minolta Sensing, Inc. Spectral characteristic measuring apparatus, method for calibrating spectral characteristic measuring apparatus, and spectral characteristic measuring system

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6853942B2 (en) 2002-03-26 2005-02-08 Timbre Technologies, Inc. Metrology hardware adaptation with universal library
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
JP2007532931A (ja) 2004-04-19 2007-11-15 アリスト インストルメンツ インコーポレイテッド 薄膜及び限界寸法測定のためのビーム・プロファイル式複合反射率システム及び方法
US7171284B2 (en) * 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7921383B1 (en) 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7523021B2 (en) 2006-03-08 2009-04-21 Tokyo Electron Limited Weighting function to enhance measured diffraction signals in optical metrology
WO2008027362A1 (en) 2006-08-28 2008-03-06 Advanced Metrology Systems Llc Measuring diffractive structures by parameterizing spectral features
US7450225B1 (en) 2007-04-13 2008-11-11 Nanometrics Incorporated Correction of optical metrology for focus offset
CN101359612B (zh) 2007-07-30 2012-07-04 东京毅力科创株式会社 晶片图案结构的检查装置及其计量数据管理方法
US7698098B2 (en) 2008-02-18 2010-04-13 Thermo Electron Scientific Instruments Llc Efficient spectral matching, particularly for multicomponent spectra
US8289527B2 (en) 2010-04-01 2012-10-16 Tokyo Electron Limited Optimization of ray tracing and beam propagation parameters
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8818754B2 (en) * 2011-04-22 2014-08-26 Nanometrics Incorporated Thin films and surface topography measurement using reduced library
US9310296B2 (en) 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030011767A1 (en) * 2001-07-12 2003-01-16 Minolta Co., Ltd. Spectral characteristic measuring apparatus and method for correcting wavelength shift of spectral sensitivity in the apparatus
CN1672012A (zh) * 2002-07-25 2005-09-21 音质技术公司 光计量术中模型和参数的选择
CN1732696A (zh) * 2002-11-12 2006-02-08 索尼株式会社 光源估计设备和方法、成像设备及图像处理方法
US20060290947A1 (en) * 2005-06-16 2006-12-28 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US20060290929A1 (en) * 2005-06-28 2006-12-28 Kenji Imura Method for calibrating spectral characteristics of a spectral analyzer and a spectral analyzer applying said method
JP2009008561A (ja) * 2007-06-28 2009-01-15 Konica Minolta Sensing Inc 分光特性測定装置、分光特性測定システム
US20100067004A1 (en) * 2008-09-05 2010-03-18 Konica Minolta Sensing, Inc. Spectral characteristic measuring apparatus, method for calibrating spectral characteristic measuring apparatus, and spectral characteristic measuring system

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107408519A (zh) * 2015-03-24 2017-11-28 科磊股份有限公司 基于模型的单个参数测量
CN107408519B (zh) * 2015-03-24 2021-06-08 科磊股份有限公司 基于模型的单个参数测量的系统和方法
CN107429995A (zh) * 2015-04-06 2017-12-01 科磊股份有限公司 在计量系统中计量数据的前向馈送
CN107429995B (zh) * 2015-04-06 2020-02-28 科磊股份有限公司 在计量系统中计量数据的前向馈送
CN109643672A (zh) * 2016-08-31 2019-04-16 科磊股份有限公司 具有各向异性介电常数的半导体结构的基于模型的光学测量
CN109643672B (zh) * 2016-08-31 2020-10-27 科磊股份有限公司 具有各向异性介电常数的半导体结构的基于模型的光学测量
CN111433899A (zh) * 2017-12-08 2020-07-17 科磊股份有限公司 先进纳米结构的测量方法
CN111433899B (zh) * 2017-12-08 2024-03-29 科磊股份有限公司 先进纳米结构的测量方法
CN112423876A (zh) * 2018-06-18 2021-02-26 科思创知识产权两合公司 用于确定生产聚合物产品的生产参数的方法和计算机系统
TWI809237B (zh) * 2019-01-18 2023-07-21 美商科磊股份有限公司 在非所要繞射級存在之情況下之散射測量模型化

Also Published As

Publication number Publication date
KR102137848B1 (ko) 2020-07-24
US10354929B2 (en) 2019-07-16
TWI603052B (zh) 2017-10-21
US20130304408A1 (en) 2013-11-14
CN104395996B (zh) 2017-10-17
KR20150018806A (ko) 2015-02-24
TW201350784A (zh) 2013-12-16
WO2013169818A1 (en) 2013-11-14

Similar Documents

Publication Publication Date Title
CN104395996A (zh) 基于光谱敏感度及工艺变化的测量配方优化
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
KR102220435B1 (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
CN107408519B (zh) 基于模型的单个参数测量的系统和方法
CN105849885B (zh) 多重图案化参数的测量
KR102096134B1 (ko) 다중-모델 계측
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
US10502692B2 (en) Automated metrology system selection
CN107924561A (zh) 使用图像的以模型为基础的计量
KR20050027953A (ko) 광 계측학에 이용되는 가설 프로파일 선택
KR102152301B1 (ko) 메트롤로지 데이터로부터의 통계적 계층 재구성
US10369752B2 (en) Metrology method and apparatus, computer program and lithographic system
US10345095B1 (en) Model based measurement systems with improved electromagnetic solver performance
US20230228692A1 (en) Methods And Systems For Targeted Monitoring Of Semiconductor Measurement Quality
CN118140136A (zh) 用于半导体测量质量的目标监测的方法及系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant