KR102096134B1 - 다중-모델 계측 - Google Patents

다중-모델 계측 Download PDF

Info

Publication number
KR102096134B1
KR102096134B1 KR1020167007497A KR20167007497A KR102096134B1 KR 102096134 B1 KR102096134 B1 KR 102096134B1 KR 1020167007497 A KR1020167007497 A KR 1020167007497A KR 20167007497 A KR20167007497 A KR 20167007497A KR 102096134 B1 KR102096134 B1 KR 102096134B1
Authority
KR
South Korea
Prior art keywords
models
model
different
parameters
structures
Prior art date
Application number
KR1020167007497A
Other languages
English (en)
Other versions
KR20160047511A (ko
Inventor
인교 김
신 리
레오니드 포슬라브스키
레오니드 포슬라브스키
리에콴 리치 리
멍 카오
성철 유
상봉 박
안드레이 브이. 스체그로브
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20160047511A publication Critical patent/KR20160047511A/ko
Application granted granted Critical
Publication of KR102096134B1 publication Critical patent/KR102096134B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 장치 및 방법들이 개시된다. 유동적 및 고정된 임계 파라미터들 및 대응하는 시뮬레이팅된 스펙트럼의 변동 조합들을 갖는 복수의 모델들이 생성된다. 각각의 모델은 미지의 구조들로부터 수집된 스펙트럼에 기초하여 이러한 미지의 구조들에 대한 하나 이상의 임계 파라미터들을 결정하도록 생성된다. 복수의 임계 파라미터들 및 대응하는 알려진 스펙트럼 각각에 대한 복수의 알려진 값들을 포함하는 기준 데이터에 기초하여 모델들 중 어느 하나가 각각의 임계 파라미터와 최상으로 상관되는지가 결정된다. 계측 툴을 이용하여 미지의 구조로부터 획득된 스펙트럼에 대해, 모델들 중 상이한 모델은 기준 데이터에 기초하여 모델들 중 어느 하나가 각각의 임계 파라미터와 최상으로 상관되는지를 결정하는 단계에 기초하여 미지의 구조의 임계 파라미터들 중 상이한 임계 파라미터들을 결정하도록 선택되어 이용된다.

Description

다중-모델 계측{MULTI-MODEL METROLOGY}
<관련 출원들에 대한 상호참조>
본 출원은 In-kyo Kim 등에 의해, 2013년 8월 23일 출원된, 선행 출원의 미국 가출원 번호 제61/869,434호를 우선권으로 주장하며, 이 출원은 모든 목적들을 위해 그 전체가 인용에 의해 본원에 포함된다.
<본 발명의 기술 분야>
본 발명은 일반적으로 반도체 웨이퍼들의 특성화를 위한 방법들 및 시스템들에 관한 것으로서, 보다 구체적으로는, 모델-기반 계측에 관한 것이다.
집적 회로들의 제조 시에 사용되는 포토리소그래피 또는 광학 리소그래피 시스템들은 출시된 지 꽤 되었다. 이러한 시스템들은 제품의 매우 작은 세부사항들의 정밀한 제조 및 형성에 극도로 효과적인 것으로 판명되었다. 몇몇 포토리소그래피 시스템들에서, 회로 이미지는 광 또는 방사 빔(예를 들어, UV 또는 자외선)을 통해 패턴을 전사함으로써 기판 상에 기록된다. 예를 들어, 리소그래피 시스템은 레티클을 통해 그리고 조사(irradiation)에 민감한 물질, 예를 들어, 포토레지스트로 코팅된 실리콘 웨이퍼 상에 회로 이미지를 프로젝팅하는 광 또는 방사 소스를 포함할 수 있다. 노출된 포토레지스트는 통상적으로, 발달 후에, 예를 들어, 적층 및/또는 에칭과 같은 후속 프로세싱 단계들 동안 웨이퍼의 층들을 마스킹하는 패턴을 형성한다.
대규모의 회로 통합 및 반도체 디바이스들의 감소하는 크기로 인해, 레티클들 및 제조된 디바이스들은 임계 치수(CD) 변동들은 물론, 막 두께 및 조성(composition) 등과 같은 다른 임계 파라미터 변동들에 점점 더 민감하게 되었다. 이들 변동들은, 정확하지 않은 경우, 전기적 타이밍 에러들로 인해 최종 디바이스가 원하는 성능을 충족하는데 실패하게 할 수 있다. 더욱 심각하게는, 이들 에러들은 최종 디바이스들이 오작동하고 수율에 불리하게 영향을 주게 할 수 있다.
하나의 계측 기법에서, 임계 치수들은 웨이퍼 상의 각각의 위치에서 전자 현미경 CD-SEM 이미지들을 스캐닝하고 패턴 품질에 대해 각각의 이미지를 검사함으로써 측정된다. 이 기법은 시간 소모적(예를 들어, 몇 시간)이다. 다른 기법들은 그 자신의 단점을 갖는다.
이들을 고려하여, 임계 파라미터들을 결정하기 위한 개선된 계측 장치 및 기법들이 요구된다.
다음은 본 발명의 소정의 실시예들의 기본적인 이해를 제공하기 위해 본 개시의 단순화된 요약을 제시한다. 이 요약은 본 개시의 광범위한 개요가 아니며, 이것은 본 발명의 핵심적/중요한 엘리먼트들을 식별하거나 본 발명의 범위를 한정하지 않는다. 그 유일한 목적은 추후에 제시되는 보다 상세한 설명에 대한 서문으로서 단순화된 형태로 여기서 개시된 일부 개념들을 제시하는 것이다.
일 실시예에서, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법이 개시된다. 유동적 및 고정된 임계 파라미터들 및 대응하는 시뮬레이팅된 스펙트럼의 변동 조합들을 갖는 복수의 모델들이 생성된다. 각각의 모델은 미지의 구조들로부터 수집된 스펙트럼에 기초하여 이러한 미지의 구조들에 대한 하나 이상의 임계 파라미터들을 결정하도록 생성된다. 복수의 임계 파라미터들 및 대응하는 알려진 스펙트럼 각각에 대한 복수의 알려진 값들을 포함하는 기준 데이터에 기초하여 모델들 중 어느 하나가 각각의 임계 파라미터와 최상으로 상관되는지가 결정된다. 계측 툴을 이용하여 미지의 구조로부터 획득된 스펙트럼에 대해, 기준 데이터에 기초하여 모델들 중 어느 하나가 각각의 임계 파라미터와 최상으로 상관되는지를 결정하는 단계에 기초하여 미지의 구조의 임계 파라미터들 중 상이한 임계 파라미터들을 결정하도록 모델들 중 상이한 모델들이 선택되고 이용된다.
특정한 구현에서, 모델들은 고정된 하나 이상의 임계 파라미터들의 상이한 세트들 및 유동적인 하나 이상의 임계 파라미터들의 상이한 세트들을 갖는다. 다른 양상에서, 모델들 각각은 낮은 자유도(degree of freedom)를 갖고, 미지의 구조의 임계 파라미터들의 상이한 서브세트들을 제공하도록 구성된다. 또 다른 양상에서, 모델들 중 적어도 하나는 복수의 서브-모델들에 대응하는 복수의 상이한 제약 조건들을 갖는 동일한 기하학적 모델을 활용하도록 또는 복수의 서브-모델들에 대응하는 상이한 기하학적 모델들을 활용하도록 구성된다. 다른 예에서, 모델들 중 적어도 제 1 모델은 변환 함수를 이용하여 모델들 중 제 2 모델에 선택된 임계 파라미터를 송신하도록 구성된다. 특정 구현에서, 알려진 구조 및 미지의 구조로부터의 스펙트럼은, 분광 타원계측기, 뮐러 행렬 분광 타원계측기, 분광 반사계측기, 분광 산란계측기, 빔 프로파일 반사계측기, 빔 프로파일 타원계측기, 단일 파장, 단일 이산 파장 범위, 또는 다중 이산 파장 범위들 중 하나 이상을 이용하여 취득된다. 다른 특정 예에서, 모델들은 엄격한 웨이브 커플링 분석 기법(rigorous wave coupling analysis technique)을 이용하여 생성된다.
일 실시예에서, 임계 파라미터는 중앙 임계 치수(middle critical dimension; MCD), 상단 CD(top CD; TCD), 하단 CD(bottom CD; BCD), 프로파일 높이(height; HT), 측벽각(side wall angle; SWA) 및 물질 특성들을 포함한다. 다른 양상에서, 상이한 모델들은 다른 모델들보다 임계 파라미터들 중 상이한 하나 이상에 대해 더 높은 상관치를 갖고, 어느 모델들이 각각의 임계 파라미터에 대한 최고 상관치를 갖는지에 기초하여 상이한 모델들이 선택되고 이용된다. 다른 양상에서, 상이한 모델들을 선택하여 이용하는 단계는 조건을 충족하는 제 1 모델의 실행에 기초하여 제 1 모델의 복수의 서브-모델들 중에서 선택하는 단계를 포함하고, 각각의 서브-모델은 임계 파라미터들의 동일 세트를 결정하도록 구성된다. 다른 양상에서, 각각의 서브-모델은 고정된 및 유동적 임계 파라미터들의 상이한 세트들을 갖고, 제 1 모델은 초기에 모든 자신의 임계 파라미터들이 유동적인 상태로 실행된다. 대안적인 실시예에서, 상이한 모델들을 선택하여 이용하는 단계는 제 1 모델의 복수의 서브-모델들 중에서 선택하는 단계를 포함하고, 제 1 모델은 조건을 충족하는 제 1 모델의 실행에 기초하며, 각각의 서브-모델은 임계 파라미터들의 기본 세트의 상이한 서브세트를 결정하도록 구성되고, 제 1 모델은 임계 파라미터들의 기본 세트를 결정하도록 구성된다. 다른 구현에서, 상이한 모델들을 선택하여 이용하는 단계는 또한, 예상된 임계 치수 범위에 기초한다. 다른 양상에서, 계측 툴의 상이한 서브시스템들에 대해 상이한 모델들이 또한 선택되고 이용된다.
대안적인 실시예에서, 본 발명은 견본을 검사 또는 측정하기 위한 시스템에 관한 것이다. 이 시스템은 조명을 생성하기 위한 조명기 및 미지의 구조를 향해 조명을 지향하기 위한 조명 광학계(illumination optics)를 포함한다. 이 시스템은 또한 미지의 구조로부터 시스템의 센서로의 조명에 응답하여 복수의 스펙트럼 신호들을 지향하기 위한 수집 광학계를 포함한다. 시스템은 위에서 설명된 동작들 중 임의의 것을 수행하도록 구성된 프로세서 및 메모리를 더 포함한다.
본 발명의 이들 및 다른 양상들은 도면들을 참조하여 아래에서 더욱 설명된다.
도 1은 하이브리드 다중-툴 계측 시스템의 개략적 예시이다.
도 2는 하나 이상의 피처 특성들을 갖는 대표적 반도체 구조에 대한 스펙트럼 응답을 시뮬레이팅하는 모델의 개략적인 표현이다.
도 3a는 상관되지 않은 변동 피처 파라미터들에 대한 파장의 함수로서 예시적인 모델의 스펙트럼 결과들의 그래프이다.
도 3b는 상관된 변동 피처 파라미터들에 대한 파장의 함수로서 제 2 예시적인 모델의 스펙트럼 결과들의 그래프이다.
도 4는 본 발명의 일 실시예에 따른 다중 모델 시스템의 개략적 표현이다.
도 5는 본 발명의 대안적인 구현에 따른 다중 모델 시스템의 개략적 표현이다.
도 6은 본 발명의 일 실시예에 따라 임계 파라미터들을 결정하기 위한 모델의 세트를 결정하기 위한 다중-모델 셋업 프로세스를 예시하는 흐름도이다.
도 7a는 기준 데이터에 관하여 높이에 대한 2개의 상이한 모델의 상관을 예시한다.
도 7b는 기준 데이터에 관하여 MCD에 대한 2개의 상이한 모델의 상관을 예시한다.
도 8은 본 실시예의 특정한 구현에 따라 조건에 기초하여 서브-모델을 선택하기 위한 예시적인 계측 흐름이다.
도 9는 본 실시예의 다른 실시예에 따라 조건에 기초하여 단일 모델 또는 다중-모델을 선택하기 위한 제 2 예시적인 계측 흐름이다.
도 10은 본 실시예의 다른 실시예에 따라 조건에 기초하여 서브-모델을 선택하기 위한 제 3 예시적인 계측 흐름이다.
도 11은 본 발명의 일 실시예에 따른 다중 모델들을 이용한 개선된 임계 파라미터 상관을 예시한다.
도 12는 본 발명의 일 실시예에 따라 예시적인 계측 시스템을 예시한다.
이하의 설명에서, 다수의 특정 세부사항들은 본 발명의 완전한 이해를 제공하기 위해 기술된다. 본 발명은 이들 특정한 세부사항들 중 일부 또는 전부 없이 실시될 수 있다. 다른 경우에 있어서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 설명되지 않는다. 본 발명이 특정한 실시예들과 함께 설명될 것이지만, 이는 본 발명을 그 실시예로 제한하도록 의도되지 않는다는 것이 이해될 것이다.
<서론>
도 1은 하이브리드 다중-툴 계측 시스템(122)의 개략적 예시이다. 도시된 바와 같이, 하이브리드 시스템(122)은, 포토리소그래피 프로세스 툴을 비롯해서, 웨이퍼 제조 제어 시스템(128)을 통해 하나 이상의 임계 파라미터들의 측정치를 개선하기 위해 다수의 툴들, 예를 들어, 124a 및 124b로부터의 결과들을 조합하도록 1차 툴(126)을 활용할 수 있다. 예로서, 다양한 계측 툴들은 다음의 툴들: CD-SEM(critical dimension scanning electron microscopy), CD-TEM(CD transmission electron microscopy), CD-AFM(CD atomic force microscopy), 및/또는 SCD(scatterometry critical dimension) 중 임의의 것을 포함할 수 있다. 각각의 타입의 툴은 연관된 강점들 및 약점들을 가질 수 있다. 예를 들어, CD-SEM, CD-TEM, 및 CD-AFM은 파괴적이며 시간 소모적이다.
SCD는 제품 또는 테스트 웨이퍼 상의 다양한 반도체 타겟들로부터 광학 산란계측 신호들(optical scatterometry signals) 또는 스펙트럼 측정치에 기초한 비-파괴적 계측 기법이다. 몇몇 구현들에서, 모델은 다양한 타겟 구조들로부터의 예상된 스펙트럼 결과들을 시뮬레이팅하도록 구현된다. 도 2는 하나 이상의 피처 특성들을 갖는 대표적 반도체 구조(202)에 대한 스펙트럼 응답을 시뮬레이팅하는 모델의 개략적 표현이다. 예를 들어, 모델링된 타겟 구조(202)는 프로파일 높이(profile height; HT)(204a), 측벽 각(sidewall angle; SWA)(204b), 피치(204c), 중앙 임계 치수(middle critical dimension; MCD)(204d), 물질 조성 등을 가질 수 있다. 모델은 구조를 향해 특정한 툴에 의해 지향되는 입사 광(206) 및 이러한 구조들로부터의 산란된 광(208)을 시뮬레이팅한다.
모델은 통상적으로, 다양한 파라미터 구성들을 갖는 구조들로부터의 결과들을 시뮬레이팅한다. 도 3a는 상관되지 않은 변동 피처 파라미터들에 대한 파장의 함수로서 예시적인 모델의 스펙트럼 결과들의 그래프이다. 예를 들어, 스펙트럼 결과들(302)은 상단 CD(top CD; TCD) 및 하단 CD(bottom CD; BCD)에 대한 값들의 초기 세트로부터 발생한다. 스펙트럼 부분(304)은 TCD의 변화들로부터 발생하지만, 스펙트럼 부분(306)은 BCD의 변화들로부터 발생한다. 파라미터들(TCD 및 BCD)은 이 예에서 상관되지 않고, 이 파라미터들의 변화로부터의 결과들은 서로 쉽게 구분 가능하다.
그러나 SCD 모델은 통상적으로 파라미터들 간의 상관을 가지며, CD의 정확도는 이러한 상관치에 의해 불리하게 영향을 받을 수 있다. 도 3b는 상관된 변동 피처 파라미터들에 대한 파장의 함수로서 제 2 예시적인 모델의 스펙트럼 결과들의 그래프이다. 스펙트럼 결과들(352)은 TCD 및 BCD 값들의 특정한 세트에 대응한다. 이 모델에서, TCD 및 BCD에 대응하는 스펙트럼 변화들(예를 들어, 354a 및 354b)은 서로 구분하기 어렵고 고도로 상관된다 .
파라미터 상관은 하나 이상의 파라미터들을 고정(fixing)함으로써 해제될 수 있다. 다수의 임계 파라미터들이 있는 경우, 각각의 임계 파라미터는 고정되는 상이한 파라미터들과 더불어 최상의 정확도를 가질 수 있다. 여기서 "패스 전략(Pass strategy)"으로서 지칭되는 하나의 방법은 특정한 임계 CD에 관한 보다 정확한 값을 획득하도록 하나의 고정 조건만을 제공한다.
<예시적인 다중 모델 실시예들>
본 발명의 특정한 실시예들은 다중 임계 파라미터 측정 정확도에 대한 다중 모델들을 이용하여 패스 전략을 확장한다. 상이한 모델들은 일반적으로, 하나 이상의 다른 파라미터들을 변동시키면서 하나 이상의 파라미터들의 상이한 세트들을 고정할 것이다. 각각의 모델은 미지의 타겟 구조로부터 측정되는 스펙트럼과 모델-결정 스펙트럼 간의 최상의 매칭을 발생시키는 하나 이상의 파라미터값들을 결정하도록 구성될 수 있다. 상이한 모델들은 상이한 조건들 하에서 결정된 파라미터 결과들에 대한 최적의 정확도를 획득하도록 선택될 수 있다. 상이하게 구성된 모델들의 이러한 세트에 의해 출력되는 파라미터 값들은 기준 구조들에 대한 알려진 피처 파라미터 값들을 포함하는 기준 데이터와 밀접하게 상관되는 경향이 있다.
상이한 모델들은 일반적으로, 특정한 모델 임계 파라미터들의 변동/유동 또는 고정에 관하여 상이한 정도의 자유도를 가질 수 있다. 몇몇 모델들은 높은 DOF(예를 들어, 10 또는 15 DOF)를 가질 수 있고, 다수의 상이한 CP들을 보고할 수 있지만, 파라미터들 간에 높은 상관이 있을 가능성이 높기 때문에 모든 CP들을 정확하게 결정하기는 쉽지 않다. 다른 모델은 낮은 DOF를 갖고 다수의 CP들을 보고할 수 없지만, CP들의 서브세트에 대한 정확한 해결책을 제공할 수 있다. 낮은 DOF 모델은 그의 낮은 파라미터 상관으로 인해 더 양호한 파라미터의 웨이퍼내 변동을 보여줄 수 있다. 마지막으로, 다수의 낮은 DOF 모델들은, 웨이퍼내 변동의 개선된 모니터링을 제공하면서 다수의 CP들에 대한 더 양호한 해결책들을 획득하는데 활용될 수 있다.
도 4는 본 발명의 일 실시예에 따른 다중 모델 시스템(400)의 대략적 표현이다. 도시된 바와 같이, 시스템은 모델 1(404), 모델 2(406) 및 모델 3(408)과 같은 임의의 수 및 타입의 모델들을 활용할 수 있다. 각각의 모델은 하나 이상의 상이한 제약 조건들을 갖는 동일한 기하학적 모델 또는 상이한 기하학적 모델들을 포함할 수 있다. 예를 들어, 모델 1(404)은 서브-모델 1_1 내지 서브 모델 1_i을 포함할 수 있다.
특정한 서버 또는 계측 툴(402)은 상이한 타입들의 임계 파라미터 측정에 대해 이들 모델들을 선택적으로 활용할 수 있다. 즉, 상이한 모델들 및/또는 서브-모델들은 상이한 임계 파라미터 측정에 대해 더 잘 상관되는 출력을 제공할 것이다. 예를 들어, 임계 파라미터(CP1)는 모델 1_1에 의해 보다 더 정확하게 결정되고; CP2 및 CP3은 각각 서브-모델 2_1 및 모델 2_2로 보다 정확하게 결정되고; CP4는 모델 3_1에 의해 보다 더 정확하게 보고된다. 데이터는 선택적으로 모델들 간에 전달될 수 있다. 예를 들어, 반드시 CP가 아니라, 모델 1로부터 결정되는 SWA는 모델 2의 상관을 해제함으로써 MCD(CP2) 및 HT(CP3)의 더 나은 정확도를 위해 모델 2에 전달될 수 있다. 대안적인 실시예에서, 하나 이상의 CP들은 더 양호한 CP 결과들을 획득하도록 한 모델로부터 다른 모델로 공급될 수 있다. 도 5는 본 발명의 대안적인 구현에 따른 다중 모델 시스템(500)의 대략적인 표현이다. 이 구현은 하나의 모델로부터 다른 모델로 하나 이상의 파라미터들을 공급하기 위해 임의의 전달 함수(
Figure 112016027407371-pct00001
)를 제공하는 유연성을 제공한다(예를 들어,
Figure 112016027407371-pct00002
). 이 경우에, 모델 1로부터의 M1_3은 단순한 선형 전달 함수에 의해 모델 2의 M2_3에 공급된다. 유사하게, 함수(F(M))는 서버에서 하나의 모델로부터의 특정한 파라미터를 최종 결과에 공급하기 위한 임의의 변환 함수일 수 있다. 예시된 예에서, CP3는 모델 2로부터 전달된 출력들과 전달 함수(F2)와의 조합에 의해 획득되고, CP4는 모델 3으로부터의 출력들과 상이한 전달 함수(F3)와의 조합으로부터 획득된다.
도 6은 본 발명의 일 실시예에 따라 임계 파라미터들을 결정하기 위한 모델들의 세트를 결정하기 위한 다중-모델 셋업 프로세스(600)를 예시하는 흐름도이다. 초기에, 알려진 임계 파라미터 값들 및 알려진 스펙트럼을 갖는 타겟 구조들에 대한 기준 데이터가 동작(602)에서 획득된다. 예를 들어, 기준 데이터는 변동 프로파일들, 예를 들어, 상이한 MCD 및 SWA 등을 갖는 타겟들로부터 스펙트럼을 수집하는 계측 광학 툴에 의해 획득될 수 있다. 특정한 예에서, 상이한 타겟들에 대한 변동 임계 파라미터 값들은 실험 계획(design of experiment; DOE) 웨이퍼에 걸쳐 프로세스 조건들을 변동시킴으로써 획득된다. 기준 또는 트레이닝 타겟들에 대한 치수 파라미터들(예컨대, 프로파일 특성들(하단 또는 상단 CD, 측벽 각도 등))을 결정하기 위해, 이들 타겟들은 임의의 적합한 기준 계측, 예를 들어, 단면 ETM, 원자력 현미경(atomic force microscopy; AFM) 또는 CD-SEM에 의해 특성화될 수 있다. 기준 데이터는 그 후 스펙트럼 및 매칭 임계 파라미터 값들의 형태로 제공될 수 있다.
다양한 타겟들로부터 취득되는 스펙트럼은 하나 이상의 임계 파라미터들과 상관될 수 있는 임의의 적합한 계측 신호를 포함할 수 있다. 예시적인 스펙트럼 신호들은, ψ,Δ, Rs(s 편광의 복합 반사율), Rp(p 편광의 복합 반사율), Rs(
Figure 112016027407371-pct00003
), Rp(
Figure 112016027407371-pct00004
), R(편광되지 않은 반사율), α(분광 "알파" 신호), β(분광 "베타" 신호), 및 이들 파라미터들의 함수들, 예컨대,
Figure 112016027407371-pct00005
,
Figure 112016027407371-pct00006
,
Figure 112016027407371-pct00007
, 뮐러 행렬 엘리먼트(Mij) 등을 포함하는 임의의 타입의 산란계측, 분광(spectroscopic), 타원계측(ellipsometry) 및/또는 반사계측 신호들을 포함(그러나 이들로 제한되지 않음)한다. 신호들은 대안적으로 또는 부가적으로, 입사각, 편광, 방위각, 각 분포, 위상, 또는 파장 또는 이들 파라미터들 중 복수 개의 조합의 함수로서 측정될 수 있다. 신호들은 또한 위에서 설명된 타원계측 및/또는 반사계측 신호 타입들 중 임의의 것의 복수의 평균 값과 같은 신호들의 조합의 특성화일 수 있다. 다른 실시예들은, 신호들 중 적어도 하나가 다중 파장들 대신, 단일 파장에서 획득될 수 있는 단색(monochromatic) 또는 레이저 광원들을 이용할 수 있다. 조명 파장들은 X-광선 파장들로부터 시작해서 원적외선 파장들에 이르는 임의의 범위일 수 있다. 취득된 신호들의 타입은 관심의 구조에 대한 신호 민감도에 기초하여 선택될 수 있다. 예를 들어, 특정한 파장들은 소정의 특정 구조 치수들에 보다 더 민감해질 수 있다.
도 6을 다시 참조하면, 복수의 모델들이 그 후 동작(604)에서 생성될 수 있다. 이들 모델들은 유동적 및 고정된 임계 파라미터들의 변동 조합들을 갖는다. 각각의 모델은 일반적으로, 근본적인 구조가 동일이지만 상이한 물질들로 형성된 복합 프로파일 형상들을 나타낼 것이다. 모델은 또한 고정된 파라미터들은 물론, 각각의 상이한 유동적 파라미터 변화들에 관하여 산란 및 출력 스펙트럼을 시뮬레이팅한다. 예시적인 모델 생성 기법들은 EM(electro-magnetic) 솔버(solver)를 포함하고, RCWA(rigorous coupled wave analysis), FEM(finite element method), 모멘트법(method of moment), 표면 적분법, 체적 적분법, FDTD(finite difference time domain) 등과 같은 알고리즘들을 이용할 수 있다. 하나의 예시적인 RCWA 소프트웨어는 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor로부터 입수 가능한 AcuShape이다.
그 후 동작(606)에서, 기준 데이터에 기초하여 어느 모델 출력이 각각의 임계 파라미터와 최상으로 상관되는지가 결정될 수 있다. 예를 들어, 어느 모델 출력이 기준 데이터에 관하여 각각의 임계 파라미터와 최상으로 상관되는지가 결정될 수 있다. 보다 구체적으로, 기준 데이터는 높이와 같은 특정한 파라미터의 가변 값들에 대한 상이한 스펙트럼을 포함할 것이다. 최적의 모델은 이러한 특정한 파라미터 값들(높이)에 대한 기준 스펙트럼에 대해 최상으로 상관되는, 이러한 특정한 파라미터 값들(높이)에 대한 스펙트럼을 출력한다. 이러한 프로세스는 각각의 특정한 파라미터 타입, 예를 들어, MCD, TCD, BCD 등에 대해 반복된다. 일 예에서, 제 1 모델이 제 2 모델보다 높이에 대해 더 양호하게 상관되지만, 제 2 모델은 제 1 모델보다 MCD에 대해 더 양호하게 상관된다. 도 7a는 기준 데이터에 관하여 높이에 대한 2개의 상이한 모델의 상관을 예시한다. 라인(702)은 기준 높이와 모델 높이 간의 완벽한 상관을 나타낸다. 현재 예에서, 기준 높이와 모델 1의 높이 상관(704a)은 모델 2의 상관(704b)보다 더 양호하다. 유사하게, 도 7b는 기준 데이터에 관하여 MCD에 대해 2개의 상이한 모델의 상관을 예시한다. 도시된 바와 같이, 기준 MCD와의 모델 2의 MCD 상관(708b)은 모델 1의 상관(708a)보다 더 양호하다.
이들 상이한 상관에 기초하여, 상이한 모델들은 그 후 동작(608)에서 계측 동안 상이한 임계 파라미터들을 결정하도록 셋업될 수 있다. 현재 예에서, 제 1 모델은 높이를 결정하는데 선택되지만, 제 2 모델은 MCD를 결정하는데 선택된다. 다중 모델 셋업 프로시저(600)는 그 후 종료될 수 있다. 물론, 셋업 프로시저(600)는 예를 들어, 프로세스가 변할 때 재차 실행될 수 있다.
각각의 모델은 임의의 적합한 타입 및 수의 파라미터들을 포함할 수 있다. 예시적인 파라미터들은 레지스트 구조, 층의 구성요소, 층 거칠기(layer roughness) 등에 대한 MCD, TCD, BCD, HT 및 SWA를 포함할 수 있다. 하나 이상의 파라미터들은 유동적이거나 변동 값들을 가질 수 있지만, 다른 파라미터는 고정된 채로 유지될 수 있다. 예를 들어, MCD는 특정한 값으로 세팅될 수 있거나, 또는 유동적 파라미터 TCD 값 + 오프셋 값, 예를 들어,
Figure 112016027407371-pct00008
와 동일하게 세팅될 수 있다.
다른 실시예에서, 하나 이상의 조건들이 모델 선택을 위한 계측 동안 셋업될 수 있다. 도 8은 본 실시예의 특정 구현에 따라 조건에 기초하여 서브-모델을 선택하기 위한 예시적인 계측 흐름이다. 초기에, 제 1 모델 1(802)이 하나 이상의 임계 파라미터들을 결정하기 위해 선택되어 실행될 수 있다. 예시된 예에서, 모델 1(802)은 임계 파라미터들(CP1, CP2, CP3, 및 CP4)(예를 들어, 810 및 812)을 결정하기 위한 2개의 서브-모델들을 갖는다. 상이한 서브-모델들(예를 들어, 모델 1a 및 모델 1b)은 유동적 파라미터들(CP1-CP4)을 포함하는 고정 및 유동적 파라미터들의 상이한 조합들을 포함할 수 있다.
조건이 동작(804)에서 충족되는지가 그 후 결정될 수 있다. 임의의 적합한 조건은 아래에서 추가로 설명되는 바와 같이 어느 모델이 최상인지를 결정하는데 이용될 수 있다. 조건이 충족되는 경우, 서브-모델 1a은 파라미터들(CP1-CP4)을 결정하도록 동작(806)에서 이용될 수 있다. 그렇지 않으면, 서브-모델 1b가 이러한 파라미터들을 결정하도록 동작(808)에서 이용될 수 있다.
도 9는 본 발명의 다른 실시예에 따라 조건에 기초하여 단일 모델 또는 다중 모델을 선택하기 위한 제 2 예시적인 계측이다. 이 예에서, 모델 1이 파라미터들(CP1-CP4)을 결정하기 위해 선택되어 실행된다. 그 후 동작(904)에서 조건이 충족되는지가 결정된다. 조건이 충족되는 경우, 선택된 모델 1은 임계 파라미터들(CP1-CP4) 결과들(910)을 결정하도록 동작(906)에서 이용될 수 있다. 그렇지 않으면, 파라미터들의 제 1 세트(CP1 및 CP2)(912a) 및 파라미터들의 제 2 세트(CP3 및 CP4)(912b)를 각각 결정하도록 서브-모델 2a가 동작(908a)에서 이용되고 서브-모델 2b가 동작(908b)에서 이용될 수 있다.
도 10은 본 실시예의 다른 실시예에 따라 조건에 기초하여 서브-모델을 선택하기 위한 제 3 예시적인 계측이다. 이 예에서, 모델 1은 초기에 실행되며 동작(1002)에서 모든 그의 파라미터들은 유동적이 된다. 그 후 동작(1004)에서 특정한 조건이 충족되는지가 결정될 수 있다. 조건이 충족되는 경우, 제 1 서브-모델 1a가 이용되며 파라미터들(CP1 및 CP2)이 동작(1006)에서 고정된다. 그렇지 않으면, 서브-모델 1b이 이용되며 파라미터들(CP1, CP2 및 CP3)은 동작(1008)에서 고정된다.
임의의 적합한 수 및 타입의 조건들은 위의 프로세스들에 대해 어느 모델 또는 서브-모델을 선택할지 결정하는데 이용될 수 있다. 일 예에서, 조건은 품질 맞춤 문턱값(fitting quality threshold)이 도달되는 것일 수 있다. 예를 들어, 모델의 스펙트럼 출력은 조건으로서 미리 결정된 양의 맞춤도(fitness) 내에서 실제 스펙트럼에 맞도록 요구될 수 있다. 다양한 적합도(goodness-of-fit) 통계치가 이용될 수 있다. 예들은 SSE(sum of squares due to error), R-스퀘어, 조정된 R-스퀘어, RMSE(root mean squared error), NGOF(normalized GOF) 등을 포함한다. 잔차 분석 또는 신뢰도 및 예측 바운드들의 세트(set of confidence and prediction bounds)가 대안적으로 또는 부가적으로, 적합도 조건을 평가하는데 이용될 수 있다.
다른 조건 예에서, 모델의 특정한 CP가 미리 결정된 범위 내에 있는지가 결정될 수 있다. 예를 들어, 특정한 모델은 더 큰 CD 값들에 대해 생성될 수 있지만, 제 2 모델은 더 작은 범위의 CD 값들에 대해 생성된다.
상이한 모델들이 상이한 계측 툴 서브시스템들에 대해 이용될 수 있다. 즉, 상이한 계측 모듈들이 상이한 연관된 모델 또는 서브-모델을 가질 수 있다. 예를 들어, SE 0 및 90도 방위각 서브시스템이 제 1 모델을 이용할 수 있고; SE 및 eUVR 서브시스템이 제 2 모델을 이용할 수 있고, SE 및 BPR 서브시스템이 제 3 모델을 이용할 수 있다. 각각의 모델은 그의 상태를 세팅하고 제어하기 위한 그 자신의 상태 매커니즘을 가질 수 있고, 이에 따라 단일 모델 내에서 다수의 타입들의 동작들(상이한 서브모델들)을 허용한다. 일반적으로, 각각의 모델은 CP들의 상이한 세트들을 결정하기 위해 복수의 서브-모델들을 갖거나 또는 CP들의 동일 세트를 결정하기 위한 상이한 알고리즘들을 이용하는 다중-모델일 수 있다. 예를 들어, 모델은 재귀적 다중-모델 구조를 활용할 수 있다.
본 발명의 소정의 실시예들은, 개선된 CP 측정치와 연관되는 다중 모델들의 이용에 의해 반도체 웨이퍼 상에서 관심의 구조들의 임계 파라미터들을 결정하기 위한 장치 및 방법들을 포함한다. 종래의 모델은 특정한 CP에 대한 개선들을 제공할 수 있지만, 다른 CP들의 저하(degradation)를 갖는다. 다중 모델들을 이용하는 것은 모든 CP들에 대한 개선을 허용한다. 이들 기법들은 상이하게 구성된 모델들을 이용한 스펙트럼 신호들의 분석에 기초하여 전체 웨이퍼에 걸친 CP 변동을 측정하는 보다 정확한 수단을 허용한다. 소정의 실시예들에서, 이들 기법들은 라인들, 트렌치들, 레지스트 또는 DSA(directed self-assembly) 구조들, 막, 규칙적 및 비규칙적 구조들 등의 CP를 결정하는데 적용 가능하다.
도 11은 본 발명의 일 실시예에 따른 다중 모델들의 이용에 의한 개선된 임계 파라미터 상관을 예시한다. 높이(HT), SWA, BCD, MCD, 및 TCD에 대한 모델 결과들과 기준 데이터 간의 상관을 3개의 상이한 모델들(Ml, M2, 및 M3)에 대해 나타내고 있다. 표(1102)는 모델 M1에 대한 상관을 나타낸다. 표(1104)는 모델 M2에 대한 상관을 도시한다. 표(1106)는 모델 M3에 대한 상관을 나타낸다. 상이한 모델들은 상이한 CP들에 대한 상이한 상관 레벨들을 초래한다. 예를 들어, 모델 M1은 MCD에 대해 최고 상관치를 갖지만, 모델 M2는 BCD에 대해 최고 상관치를 갖는다. 모든 모델들로부터의 최상의 결과들이 보고될 수 있다. 나타내는 바와 같이, 표(1108)는 상이한 모델들로부터 각각의 CP에 대한 최상의 상관 결과들을 보고한다. 따라서, 보고된 CP 결과들은 개별 모델들(M1-M3)보다, 기준 데이터에 대해 함께 더 밀접하게 상관된다.
하드웨어 및/또는 소프트웨어의 임의의 적합한 조합은 위에서 설명된 기법들 중 임의의 것을 구현하는데 이용될 수 있다. 일반적인 예에서, 계측 툴은 타겟을 조명하는 조명 시스템, 타겟과의 조명 시스템의 상호작용(또는 그 결여), 디바이스 또는 피처에 의해 제공되는 관련 정보를 캡처하는 수집 시스템 및 하나 이상의 알고리즘들을 이용하여 수집된 정보를 분석하는 프로세싱 시스템을 포함할 수 있다. 계측 툴들은 일반적으로, 다양한 반도체 제조 프로세스들과 연관되는 구조 및 물질 특성들(예를 들어, 물질 조성, 구조들 및 막들의 치수 특성들, 예컨대, 막 두께 및/또는 구조들의 임계 치수들, 오버레이 등)에 관련된 다양한 방사 신호들을 측정하는데 이용될 수 있다. 이들 측정치는 반도체 다이의 제조 시에 프로세스 제어를 용이하게 하고 그리고/또는 효율들을 산출하는데 이용될 수 있다.
계측 툴은 본 발명의 소정의 실시예들과 함께 이용될 수 있는 하나 이상의 하드웨어 구성들을 포함할 수 있다. 이러한 하드웨어 구성들의 예들은 SE(Spectroscopic ellipsometer), 다수의 조명각들을 갖는 SE, (예를 들어, 회전 보상기(들)를 이용하여) 뮐러 행렬 엘리먼트들을 측정하는 SE, 단일-파장 타원계측기들, 빔 프로파일 타원계측기(각 분해 타원계측기), 빔 프로파일 반사계측기(각 분해 반사계측기), 광대역 반사 분광계(분광 반사계측기), 단일-파장 반사계측기, 각 분해 반사계측기, 이미징 시스템 및 산란계측기(예를 들어, 스패클 분석기)를 포함(그러나 이들로 제한되지 않음)한다.
하드웨어 구성들은 이산 동작 시스템들로 분리될 수 있다. 한편, 하나 이상의 하드웨어 구성들은 단일 툴로 조합될 수 있다. 단일 툴로의 다중 하드웨어 구성들의 이러한 조합의 일 예는 미국 특허 번호 제7,933,026호에서 더 예시되고 설명되며, 이 특허는 모든 목적을 위해 그 전체 인용에 의해 본원에 포함된다. 도 12는 예를 들어, a) 광대역 SE(예를 들어, 18); b) 회전 보상기(예를 들어, 98)를 갖는 SE(예를 들어, 2); c) 빔 프로파일 타원계측기(예를 들어, 10); d) 빔 프로파일 반사계측기(예를 들어, 12); e) 광대역 반사 분광계(예를 들어, 14); 및 (f) 딥(deep) 자외선 반사 분광계(예를 들어, 16)를 포함하는 예시적인 계측 툴의 개략도를 도시한다. 또한, 통상적으로 소정의 렌즈들, 시준기들, 미러들, 1/4파 플레이트들, 편광기들, 검출기들, 카메라들, 어퍼처들 및/또는 광원들을 비롯해서, 이러한 시스템들에 다수의 광학 엘리먼트들(예를 들어, 92, 72, 94, 70, 96, 74, 76, 80, 78, 98, 100, 102, 104, 32/33, 42, 84, 60, 62, 64, 66, 30, 82, 29, 28, 44, 50, 52, 54, 56, 46, 34, 36, 38, 40, 및 86)이 있다. 광학 시스템들에 대한 파장들은 약 120nm 내지 3미크론에서 변동될 수 있다. 광학 시스템에 대한 방위각이 또한 변동될 수 있다. 비-타원계측기 시스템들에 대해, 수집된 신호들은 편광-분해되거나 비편광될 수 있다.
도 12는 동일한 툴 상에 통합되는 다중 계측 헤드들의 예시를 제공한다. 그러나 다수의 경우들에서, 다중 계측 툴들은 단일 또는 다중 계측 타겟들 상의 측정들을 위해 이용된다. 다중 툴 계측의 몇 개의 실시예들은 추가로, 예를 들어, Zangooie 등에 의해, 발명의 명칭이 "Multiple tool and structure analysis"인 미국 7,478,019에서 설명되며, 이 특허는 모든 목적을 위해 그 전체가 인용에 의해 본원에 포함된다.
소정의 하드웨어 구성들의 조명 시스템은 하나 이상의 광원들을 포함할 수 있다. 하나 이상의 광원들은 단지 하나의 파장을 갖는 광(예를 들어, 단색 광), 다수의 이산 파장들을 갖는 광(예를 들어, 다색 광), 다중 파장들을 갖는 광(예를 들어, 광대역 광) 및/또는 파장들을 통해 스윕(sweep)하고, 파장들 간을 홉핑(hopping)하거나 연속적인 광(예를 들어, 튜닝 가능한 소스들 또는 스위핑된 소스들(swept sources))을 생성할 수 있다. 적합한 광원들의 예들은 백색 광원, 자외선(UV) 레이저, 아크(arc) 램프 또는 무전극 램프, 레이저 지속 플라즈마(laser sustained plasma; LSP) 소스로서, 이들은 예를 들어, 미국 메사추세츠주 워번 소재의 Energetiq Technology, Inc로부터 상업적으로 입수 가능한 것들, 미국 뉴저지주 모건빌 소재의 NKT Photonics Inc.로부터 상업적으로 입수 가능한 것들과 같은 수퍼컨티늄 소스(supercontinuum source)(예컨대, 광대역 레이저 소스), 또는 더 짧은-파장 소스들, 예컨대, x-광선 소스들, 극 UV 소스들, 또는 이들의 임의의 조합이다. 광원(들)은 또한, 몇몇 경우들에서, 약 1W/(nm cm2 Sr) 초과의 밝기를 가질 수 있는 충분한 밝기를 갖는 광을 제공하도록 구성될 수 있다. 계측 시스템은 또한 그의 전력 및 파장을 안정화시키기 위해 광원으로의 고속 피드백을 포함할 수 있다. 광원의 출력은 자유-공간 전파를 통해 전달되거나, 또는 몇몇 경우들에서, 광섬유 또는 임의의 타입의 광 가이드를 통해 전달될 수 있다.
결국, 하나 이상의 검출기들 또는 분광계들은 견본(4)의 표면으로부터 반사되거나 또는 그렇지 않고 산란되는 조명을 수집 광학 엘리먼트들을 통해 수신하도록 구성된다. 적합한 센서들은 CCD(charged coupled devices), CCD 어레이들, TDI(time delay integration) 센서들, TDI 센서 어레이들, PMT(photomultiplier tubes) 및 다른 센서들을 포함한다. (포지션, 파장, 편광, 방위각 등의 함수로서) 측정된 스펙트럼 또는 검출된 신호 데이터는 분석을 위해 각각의 검출기로부터 프로세서 시스템(48)으로 전달될 수 있다.
본 개시 전체에 걸쳐 설명된 다양한 단계들은 단일 프로세서 시스템(48)에 의해 또는 대안적으로 다중 프로세서 시스템(48)에 의해 수행될 수 있다는 것이 인지되어야 한다. 또한, 도 12의 시스템의 상이한 서브시스템들, 예컨대 분광 타원계측기는 여기서 설명된 단계들 중 적어도 일부만을 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 그러므로, 전술한 설명은 본 발명에 관한 제한으로서가 아니라 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 프로세서 시스템(48)은 여기서 설명된 방법 실시예들 중 임의의 것의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
또한, 프로세서 시스템(48)은 당 분야에 알려진 임의의 방식으로 검출기 시스템에 통신 가능하게 커플링될 수 있다. 예를 들어, 하나 이상의 프로세서 시스템(48)은 검출기 시스템과 연관된 컴퓨팅 시스템들에 커플링될 수 있다. 다른 예에서, 검출기 시스템은 프로세서 시스템(48)에 커플링된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템의 프로세서 시스템(48)은 유선 및/또는 무선 부분들을 포함하는 전송 매체에 의해 시스템의 서브시스템들로부터 데이터 또는 정보를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 도 12의 시스템의 프로세서 시스템(48)과 다른 서브시스템들 간의 데이터 경로로서 역할할 수 있다.
통합된 계측 시스템의 프로세서 시스템(48)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예를 들어, 측정 스펙트럼, 차이 신호들, 통계 결과들, 기준 또는 교정 데이터, 트레이닝 데이터, 모델들, 추출된 피처들 또는 변형 결과들, 변환된 데이터세트들, 곡선 맞춤(curve fitting), 질적 및 양적 결과들, 등)를 수신 및/또는 취득하도록 구성될 수 있다. 이 방식으로, 전송 매체는 프로세서 시스템(48)과 다른 시스템들(예를 들어, 메모리 온-보드 계측 시스템, 외부 메모리, 기준 측정 소스 또는 다른 외부 시스템들) 간의 데이터 링크로서 역할할 수 있다. 예를 들어, 프로세서 시스템(48)은 데이터 링크를 통해 저장 매체(예를 들어, 내부 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 검출 시스템을 이용하여 획득된 스펙트럼 결과들은 영구 또는 반영구 메모리 디바이스(예를 들어, 내부 또는 외부 메모리)에 저장될 수 있다. 이것에 관하여, 스펙트럼 결과들은 외부 메모리 시스템으로부터 또는 온-보드 메모리로부터 불러오기(import)될 수 있다. 또한, 프로세서 시스템(48)은 전송 매체를 통해 데이터를 다른 시스템들에 송신할 수 있다. 예를 들어, 프로세서 시스템(48)에 의해 결정된 양적 및/또는 질적 결과들이 전달되어 외부 메모리에 저장될 수 있다. 이것에 관하여, 측정 결과들은 다른 시스템들로 내보내기(export)될 수 있다.
프로세서 시스템(48)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당 분야에 알려진 임의의 다른 디바이스를 포함(그러나 이들로 제한되지 않음)할 수 있다. 일반적으로, "프로세서 시스템"이란 용어는 메모리 매체로부터의 명령어를 실행할 수 있는 하나 이상의 프로세서들을 갖는 임의의 디바이스를 포괄하도록 넓게 정의될 수 있다. 여기서 설명된 것들과 같은 방법들을 구현하는 프로그램 명령어는 유선, 케이블 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 프로그램 명령어는 컴퓨터 판독 가능한 매체(예를 들어, 메모리)에 저장될 수 있다. 예시적인 컴퓨터-판독 가능한 매체들은 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크 또는 자기 테이프를 포함한다.
계측 툴은 반도체 제조와 관련된 다수의 상이한 타입들을 측정하도록 설계될 수 있다. 질적 및/또는 양적 값들을 결정하기 위한 본 발명의 소정의 실시예들은 이러한 측정치를 활용할 수 있다. 특정한 실시예들에서, 툴은 스펙트럼을 측정하고 품질 및 결함 정량 값들, 임계 치수들, 오버레이, 측벽 각도들, 막 두께들, 프로세스-관련 파라미터들(예를 들어, 초점 및/또는 도즈(dose))과 같은 하나 이상의 타겟들의 특성들을 결정할 수 있다. 타겟들은 예를 들어, 메모리 다이의 격자들과 같이 본질적으로 규칙적인 특정한 관심 영역들을 포함할 수 있다. 타겟들은 두께가 계측 툴에 의해 측정될 수 있는 다중 층들(또는 막들)을 포함할 수 있다. 타겟들은 예를 들어, 정렬 및/또는 오버레이 레지스트레이션 동작에 이용하기 위해 반도체 웨이퍼 상에 배치된(또는 이미 존재하는) 타겟 설계들을 포함할 수 있다. 소정의 타겟들은 반도체 웨이퍼 상의 다양한 장소들에 위치할 수 있다. 예를 들어, 타겟들은 (예를 들어, 다이들 간의) 스크라이브 라인들 내에 위치하고 그리고/또는 다이 그 자체에 위치할 수 있다. 소정의 실시예들에서, 다중 타겟들은 US 7,478,019에서 설명된 것과 동일한 또는 다중 계측 툴들에 의해 (동시에 또는 상이한 시간들에) 측정된다. 이러한 측정치로부터의 데이터는 조합될 수 있다. 계측 툴로부터의 데이터는 반도체 제조 프로세스에서, 예를 들어, 프로세스(예를 들어, 리소그래피 또는 에칭)에 대한 피드-포워드, 피드-백워드 및/또는 피드-사이드웨이 정정들에 대해 이용될 수 있고, 이에 따라 완전한 프로세스 제어 솔루션을 산출한다.
반도체 디바이스 패턴 치수들이 계속 작아짐에 따라, 더 작은 계측 타겟들이 종종 요구된다. 또한, 측정 정확도 및 실제 디바이스 특성에 대한 매칭은 디바이스-유사 타겟들은 물론, 다이내 및 심지어 디바이스상의 측정치에 대한 필요성을 증가시킨다. 다양한 계측 구현들이 그 목적을 달성하기 위해 제안되었다. 예를 들어, 주로 반사 광학계(reflective optics)에 기초한 포커싱된 빔 타원계측이 이들 중 하나이며 Piwonka-Corle 등에 의한 특허(미국 5,608,526, "Focused beam spectroscopic ellipsometry method and system")에서 설명된다. 아포다이저(Apodizer)들은 기하학적 광학계들에 의해 정의된 크기를 벗어난 조명 스팟의 확산을 야기하는 광학 굴절의 효과들을 완화하는데 이용된다. 아포다이저들의 이용은 Norton에 의한 특허, U.S. 5,859,424, "Apodizing filter system useful for reducing spot size in optical measurements and other applications"에서 설명된다. 동시성 다중 입사각 조명을 갖는 높은 개구수 툴의 이용은 소형-타겟 능력을 달성하기 위한 다른 방식이다. 이 기법은 예를 들어, Opsal 등에 의한 특허, U.S. 6,429,943, "Critical dimension analysis with simultaneous multiple angle of incidence measurements"에서 설명된다.
다른 측정 예들은 반도체 스택의 하나 이상의 층들의 구성요소를 측정하는 것, 웨이퍼 상에서(또는 내에서) 특정한 결함들을 측정하는 것, 웨이퍼에 노출되는 포토리소그래픽 방사선 양을 측정하는 것을 포함할 수 있다. 몇몇 경우들에서, 계측 툴 및 알고리즘은 비-규칙적 타겟들을 측정하도록 구성될 수 있으며, 예를 들어, P. Jiang 등에 의한 "The Finite Element Method for Full Wave Electromagnetic Simulations in CD Metrology Using Scatterometry"(계류중인 U.S. 61/830536, K-T 개시물 P4063) 및 A. Kuznetsov 등에 의한 "Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection"(계류중인 U.S. 61/761146 또는 KT 개시물 P4082)을 참조한다.
관심의 파라미터들의 측정은 또한 다수의 알고리즘을 포함할 수 있다. 예를 들어, 샘플과 입사 빔의 광학 상호작용은 EM(electro-magnetic) 솔버를 이용하여 모델링되고, RCWA, FEM, 모멘트법, 표면 적분법, 체적 적분법, FDTD 등과 같은 알고리즘들을 이용할 수 있다. 관심의 타겟은 보통 기하학적 엔진 또는 몇몇 경우들에서, 프로세스 모델링 엔진, 또는 양쪽의 조합을 이용하여 모델링(파라미터화)될 수 있다. 프로세스 모델링의 이용은 A. Kuznetsov 등에 의한 "Method for integrated use of model-based metrology and a process model"(계류중인 U.S. 61/738760, P4025)에서 설명된다. 기하학적 엔진은 예를 들어, 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor의 AcuShape 소프트웨어 제품으로 구현될 수 있다.
수집된 데이터는, 라이브러리들, 고속 축소 차수(Fast-reduced-order) 모델들을 포함하는 기술들; 회귀; 머신-러닝 알고리즘들, 예컨대, 뉴럴 네트워크들, SVM(support-vector machines); 예를 들어, PCA(principal component analysis), ICA(independent component analysis), LLE(local-linear embedding)와 같은 차원-감소 알고리즘들; 푸리에 또는 웨이블렛 변환과 같은 스파스 표현(sparse representation); 칼만 필터; 동일하거나 상이한 툴 타입들로부터의 매칭을 촉구하기 위한 알고리즘 등과 같은 다수의 데이터 맞춤 및 최적화 기법들에 의해 분석될 수 있다.
수집된 데이터는 또한, 모형화, 최적화 및/또는 맞춤을 포함하지 않는 알고리즘들, 예를 들어, 인용에 의해 본원에 포함되는 미국 가특허 출원 61/745981에 의해 그리고 설명된 바와 같이 분석될 수 있다.
계산 알고리즘들은 보통 계산 하드웨어의 설계 및 구현, 병렬화, 계산의 분배, 부하-밸런싱, 다중-서비스 지원, 동적 부하 최적화 등과 같이 이용되는 하나 이상의 접근법들에 의해 계측 애플리케이션에 대해 최적화된다. 알고리즘들의 상이한 구현들은 펌웨어, 소프트웨어, FPGA, 프로그래밍 가능 광학계 컴포넌트들 등에서 행해질 수 있다.
데이터 분석 및 맞춤 단계들은 다음의 목적들: 품질의 측정, 결함 수, CD, SWA, 형상, 스트레스, 구성요소, 막들, 밴드갭, 전기 특성들, 초점/도즈, 오버레이, 프로세스 파라미터들(예를 들어, 레지스트 상태, 부분 압력, 온도, 초점 모델)의 생성, 및/또는 이들의 임의의 조합; 계측 시스템들의 모델링 및/또는 설계; 및 계측 타겟들의 모델링, 설계 및/또는 최적화 중 하나에 따라 이용될 수 있다.
여기서 제시된 본 발명의 소정의 실시예들은 일반적으로 반도체 계측 및 프로세스 제어의 분야를 다루며, 하드웨어, 알고리즘/소프트웨어 구현들 및 아키텍처로 제한되지 않고, 위에서 요약된 경우들을 이용한다.
위의 발명이 이해의 명확성을 위해 일부 상세히 설명되었지만, 특정한 변화들 및 변형들이 첨부된 청구범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 발명의 프로세스들, 시스템들 및 장치를 구현하는 다수의 대안적인 방식들이 있다는 것이 주의되어야 한다. 이에 따라, 본 실시예들은 제한적이 아니라 예시적인 것으로서 간주될 것이고, 본 발명은 여기서 주어진 세부사항들로 제한되지 않는다.

Claims (25)

  1. 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화(characterzing)하기 위한 방법에 있어서,
    유동적(floating) 및 고정된(fixed) 임계 파라미터들의 상이한 조합들을 갖는다는 점에서 서로 상이한, 시뮬레이팅된 스펙트럼을 출력하기 위한 복수의 모델들을 생성하는 단계로서, 상기 복수의 모델들은 미지의 구조(unknown structure)들로부터 수집된 스펙트럼에 기초하여 상기 미지의 구조들에 대한 상이한 하나 이상의 임계 파라미터를 결정하기 위한 최종 모델들로서 생성되는, 상기 복수의 모델들을 생성하는 단계;
    상기 모델들을 생성한 후에, 또 다른 모델을 생성하지 않고서, 복수의 임계 파라미터들 각각에 대한 복수의 알려진 값들 및 대응하는 알려진 스펙트럼을 포함하는 기준 데이터에 기초하여 상기 모델들 중 어느 모델이 각각의 임계 파라미터와 최상으로 상관되는지를 결정하는 단계;
    계측 툴을 이용하여 미지의 구조로부터 측정된 스펙트럼에 대해, 상기 기준 데이터에 기초하여 상기 모델들 중 어느 모델이 각각의 임계 파라미터와 최상으로 상관되는지를 결정하는 단계에 기초해서, 상기 미지의 구조의 임계 파라미터들 중 상이한 임계 파라미터들을 결정하기 위해 상기 모델들 중 상이한 모델들을 선택하여 이용하는 단계; 및
    상기 결정된 임계 파라미터들 중 상이한 것들을 상기 계측 툴의 디스플레이이 상에 보고하는 단계
    를 포함하는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 모델들은 고정된 하나 이상의 임계 파라미터들의 상이한 세트들 및 유동적인 하나 이상의 임계 파라미터들의 상이한 세트들을 갖는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 모델들 각각은 낮은 자유도(degree of freedom)를 갖고, 상기 미지의 구조의 임계 파라미터들의 상이한 서브세트들을 제공하도록 구성되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 모델들 중 적어도 하나는, 복수의 서브-모델들에 대응하는 복수의 상이한 제약 조건들을 갖는 동일한 기하학적 모델을 활용하도록 또는 복수의 서브-모델들에 대응하는 상이한 기하학적 모델들을 활용하도록 구성되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 모델들 중 적어도 제 1 모델은 변환 함수를 이용하여 상기 모델들 중 제 2 모델에 선택된 임계 파라미터를 송신하도록 구성되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  6. 제 1 항에 있어서,
    알려진 구조 및 상기 미지의 구조로부터의 스펙트럼은, 분광 타원계측기, 뮐러(Mueller) 행렬 분광 타원계측기, 분광 반사계측기, 분광 산란계측기, 빔 프로파일 반사계측기, 빔 프로파일 타원계측기, 단일 파장, 단일 이산 파장 범위, 또는 다중 이산 파장 범위들 중 하나 이상을 이용하여 취득되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 모델들 각각은 엄격한 웨이브 커플링 분석 기법(rigorous wave coupling analysis technique)을 이용하여 생성되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 임계 파라미터들은 중앙 임계 치수(middle critical dimension; MCD), 상단 CD(top CD; TCD), 하단 CD(bottom CD; BCD), 높이(height; HT) 및 측벽각(side wall angle; SWA)을 포함하는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 모델들 중 제 1 모델은 상기 임계 파라미터들 중 제 1 임계 파라미터를 결정하기 위해 선택되어 이용되고, 상기 모델들 중 제 2 모델은 상기 임계 파라미터들 중 제 2 임계 파라미터를 결정하기 위해 선택되어 이용되며, 상기 제 1 모델은 상기 제1 임계 파라미터를 결정하기 위한 상관치(correlation)가 상기 제 2 모델보다 더 높고, 상기 제 2 모델은 상기 제2 임계 파라미터를 결정하기 위한 상관치가 상기 제1 모델보다 더 높은, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 상이한 모델들을 선택하여 이용하는 단계는, 조건을 충족하는 제 1 모델의 실행에 기초하여 상기 제 1 모델의 복수의 서브-모델들 중에서 선택하는 단계를 포함하고, 각각의 서브-모델은 임계 파라미터들의 동일 세트를 결정하도록 구성되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  11. 제 9 항에 있어서,
    각각의 서브-모델이 고정된 및 유동적 임계 파라미터들의 상이한 세트들을 갖고, 상기 제 1 모델은 초기에 모든 자신의 임계 파라미터들이 유동적인 상태로 실행되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  12. 제 1 항에 있어서,
    상기 상이한 모델들을 선택하여 이용하는 단계는, 제 1 모델의 복수의 서브-모델들 중에서 선택하는 단계를 포함하고, 상기 제 1 모델은 조건을 충족하는 제 1 모델의 실행에 기초하며, 각각의 서브-모델은 임계 파라미터들의 기본 세트의 상이한 서브세트를 결정하도록 구성되고, 상기 제 1 모델은 상기 임계 파라미터들의 기본 세트를 결정하도록 구성되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  13. 제 1 항에 있어서,
    상기 상이한 모델들을 선택하여 이용하는 단계는 또한, 예상된 임계 치수 범위에 기초하는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 계측 툴의 상이한 서브시스템들에 대해 상이한 모델들이 또한 선택되어 이용되는, 반도체 웨이퍼 상의 관심의 복수의 구조들을 특성화하기 위한 방법.
  15. 반도체 계측 툴(semiconductor metrology tool)에 있어서,
    조명을 생성하기 위한 조명기;
    미지의 구조를 향해 상기 조명을 지향시키기 위한 조명 광학계(illumination optics);
    상기 미지의 구조로부터의 복수의 스펙트럼들을 센서에 지향시키기 위한 수집 광학계;
    상기 미지의 구조로부터 복수의 스펙트럼 신호들을 취득하기 위한 상기 센서; 및
    프로세서 및 메모리
    를 포함하고,
    상기 프로세서 및 메모리는,
    유동적 및 고정된 임계 파라미터들의 상이한 조합들을 갖는다는 점에서 서로 상이한, 시뮬레이팅된 스펙트럼을 출력하기 위한 복수의 모델들을 생성하는 동작으로서, 상기 복수의 모델들은 미지의 구조들로부터 수집된 스펙트럼에 기초하여 상기 미지의 구조들에 대한 상이한 하나 이상의 임계 파라미터를 결정하기 위한 최종 모델들로서 생성되는, 상기 복수의 모델들을 생성하는 동작;
    상기 모델들을 생성한 후에, 또 다른 모델을 생성하지 않고서, 복수의 임계 파라미터들 각각에 대한 복수의 알려진 값들 및 대응하는 알려진 스펙트럼을 포함하는 기준 데이터에 기초하여 상기 모델들 중 어느 하나가 각각의 임계 파라미터와 최상으로 상관되는지를 결정하는 동작; 및
    미지의 구조로부터 획득된 스펙트럼에 대해, 상기 기준 데이터에 기초하여 상기 모델들 중 어느 모델이 각각의 임계 파라미터와 최상으로 상관되는지를 결정하는 동작에 기초해서, 상기 미지의 구조의 임계 파라미터들 중 상이한 임계 파라미터들을 결정하기 위해 상기 모델들 중 상이한 모델들을 선택하여 이용하는 동작
    을 수행하도록 구성되는, 반도체 계측 툴.
  16. 제 15 항에 있어서,
    상기 모델들 중 적어도 하나는, 복수의 서브-모델들에 대응하는 복수의 상이한 제약 조건들을 갖는 동일한 기하학적 모델을 활용하도록 또는 복수의 서브-모델들에 대응하는 상이한 기하학적 모델들을 활용하도록 구성되는, 반도체 계측 툴.
  17. 제 15 항에 있어서,
    상기 모델들 중 적어도 제1 모델은 변환 함수를 이용하여 상기 모델들 중 제 2 모델에 선택된 임계 파라미터를 송신하도록 구성되는, 반도체 계측 툴.
  18. 제 15 항에 있어서,
    알려진 구조 및 상기 미지의 구조로부터의 스펙트럼은, 분광 타원계측기, 뮐러 행렬 분광 타원계측기, 분광 반사계측기, 분광 산란계측기, 빔 프로파일 반사계측기, 빔 프로파일 타원계측기, 단일 파장, 단일 이산 파장 범위, 또는 다중 이산 파장 범위들 중 하나 이상을 이용하여 취득되는, 반도체 계측 툴.
  19. 제 15 항에 있어서,
    상기 임계 파라미터들은 중앙 임계 치수(middle critical dimension; MCD), 상단 CD(top CD; TCD), 하단 CD(bottom CD; BCD), 및 측벽각(side wall angle; SWA)을 포함하는, 반도체 계측 툴.
  20. 제 15 항에 있어서,
    상기 모델들 중 제1 모델은 상기 임계 파라미터들 중 제1 임계 파라미터를 결정하기 위해 선택되어 이용되고, 상기 모델들 중 제2 모델은 상기 임계 파라미터들 중 제2 임계 파라미터를 결정하기 위해 선택되어 이용되며, 상기 제1 모델은 상기 제1 임계 파라미터를 결정하기 위한 상관치가 상기 제2 모델보다 더 높고, 상기 제2 모델은 상기 제2 임계 파라미터를 결정하기 위한 상관치가 상기 제1 모델보다 더 높은, 반도체 계측 툴.
  21. 제 15 항에 있어서,
    상기 상이한 모델들을 선택하여 이용하는 동작은, 조건을 충족하는 제 1 모델의 실행에 기초하여 상기 제 1 모델의 복수의 서브-모델들 중에서 선택하는 동작을 포함하고, 각각의 서브-모델은 임계 파라미터들의 동일 세트를 결정하도록 구성되는, 반도체 계측 툴.
  22. 제 20 항에 있어서,
    각각의 서브-모델은 고정된 및 유동적 임계 파라미터들의 상이한 세트들을 갖고, 상기 제 1 모델은 초기에 모든 자신의 임계 파라미터들이 유동적인 상태로 실행되는, 반도체 계측 툴.
  23. 제 15 항에 있어서,
    상기 상이한 모델들을 선택하여 이용하는 동작은, 제 1 모델의 복수의 서브-모델들 중에서 선택하는 동작을 포함하고, 상기 제 1 모델은 조건을 충족하는 제 1 모델의 실행에 기초하며, 각각의 서브-모델은 임계 파라미터들의 기본 세트의 상이한 서브세트를 결정하도록 구성되고, 상기 제 1 모델은 상기 임계 파라미터들의 기본 세트를 결정하도록 구성되는, 반도체 계측 툴.
  24. 제 15 항에 있어서,
    상기 상이한 모델들을 선택하여 이용하는 동작은 또한, 예상된 임계 치수 범위에 기초하는, 반도체 계측 툴.
  25. 제 15 항에 있어서,
    상기 계측 툴의 상이한 서브시스템들에 대해 상이한 모델들이 또한 선택되어 이용되는, 반도체 계측 툴.
KR1020167007497A 2013-08-23 2014-08-21 다중-모델 계측 KR102096134B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361869434P 2013-08-23 2013-08-23
US61/869,434 2013-08-23
US14/459,516 2014-08-14
US14/459,516 US9412673B2 (en) 2013-08-23 2014-08-14 Multi-model metrology
PCT/US2014/052142 WO2015027088A1 (en) 2013-08-23 2014-08-21 Multi-model metrology

Publications (2)

Publication Number Publication Date
KR20160047511A KR20160047511A (ko) 2016-05-02
KR102096134B1 true KR102096134B1 (ko) 2020-04-01

Family

ID=52481579

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167007497A KR102096134B1 (ko) 2013-08-23 2014-08-21 다중-모델 계측

Country Status (4)

Country Link
US (2) US9412673B2 (ko)
KR (1) KR102096134B1 (ko)
CN (2) CN110083017B (ko)
WO (1) WO2015027088A1 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10386729B2 (en) 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US10302414B2 (en) * 2014-09-14 2019-05-28 Nova Measuring Instruments Ltd. Scatterometry method and system
US11675208B1 (en) 2014-12-18 2023-06-13 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US11035729B1 (en) 2014-12-18 2021-06-15 J.A. Woqllam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10422739B1 (en) 2014-12-18 2019-09-24 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter systems with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10132684B1 (en) 2014-12-18 2018-11-20 J.A. Woolam Co., Inc. Reflectometer, spectrophometer, ellipsometer and polarimeter system with a super continuum laser-source of a beam of electromagnetism and improved detector system
US10502549B2 (en) 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US9915524B2 (en) 2015-05-11 2018-03-13 Kla-Tencor Corporation Optical metrology with small illumination spot size
US10592817B2 (en) * 2015-07-13 2020-03-17 International Business Machines Corporation Parameter-dependent model-blending with multi-expert based machine learning and proxy sites
US10502692B2 (en) 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection
US10295342B2 (en) 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
KR101917006B1 (ko) * 2016-11-30 2018-11-08 에스케이 주식회사 머신 러닝 기반 반도체 제조 수율 예측 시스템 및 방법
KR101885619B1 (ko) * 2016-12-29 2018-08-06 한국과학기술원 출구 재귀 모델을 이용한 웨이퍼 제조 공정 레벨의 포토리소그래피 클러스터 장치 시뮬레이션을 제공하는 시뮬레이션 장치 및 이를 이용한 시뮬레이션 방법
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
CN115220311A (zh) 2017-05-05 2022-10-21 Asml荷兰有限公司 用于预测器件制造工艺的良率的方法
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US11380594B2 (en) 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US11156548B2 (en) * 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
KR20200139800A (ko) * 2018-04-06 2020-12-14 램 리써치 코포레이션 Cd-sem을 사용한 프로세스 시뮬레이션 모델 캘리브레이션
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
KR102247828B1 (ko) 2018-07-23 2021-05-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
DE102018219647A1 (de) * 2018-11-16 2020-05-20 MIRell Photonics GmbH Vorrichtung und Verfahren zur Bestimmung wenigstens eines optischen Parameters einer Halbleiterstruktur
KR102548663B1 (ko) * 2018-11-21 2023-06-27 케이엘에이 코포레이션 실험 및 반응 표면 모델의 설계를 사용한 프로세스 최적화
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11231362B1 (en) * 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US20230049157A1 (en) * 2020-01-27 2023-02-16 Lam Research Corporation Performance predictors for semiconductor-manufacturing processes
US20220245307A1 (en) * 2021-02-03 2022-08-04 Applied Materials, Inc. Hybrid physics/machine learning modeling of processes
CN113029024B (zh) * 2021-03-01 2021-11-16 长鑫存储技术有限公司 半导体结构的测量方法及设备
CN114324184B (zh) * 2021-12-30 2024-05-17 粤芯半导体技术股份有限公司 椭偏仪光谱浮动模型及建立方法
CN115876097A (zh) * 2023-03-03 2023-03-31 广州粤芯半导体技术有限公司 光学关键尺寸数据库的建立方法、光学测量结构及其方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120123748A1 (en) 2010-06-04 2012-05-17 Asml Netherlands B.V. Method and Apparatus for Measuring a Structure on a Substrate, Computer Program Products for Implementing Such Methods and Apparatus

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3592666B2 (ja) * 2001-12-04 2004-11-24 株式会社東芝 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
EP1477851A1 (en) * 2003-05-13 2004-11-17 ASML Netherlands B.V. Device manufacturing method and lithographic apparatus
US8032348B2 (en) * 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US7287239B2 (en) * 2003-10-27 2007-10-23 International Business Machines Corporation Performance in model-based OPC engine utilizing efficient polygon pinning method
CN1661479A (zh) * 2003-11-05 2005-08-31 Asml蒙片工具有限公司 基于opc模型的本征分解
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
KR101461667B1 (ko) * 2007-07-26 2014-11-13 도쿄엘렉트론가부시키가이샤 패턴화 구조 검사 장치 및 계측 데이터 관리 방법
JP2011027461A (ja) 2009-07-22 2011-02-10 Renesas Electronics Corp パターン形状計測方法、半導体装置の製造方法、およびプロセス制御システム
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120123748A1 (en) 2010-06-04 2012-05-17 Asml Netherlands B.V. Method and Apparatus for Measuring a Structure on a Substrate, Computer Program Products for Implementing Such Methods and Apparatus

Also Published As

Publication number Publication date
CN105580123B (zh) 2019-01-04
CN110083017B (zh) 2021-07-06
CN105580123A (zh) 2016-05-11
US9412673B2 (en) 2016-08-09
US20150058813A1 (en) 2015-02-26
CN110083017A (zh) 2019-08-02
WO2015027088A1 (en) 2015-02-26
US20160322267A1 (en) 2016-11-03
KR20160047511A (ko) 2016-05-02

Similar Documents

Publication Publication Date Title
KR102096134B1 (ko) 다중-모델 계측
US10502694B2 (en) Methods and apparatus for patterned wafer characterization
US11320745B2 (en) Measuring a process parameter for a manufacturing process involving lithography
US10101674B2 (en) Methods and apparatus for determining focus
TWI649628B (zh) 用於半導體目標之量測的微分方法及裝置
KR102137848B1 (ko) 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화
KR20190057429A (ko) 메트롤로지 타겟의 디자인을 위한 방법 및 장치
US20130148121A1 (en) Device Manufacturing Method and Associated Lithographic Apparatus, Inspection Apparatus, and Lithographic Processing Cell
US9360768B2 (en) Inspection method and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant