CN115220311A - 用于预测器件制造工艺的良率的方法 - Google Patents

用于预测器件制造工艺的良率的方法 Download PDF

Info

Publication number
CN115220311A
CN115220311A CN202210869852.9A CN202210869852A CN115220311A CN 115220311 A CN115220311 A CN 115220311A CN 202210869852 A CN202210869852 A CN 202210869852A CN 115220311 A CN115220311 A CN 115220311A
Authority
CN
China
Prior art keywords
substrate
yield
metrology data
metrology
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210869852.9A
Other languages
English (en)
Inventor
A·雅玛
C·E·塔贝里
S·H·C·范戈尔普
林晨希
D·索恩塔格
H·E·切克利
R·阿尔瓦雷斯·桑切斯
刘士嵚
S·P·S·哈斯廷斯
B·门奇特奇科夫
C·T·德鲁伊特尔
P·滕伯格
M·J·勒塞尔
段薇
P-Y·J·Y·吉特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN115220311A publication Critical patent/CN115220311A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70658Electrical testing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • G03F7/706841Machine learning

Abstract

本公开涉及用于预测器件制造工艺的良率的方法。一种用于预测经受工艺的衬底的电特性的方法和相关联的计算机程序。该方法包括:基于电计量数据和包括至少一个参数的测量的工艺计量数据的分析,确定电特性对工艺特性的灵敏度,电计量数据包括从先前处理的衬底测量的电特性,至少一个参数与从先前处理的衬底测量的工艺特性相关;获取与衬底相关的描述至少一个参数的工艺计量数据;以及基于灵敏度和工艺计量数据,预测衬底的电特性。

Description

用于预测器件制造工艺的良率的方法
相关申请的交叉引用
本申请是国际申请号为PCT/EP2018/058096、国际申请日为2018年3月29日、进入中国国家阶段日期为2019年11月04日、中国国家申请号为201880029609.8、发明名称为“用于预测器件制造工艺的良率的方法”的发明专利申请的分案申请。
本申请要求于2017年5月05日提交的美国申请62/502,281和于2018年3月20日提交的美国申请的优先权,其全部内容通过引用并入本文。
技术领域
本说明书涉及器件(例如,半导体)制造工艺、特别是一种用于预测经受该工艺的衬底的电特性和良率的方法。
背景技术
光刻设备是一种被构造为将期望图案施加到衬底上的机器。光刻设备可以用于例如集成电路(IC)的制造。光刻设备可以例如将图案化装置(例如,掩模)处的图案(也通常称为“设计布局”或“设计”)投射到设置在衬底(例如,晶片)上的辐射敏感材料(抗蚀剂)层上。
为了在衬底上投射图案,光刻设备可以使用电磁辐射。该辐射的波长确定可以在衬底上形成的特征的最小尺寸。当前使用的典型波长是约365nm(i线)、约248nm、约193nm和约13.5nm。使用具有波长在约4-20nm的范围内(例如,约6.7nm或约13.5nm)的极紫外(EUV)辐射的光刻设备可以用于在衬底上形成比使用例如波长为193nm的辐射的光刻设备更小的特征。
低k1光刻可以用于处理尺寸小于光刻设备的经典分辨率极限的特征。在这种过程中,分辨率公式可以表示为CD=k1×λ/NA,其中λ是所采用的辐射波长,NA是光刻设备中的投射光学器件的数值孔径,CD是“临界尺寸”(通常是打印的最小特征尺寸,但在这种情况下为半间距),k1是经验分辨率因子。通常,k1越小,越难以在衬底上再现与由电路设计者计划的形状和尺寸类似的图案,以实现特定的电气功能和性能。为了克服这些困难,可以将复杂的微调步骤应用于光刻投射设备和/或设计布局。这些包括例如但不限于NA的优化、定制的照射方案、相移图案化装置的使用、设计布局的各种优化(诸如设计布局中的光学邻近校正(OPC))、或通常定义为“分辨率增强技术”(RET)的其他方法。备选地,可以使用用于控制光刻设备的稳定性的紧密控制环来改善低k1下的图案的再现。
这些紧密控制环通常基于使用计量工具测量所施加的图案或表示所施加的图案的计量目标的一个或多个特性而获取的计量数据。通常,计量工具基于图案和/或目标的位置和/或尺寸的光学测量。本质上假定这些光学测量表示器件制造工艺的质量。
除了或备选地基于光学测量的控制,可以执行基于电子束的测量;其中可以利用使用电子束工具(由HMI提供)的所谓的低电压测量。这样的低电压对比测量可以指示施加到衬底的层之间的电接触的质量。
发明内容
通常,在所有工艺步骤完成之后,衬底上的每个管芯应当适合于生产功能器件(例如,IC)。原则上,在追求进一步封装电器件(例如,电气器件、电子设备等)之前,使用各种技术(诸如电探测)对每个管芯进行电气测试。电探测通常在跨管芯的多个位置处进行,以测量多个电特性(例如,电压、电阻、频率等,其中每个参数称为特定的bin码)。Bin码的值是电子设备的质量的很好的指示符;例如,当测得的电阻非常高时,可以表明未实现组件之间的电接触,并且因此电子设备正常工作的机会很小。如果对多个衬底的电特性的测试传达了大量的非功能性电子器件,则可以认为制造工艺的良率很低。
在设备生产的最后阶段进行测试的一个缺点是,只有在执行所有工艺步骤之后,才能确定有关传输功能器件与非功能器件的最小期望比率的过程的良率是否满足某些标准。
此外,难以确定应当在哪些位置进行电探针测试;由于探针测试非常耗时,因此有一种方法可以限制测量的数量,同时保持非良率器件的足够可检测性。
此外,器件制造工艺的控制动作通常基于计量数据。尚不清楚这是否是为了配置过程以优化功能器件的良率的正确策略。
一个目的是解决现有技术的该状态的当前或将来的一个或多个缺点。
在一个方面,提供了一种用于预测经受工艺的衬底的电特性的方法,该方法包括:基于对包括从先前处理的衬底测量的电特性的电计量数据、和包括与从先前处理的衬底测量的工艺特性相关的至少一个参数的测量的工艺计量数据的分析,确定电特性对工艺特性的灵敏度;获取与衬底相关的、描述至少一个参数的工艺计量数据;以及基于灵敏度和工艺计量数据,预测衬底的电特性。
通过将工艺特性与电特性相关联,与正在经受该工艺的衬底相关联的工艺特性的知识可以用于预测与衬底相关联的电特性。由于工艺特性数据通常在整个过程中基于每个衬底(对准、平整数据、聚焦数据等)并且基于每个层可用,因此可以对每个衬底和/或每个层进行电特性预测。这揭示了与良率相关的重要信息,这些信息在最终产品(例如,IC)可用于电气测试之前就已经良好可用。
附图说明
现在将仅通过示例的方式参考所附的示意图来描述实施例,在附图中:
图1描绘了光刻设备的示意性概述;
图2描绘了光刻单元的示意性概述;
图3描绘了整体光刻的示意图,其表示用于优化器件制造的三种关键技术之间的合作;
图4描绘了跨衬底的各种bin码的指纹以及预期良率损失(对于bin码)相对于衬底径向位置的关联图;
图5是确定所测量的工艺特性与电探针数据之间的关系的示意图;
图6是示例性良率模型,其包括y轴上的良率Y与x轴上的工艺特性(例如,聚焦参数)MA的曲线(实线);
图7是根据本发明的实施例的方法的流程图;
图8是根据本发明的实施例的方法的流程图;以及
图9是根据本发明的实施例的方法的流程图。
具体实施方式
在本文件中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射,包括紫外线辐射(例如,波长为365、248、193、157或126nm)和EUV(极紫外线辐射,例如波长在约5-100nm的范围内)。
本文中使用的术语“掩模版”、“掩模”或“图案化装置”可以广义地解释为是指通用图案化装置,该可通用图案化装置以用于向入射辐射束赋予与要在衬底的目标部分中被创建的图案相对应的图案化横截面;在该上下文中也可以使用术语“光阀”。除了经典掩模(透射或反射;二进制、相移、混合等)以外,其他这样的图案化装置的示例包括:
-可编程反射镜阵列。关于这种反射镜阵列的更多信息在美国专利No.5,296,891和No.5,523,193中给出,这些专利通过引用并入本文。
-可编程LCD阵列。这种结构的一个示例在美国专利No.5,229,872中给出,该专利通过引用并入本文。
图1示意性地描绘了光刻设备LA。光刻设备LA包括:照射系统(也称为照射器)IL,被配置为调节辐射束B(例如,UV辐射、DUV辐射或EUV辐射);支撑结构(例如,掩模台)MT,被构造为支撑图案化装置(例如,掩模)MA,并且连接到被配置为根据某些参数精确地定位图案化装置MA的第一定位器PM;衬底台(例如,晶片台)WT,被构造为保持衬底(例如,抗蚀剂涂覆晶片)W,并且连接到被配置为根据某些参数精确地定位衬底的第二定位器PW;以及投射系统(例如,折射投射透镜系统)PS,被配置为通过图案化装置MA将被给予辐射束B的图案投射到衬底W的目标部分C(例如,包括一个或多个管芯)上。
在操作中,照射器IL接收来自辐射源SO的辐射束,例如经由光束传递系统BD。照射系统IL可以包括各种类型的光学元件,诸如折射、反射、磁性、电磁、静电或其他类型的光学元件、或其任何组合,以用于引导、整形或控制辐射。照射器IL可以用于调节辐射束B,以使其在图案化装置MA的平面处在其横截面中具有期望的空间和角度强度分布。
本文中使用的术语“投射系统”PS应当广义地解释为涵盖各种类型的投射系统,包括折射、反射、折反射、变形、磁性、电磁和静电光学系统、或其任何组合,以适合于所使用的曝光辐射或其他因素(诸如浸没液体的使用或真空的使用)。本文中的术语“投射透镜”的任何使用可以被认为与更通用的术语“投射系统”PS相同。
光刻设备可以是如下这样的类型,其中衬底的至少一部分可以被具有相对较高折射率的液体(例如,水)覆盖,以填充投射系统与衬底之间的空间——这也称为浸没式光刻。关于浸没技术的更多信息在美国专利No.6,952,253和PCT专利申请公开No.WO 99-49504中给出,它们通过引用整体并入本文。
光刻设备LA也可以是具有两个(双级)或更多个衬底台WT和例如两个或更多个支撑结构MT(未示出)的类型。在这样的“多级”机器中,可以并行使用附加的台/结构,或者可以在一个或多个台上执行准备步骤,同时使用一个或多个其他台将图案化装置MA的设计布局暴露到衬底W上。
在操作中,辐射束B入射在被保持在支撑结构(例如,掩模台MT)上的图案化装置(例如,掩模MA)上,并且由图案化装置MA图案化。在穿过图案化装置MA之后,辐射束B穿过投射系统PS,投射系统PS将辐射束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉测量装置、线性编码器、2D编码器或电容传感器),可以精确地移动衬底台WT,例如,以便在辐射束B的路径中定位不同的目标部分C。类似地,第一定位器PM和可能的另一位置传感器(其未在图1中明确示出)可以用于相对于辐射束B的路径来精确地定位图案化装置MA。可以使用图案化装置对准标记M1、M2和衬底对准标记P1、P2来对准图案化装置MA和衬底W。尽管所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分(这些称为划线对准标记)之间的空间中。
如图2所示,光刻设备LA可以形成光刻单元LC的一部分,该光刻单元LC有时也称为光刻单元或(光刻)簇,其通常还包括用于在衬底上执行曝光前和曝光后的工艺的设备。通常,它们包括用于沉积抗蚀剂层的一个或多个旋涂机SC、用于显影曝光的抗蚀剂的一个或多个显影器DE、一个或多个冷却板CH和/或一个或多个烘烤板BK(例如,用于调节衬底W的温度,例如用于调节抗蚀剂层中的溶剂)。衬底处理机或机器人RO从输入/输出端口I/O1、I/O2拾取衬底W,在不同的处理设备之间移动衬底W,并且将衬底W传递到光刻设备LA的装载台LB。光刻单元中的器件(通常也统称为轨道)通常受轨道控制单元TCU的控制,轨道控制单元TCU本身可以由监控系统SCS控制,该SCS也可以控制光刻设备LA,例如经由光刻控制单元LACU。
为了使由光刻设备LA曝光的衬底W正确且一致地曝光,期望检查衬底以测量图案化结构的性质,诸如后续层之间的套刻误差、线宽、临界尺寸(CD)等。为此,可以将检查工具(未示出)包括在光刻单元LC中。如果检测到误差,则例如可以对后续衬底的曝光或要在衬底W上执行的其他处理步骤进行调节,尤其是在仍然要曝光或处理同一批次或批量的其他衬底W之前进行检查的情况下。
检查设备(也可以称为计量设备)用于确定衬底W的一个或多个性质,尤其是确定不同衬底W的一个或多个性质如何变化、或者与同一衬底W的不同层相关联的一个或多个性质如何逐层变化。检查设备可以备选地被构造为标识衬底W上的缺陷,并且例如可以是光刻单元LC的一部分,或者可以被集成到光刻设备LA中,或者甚至可以是独立装置。检查设备可以测量潜像(在曝光之后在抗蚀剂层中的图像)、半潜像(在曝光后烘烤步骤PEB之后在抗蚀剂层中的图像)或显影的抗蚀剂图像(其中已经除去抗蚀剂的曝光或未曝光部分)或甚至蚀刻图像(在图案转移步骤(诸如蚀刻)之后)上的一个或多个性质。
通常,光刻设备LA中的图案化工艺是要求在衬底W上进行结构的高精度的尺寸标注和放置的处理中的最关键的步骤之一。为了确保这种高精度,可以将三个系统组合成所谓的“整体”控制环境,如图3所示。这些系统中的一个系统是(虚拟地)连接到计量工具MT(第二系统)和计算机系统CL(第三系统)的光刻设备LA。这种“整体”环境的关键是优化这三个系统之间的协作,以增强整个工艺窗口并且提供紧密控制回路,以帮助确保由光刻设备LA执行的图案化保持在工艺窗口内。工艺窗口定义了工艺参数(例如,剂量、聚焦、套刻等)的范围,在该范围内,特定制造工艺可以产生定义的结果(例如,功能性半导体器件),在该范围内,光刻工艺或图案化工艺中的工艺参数可以改变。
计算机系统CL可以例如使用要图案化的设计布局(的一部分),以预测要使用哪些分辨率增强技术,并且执行计算光刻仿真和计算,以确定哪些图案化装置布局和光刻设备设置可以实现图案化工艺的最大总体工艺窗口(在图3中由第一标度SC1中的双白箭头表示)。通常,分辨率增强技术被布置为匹配光刻设备LA的图案化可能性。计算机系统CL还可以用于检测光刻设备LA当前正在工艺窗口内的哪个位置进行操作(例如,使用来自计量工具MT的输入),以便预测是否由于例如次最佳处理而可能存在缺陷(在图3中由第二标度SC2中的指向“0”的箭头表示)。
计量工具MT可以向计算机系统CL提供输入以实现精确的仿真和预测,并且可以向光刻设备LA提供反馈以标识可能的漂移,例如,在光刻设备LA的校准状态下(在图3中由第三标度SC3中的多个箭头表示)。
光刻设备LA被配置为将图案精确地再现到衬底上。所应用的特征的位置和尺寸必须在一定的公差范围内。位置误差可能是由于套刻误差(通常称为“套刻”)引起的。套刻是相对于第二曝光期间的第二特征来放置第一曝光期间的第一特征的误差。光刻设备通过在图案化之前将每个衬底精确地对准参考来最小化套刻误差。这是通过使用对准传感器测量衬底上的对准标记的位置来完成的。关于对准过程的更多信息可以在美国专利申请公开No.US 2010-0214550中找到,其通过引用整体并入本文。例如,当衬底相对于光刻设备的焦平面未正确定位时,可能发生图案尺寸标注(例如,CD)误差。这些聚焦位置误差可能与衬底表面的不平坦有关。光刻设备的目的是通过在使用水平传感器在图案化之前测量衬底表面形貌来最小化这些聚焦位置误差。在后续图案化期间应用衬底高度校正,以帮助确保图案化装置到衬底上的正确成像(聚焦)。关于水平传感器系统的更多信息可以在美国专利申请公开No.US 2007-0085991中找到,其通过引用整体并入本文。
除了光刻设备LA和计量设备MT,在器件生产期间也可以使用一个或多个其他处理设备。在将图案曝光到抗蚀剂中之后,蚀刻站(未示出)处理衬底。蚀刻站将图案从抗蚀剂转移到抗蚀剂层下面的一层或多层中。通常,蚀刻是基于等离子体介质的施加的。例如,可以使用衬底的温度控制或使用压控环引导等离子体介质,来控制一种或多种局部蚀刻特性。关于蚀刻控制的更多信息可以在PCT专利申请公开No.WO 2011-081645和美国专利申请公开No.US 2006-016561中找到,其全部内容通过引用并入本文。
在器件的制造期间,期望针对使用一种或多种处理设备(诸如光刻设备或蚀刻站)来处理衬底的处理条件保持稳定,以使得特征的性质保持在某些控制范围内。对于诸如IC的电子装置的功能部件的特征(也称为产品特征),该工艺的稳定性具有特别重要的意义。为了帮助确保稳定的处理,应当具备工艺控制能力。工艺控制涉及对处理数据的监测和用于工艺校正的手段的实现,例如基于处理数据的一个或多个特性来控制处理设备。工艺控制可以基于由计量设备MT的周期性测量,通常称为“高级工艺控制”(进一步也称为APC)。关于APC的更多信息可以在美国专利申请公开No.US 2012-008127中找到,其通过引用整体并入本文。典型的APC实现涉及对衬底上的计量特征的周期性测量,以监测和校正与一个或多个处理设备相关的漂移。计量特征反映对产品特征工艺变化的响应。与对产品特征的灵敏度相比,计量特征对工艺变化的灵敏度可以有所不同。在这种情况下,可以确定所谓的“对设备计量”偏移(也称为MTD)。为了模仿产品特征的行为,计量目标可以包含分段特征、辅助特征、或者具有特定几何形状和/或尺寸的特征。精心设计的计量目标应当以与产品特征相同的方式对工艺变化做出响应。有关计量目标设计的更多信息可以在PCT专利申请公开No.WO 2015-101458中找到,该专利的全部内容通过引用并入本文。
跨衬底和/或图案化装置存在和/或测量计量目标的位置的分布通常被称为“采样方案”。通常,采样方案是基于相关(多个)工艺参数的预期指纹来选择的;与预期工艺参数相对稳定的区域相比,预期工艺参数发生波动的衬底上的区域通常被更密集地采样。但是,基于计量测量对器件制造工艺的吞吐量的允许的影响,可以执行的计量测量的数目存在实际限制。精心选择的采样方案对于准确控制器件制造工艺而不影响吞吐量(或至少对其影响不大)、和/或在图案化器件或衬底上为计量特征分配太大的面积非常重要。与最佳定位和/或测量计量目标相关的技术通常称为“方案优化”。关于方案优化的更多信息可以在PCT专利申请公开No.WO 2015-110191和欧洲专利申请No.EP16193903.8中找到,其通过引用整体并入本文。
术语“指纹”可以是指测量的信号的主要(系统的)贡献者(“潜在因子”),并且尤其是指与衬底上的性能影响或先前处理步骤相关的贡献者。这样的指纹可以是指衬底(网格)图案(例如,来自对准、水平、套刻、聚焦、CD)、场图案(例如,来自场内对准、调平、套刻、聚焦、CD)、衬底区域图案(例如,衬底测量的最外半径)、或甚至与衬底曝光相关的光刻设备测量中的图案(例如,来自图案化装置对准测量、温度/压力/伺服轮廓等的加热签名通道(through-lot))。指纹可以被包括在指纹集合内,并且可以被同质或异质地编码在其中。
除了计量测量数据外,上下文数据还可以用于工艺控制。上下文数据可以包括与以下中的一项或多项相关的数据:一个或多个选择的处理工具(来自处理设备池中)、处理设备的一个或多个特定特性、处理设备的一个或多个设置、器件图案的设计、和/或与一个或多个处理条件(例如,衬底几何形状)相关的测量数据。将上下文数据用于工艺控制目的的示例可以在PCT专利申请公开No.WO 2017-140532和No.WO 2017-060080中找到,其全部内容通过引用合并入本文。上下文数据可以用于以前馈方式控制或预测处理,其中上下文数据涉及在当前控制的处理步骤之前执行的处理步骤。通常,上下文数据与产品特征性质在统计上相关。鉴于实现最佳或改进的产品特征性质,这使得能够对处理设备进行上下文驱动的控制。上下文数据和计量数据也可以被组合例如以将稀疏计量数据丰富到可以获取更详细(密集)数据的程度,这对于控制和/或诊断目的更加有用。关于组合上下文数据和计量数据的更多信息可以在PCT专利申请公开No.WO2017-144379中找到,该专利申请的全部内容通过引用并入本文。
如上所述,监测工艺基于与工艺相关的数据的获取。所需要的数据采样率(每批或每个衬底)和采样密度取决于所需要的图案再现的精度级别。对于低k1工艺,即使很小的衬底到衬底工艺变化也可能很大。然后,上下文数据和/或计量数据应当足以基于每个衬底实现工艺控制。另外,当工艺变化引起跨衬底的特性变化时,上下文和/或计量数据的密度应当跨衬底充分分布。但是,鉴于所需要的处理的吞吐量,可用于计量(测量)的时间是有限的。由于该限制,计量工具只能在所选择的跨衬底和/或衬底的所选择的位置上进行测量。用于确定需要测量哪些衬底的策略在欧洲专利申请No.EP16195047.2和No.EP16195049.8中进一步描述,其全部内容在此通过引用并入本文。
在实践中,经常有必要从一组与工艺参数(跨一个或多个衬底)有关的稀疏测量值中获取较密集的值图。通常,这样的密集的测量值图可以从稀疏测量数据结合与工艺参数的预期指纹相关联的模型来获取。关于建模测量数据的更多信息可以在PCT专利申请公开No.WO 2013-092106中找到,其通过引用整体并入本文。由于器件制造工艺通常涉及多个处理设备(例如,光刻设备、一个或多个蚀刻站等),因此从整体上优化该工艺可能是有益的,例如,考虑与个体处理设备相关联的特定校正能力。这导致如下这样的观点,即,第一处理设备的控制可以(部分地)基于第二处理设备的已知控制性质。该策略通常称为协同优化。这种策略的示例包括光刻设备的联合优化、以及图案化装置、光刻设备和蚀刻站的密度分布。关于协同优化的更多信息可以在PCT专利申请公开No.WO 2017-067748和No.WO2017-144343中找到,其在此通过引用整体并入本文。
在某些工艺控制情况下,控制目标可以是例如“合格管芯数目”。这描述了良率驱动的工艺控制参数,该参数旨在获取每批次已处理衬底的最大数目的功能产品。通常,产品与衬底上的管芯相关联,因此,基于良率的工艺控制被称为基于“合格管芯”标准。这旨在最大化规格内的管芯的数目,而不是跨衬底应用平均优化(例如,基于与跨衬底的最佳聚焦的最小平方最小化焦距差异的最小平方优化)。这样,当优化工艺参数时,“合格管芯”优化可以使用产品的先验知识(管芯布局)。最小平方优化通常在不考虑管芯布局的情况下均等地对待每个位置。因此,最小平方优化可能更喜欢“仅”具有四个不合格格位置的校正,但是每个校正位置位于不同的管芯中,而不是具有七个不合格位置但仅影响两个管芯的校正(例如,一个管芯中有四个缺陷,另一管芯中有三个缺陷)。然而,由于单个缺陷将趋向于使管芯有缺陷,因此,最大化无缺陷管芯(即,合格管芯)的数目最终比简单地最小化每个衬底的缺陷数目更为重要。合格管芯优化可以包括每个管芯优化的最大绝对值(max abs)。这样的最大绝对值最佳化可以最小化性能参数与控制目标的最大偏差。可以改为使用最大绝对值函数的可微近似,因此成本函数更易于求解。为使此方法有效,在优化中应当使用诸如衬底图的细节。为了获取良好的基于良率的工艺控制,用于计量测量的采样方案可以受益于在预计对良率最关键和/或在统计上对确定良率是否受到影响最相关的位置处、上或附近执行的测量。除了测量产品特征的性质,还可以测量缺陷的发生,以进一步帮助优化工艺以达到最佳良率(参考缺陷检查)。关于基于良率的控制的更多信息可以在欧洲专利申请EP16195819.4中找到,其通过引用整体并入本文。
除了在预定义位置和衬底上执行计量测量,还存在动态地分配需要被选择用于测量的位置和衬底的趋势。动态选择用于测量的衬底的示例在欧洲专利申请No.EP16195049.8中描述,其全部内容通过引用并入本文。关于测量位置的动态选择(例如,采样方案)的更多信息可以在PCT专利申请公开No.WO 2017-140532中找到,其全部内容通过引用并入本文。
相对较新的技术领域是机器学习领域。如今,与该技术相关的方法用于基于对所获取的数据(测量和上下文数据)内存在的模式的识别来改进工艺参数的预测。另外,机器学习技术可以有助于引导用户选择对工艺控制最有用的数据。
通常在衬底处理之后获取电测量数据。典型地,当执行电计量以获取电测量数据时,使用一个或多个探针(其与处理期间形成的电路(在附近)接触)来测量衬底上的所有管芯。可以执行各种类型的测量:例如电压、电流、电阻、电容和/或电感测量。这些测量可以在不同的条件(例如,频率、电压、电流)下以及跨管芯的多个位置处执行。在特定条件下与特定测量参数(电流、电压、电阻、电容、电感等)相关联的电气测量通常称为单独的“bin码”。因此,可以通过多个图来表示跨管芯的典型电测量,每个图表示与特定bin码相关联的值的空间分布。这由图4进行说明,图4示出了与三个bin码(BC A、BC B和BC C)相关联的跨衬底的指纹、以及作为衬底位置(半径)R的函数的映射到良率损失YL的bin码值的对应曲线图。在全文中,“bin码”和“电特性”被同义地使用,使得与衬底相关联的bin码的值被称为衬底的电特性的值。
需要执行电测量的测量位置的分布可能不是恒定的,但是也可以取决于管芯在衬底上的相对位置。位于衬底边缘的管芯可能更容易产生电缺陷,因此与靠近衬底中央的管芯相比,这些管芯被更密集地采样。类似地,关键区域可以存在于管芯内,诸如与例如功能逻辑结构相关联的那些区域,而不太关键的区域可以存在于例如管芯的外围。与在要求较低的区域相比,在管芯的关键区域提供更密集的电测量样本方案是有利的。
所测量的电特性的一个或多个特性(例如,最小值、最大值、方差或任何其他统计量度)是关于管芯上的某些产品特征将起作用的概率的重要指标。因此,电特性与工艺良率之间存在密切关系。因此,对于良率控制,电特性测量非常重要。但是,它们很耗时,并且仅在制造工艺的最后阶段执行(例如,当实际上没有用于校正无功能产品特征的选项时)。
为了向制造工艺提供更好的良率校正能力,提出了基于在处理期间已经可用的数据来预测衬底的良率。在衬底的处理期间,沉积、图案化和蚀刻多个层。为了产生功能性器件,在衬底上提供的图案(特征)应当具有明确定义的性质。例如,特征应当在正确的聚焦位置成像,具有正确的临界尺寸(CD),具有正确的边缘放置(例如,最小边缘放置误差(EPE)),并且具有良好的套刻(例如,每个层与下面的层相关联的特征被精确对准)。如前所述,在某些情况下,光刻设备(例如,包括对准系统、调平系统等)和计量设备(例如,散射仪和/或电子束工具)在测量这些参数方面起着重要作用,在一些情况下适用于一批内的所有衬底。一种特殊情况是所谓的(低)电压对比电子束显微镜。这是一种计量工具,它将电子设备暴露于电子束中以验证某个层相对于下面的层的电接触是否满足某些要求。电压对比测量包括测量衬底的电特性的方法。
预期计量测量的结果(计量数据)将表示该工艺的某些特性,而该特性又有望与将在探针测试期间测量的电特性密切相关。图5是确定所测量的工艺特性与电探针数据之间的关系的示意图。该图示出了工艺特性的工艺指纹500,示出了阴影区域505,其中工艺特性明显不合格。作为特定示例,工艺特性可以是聚焦,并且指纹可以是与从水平传感器数据(例如,从光刻设备)获取的与衬底相关联的聚焦误差指纹。在这样的示例中,阴影区域示出与大的调平误差相关联的区域。还示出了对应的电探针数据510。这里,阴影圆515指示不合格探针测量。这样,图5示意性地示出了工艺指纹500通常与电探针数据510相关。还示出了提议的数据分析步骤520。数据分析步骤520将工艺指纹500映射到电探针数据510。
应当理解,聚焦/调平数据仅仅是工艺特性数据的一个示例。工艺特性可以包括影响良率的任何可测量特性。可以使用例如光刻设备、另一计量设备或另一处理设备来测量工艺特性。例如,当使用诸如散射仪的计量装置测量套刻指纹时,期望具有大的套刻误差的衬底上的位置将与偏离(不合格)电特性测量强烈相关(例如,由于衬底上的各层的次优电接触),并且因此无法正常工作。导致该特定套刻指纹的基础工艺特性例如可以是在两个层的图案化之间执行的退火步骤。除了使衬底变形(从而导致套刻误差),在退火步骤中,材料的电导率可能会受到影响,从而导致对电特性测量的预期影响产生附加贡献。
可以进一步使用指纹分解技术来确定工艺特性。当测量套刻指纹时,将指纹分解成例如预定义形状可能是有益的。可以基于对处理步骤和/或处理工具的某些特性的先验知识来选择预定义形状。分解指纹的常用技术使用主成分分析(PCA)或特征值分解方法。代替利用直接测量的感兴趣参数的指纹(例如,套刻),可以考虑指纹的单独成分。在该上下文中的PCA(和独立成分分析(ICA))方法在PCT专利申请公开No.WO 2015-049087中进行了描述,其全部内容通过引用并入本文。
因此,建议使用在衬底处理期间的任何阶段获取的计量数据来预测电特性。一种实现该目的的方法包括确定一个或多个电特性中的每个电特性对一个或多个相关工艺特性的灵敏度。工艺特性可以是某个工艺步骤条件,其表现为一个或多个参数(诸如套刻、CD、对准或聚焦)的某个指纹。工艺特性的特定示例可以是蚀刻工具的设置,该蚀刻工具自身表现为套刻指纹和对准指纹的特定组合,诸如强的径向指向的套刻指纹和平坦的对准指纹。
确定灵敏度可以通过分析已经处理的衬底的历史数据来执行,对于该历史数据而言,计量数据和电特性测量值均可用。一种简单的实现是将工艺特性的指纹(例如,不同层上的特征的套刻指纹,在这种情况下,是在蚀刻特征之后测量的)与电特性的测量值的相关指纹相关。这定义了将与工艺特性相关联的指纹映射到电特性指纹(在最终产品上测量的)的函数。可以为衬底上的所有相关层定义该映射函数。备选地或附加地,可以训练模型,该模型将可用的一个或多个工艺特性映射到每个衬底、每个层、每个管芯或管芯内的每个功能区域的电特性的预测值。该模型可以是参数模型、神经网络模型、高斯模型、深网模型、逻辑模型、或适合于用数据训练的任何其他模型。通常,柔性模型可以是优选的,诸如适应(计量)数据集的大小、需要预测的bin码的数目和/或设备的复杂性(例如,层的数目、管芯上的关键特征的数目等)的模型。
除了使用计量数据来建立一个或多个各种电特性(例如,bin码)中的每个对工艺特性的灵敏度,利用设备的设计知识通常是有益的。基于图案化装置布局数据,可以预测电特性是什么。特别地,可以标识关键位置,这些关键位置的一个或多个电特性的知识将是最有指导意义的(例如,对于预测工艺的良率最有用)。因此,在选择计量样本位置和后续工艺控制动作时考虑这些位置可能是有益的。
电特性对各种工艺特性的灵敏度可以表示为帕累托图,以指示工艺特性对某些电特性的相对重要性。帕累托图允许用户使用关于哪些电特性(bin码)与整个工艺的良率最相关的进一步知识来确定应当控制哪些工艺(例如,以提高良率)。
一旦确定了描述电特性对工艺特性的灵敏度的模型或函数(如计量数据所示),可以在处理期间针对其他衬底导出与衬底相关联的电特性的估计。随着新的计量数据变得可用,可以改进电特性的估计。在某些情况下,可以在特定层上执行低电压对比测量。在这种情况下,为了基于一个或多个工艺特性来验证预测的电特性,可以将电压对比测量用作参考。如果预测的电特性与电压对比测量不一致,则可能需要调节生成电特性对一个或多个工艺特性的灵敏度的模型或函数。因此,在衬底的处理期间,电特性的灵敏度将趋于提高,从而越来越准确地指示最终产品(例如,IC)是否将根据其电规格来执行。另外,一个或多个预测的电特性可用于衬底上的多个位置。在衬底处理的任何阶段,该信息可以用于优化计量测量的样本方案和/或指示探针测量将在哪些位置提供最多信息。
通常,处理工具配备有以可预测的方式控制工艺特性的致动器。例如,光刻设备通常具有剂量操纵器,该剂量操纵器能够跨管芯或跨管芯内的特定区域(例如,与关键电路相关联的区域)精确地控制CD指纹。通常,处理工具内的大多数致动器用于个体地最小化一个或多个各种工艺特性或一个或多个相关参数(例如,聚焦、CD、套刻、剂量)与目标的偏差。工艺控制的成本函数可以包括目标工艺特性与测量工艺特性之间的差值的均方根误差的函数。然而,这种策略对于最大化工艺的良率可能不是最佳的。因此,建议使用电特性对一个或多个工艺特性的一个或多个导出的灵敏度来控制在制造工艺中使用的工具。
在一个实施例中,基于电特性对一个或多个工艺特性的灵敏度来确定良率模型。可以针对每个层确定多个电特性(bin码)对一个或多个相关工艺特性的灵敏度。这样,良率模型可以基于所确定的灵敏度的组合,每个所确定的灵敏度与特定bin码有关。可以首先每个层确定组合以确定每个层的良率模型,并且然后依次组合以确定针对管芯或衬底的层的良率模型。在一个示例中,可以通过考虑电特性对感兴趣的(多个)工艺特性的灵敏度来构造良率模型,同时还考虑到每个电特性相对于预期良率损失的相对重要性。例如,与关键特征(即,需要在狭窄范围内(即具有较小的工艺窗口)以某个参数形成的特征以便器件具有功能性)上的电压测量相关联的电特性(特定bin码)的权重将比与管芯上非关键区域中的某个特征的非关键测量相关的电特性(bin码)更大。因此,良率模型可以是电特性的加权函数,其中每个电特性也是工艺特性的函数。由于工艺特性通常适用于多个层,因此良率模型也应当考虑多个层。这通常表示还需要用一定的因子来加权工艺特性,该因子指示层在良率模型中的关键程度,然后在层上对加权后的工艺组合求和。也可以设想良率模型的其他实现。例如,良率函数可以是某些电特性(bin码)值的逻辑函数,其中电特性值首先被转换为“假”(超出指定范围)或“真”(在指定范围内)值。等效地,在验证一组电特性(例如,多个bin码)的值是在非良率范围之内还是之外的意义上,良率函数可以是二进制的。
图6示出了包括良率Y(在y轴上)相对于工艺特性(例如,聚焦参数)MA(在x轴上)的曲线图(实线)的示例性良率模型。可以看出,根据一定的功能依赖性(在这种情况下对于特定层),良率取决于聚焦参数MA。由实线定义的逻辑函数的精确斜率是未知的,并且应当进行训练。基于误差的反向传播的学习算法通常基于对数或S型函数,因为它们会导致可微分的误差梯度,这在更复杂的概率模型的情况下可以反向传播。这里仅示出了逻辑模型。虚线(阶跃函数)示出了良率对MA误差的依赖性。在原理上,阶跃函数表示,在超过某个临界MA误差时,管芯永远不会良率。实际上,除了MA之外的其他因素的组合可以解释良率损失,因此,预期良率与MA之间存在不确定的(概率)关系。
对于单个层,良率可以确定为:
良率=ΠMAi
并且可以包括各层的加权组合(其中wi是权重):
良率=∑wi×MAi
其中下标i指的是层,MAi描述了每个层的MA误差。最终管芯良率将取决于所有先前层的MA误差的组合,并且可以是加权和或乘积。
在一个实施例中,良率模型可以是分层的,包括根据具有两个或更多个级别(例如,一个级别可以是每批良率模型,另一级别可以是每个衬底良率模型)的层级布置的多个良率模型。原则上,单个(非分层)良率模型会考虑整个衬底面积。但是,将注意力集中在管芯级别的衬底上特定区域或管芯内的特定区域内(与关键功能组件相关联)可能是有益的。例如,衬底可以被分成两个或多个区域,例如边缘区域、中央区域以及可能的一个或多个中间区域。在这样的实施例中,分层良率模型可以包括五个级别(或这些级别的子集),其中级别1可以是每批模型;级别2可以是每衬底模型;级别3可以是与衬底上的特定区域相关的模型;级别4可以是与衬底上特定管芯相关的模型;以及级别5可以是涉及与特定电功能相关联的管芯内的区域的模型。这使得可以基于每批、每衬底、每区域、每衬底或每管芯来预测良率。因此,变得可以跟踪特定衬底、区域、管芯或管芯内的功能区域是否易于发生良率损失。
通常,目前,只能通过探测器件并且确定总数中有多少管芯具有合格电特性来在工艺结束时确定工艺的良率。但是,通过利用基于可用计量数据的(连续)预测电特性的方法,可以在任何阶段预测工艺的良率。
可以基于预测的良率来控制工艺本身。代替优化计量数据的某个指纹(例如,两个层之间的平均套刻),可以确定对处理设备(例如,蚀刻设备、光刻设备等)之一的校正,其可以改善或最大化工艺的良率。随着新的计量数据变为可用(可以包括低电压对比测量数据),控制策略可以在衬底处理期间发展;可以更新良率模型,并且可以通过使用良率模型和最新的可用计量数据来估计修改后的控制策略的结果。这样,工艺控制采用强化学习方法,其中评估并且前馈控制策略修改,以指导控制策略的新更新,该更新旨在提高整个工艺的良率。这样的策略的示例是对衬底的处理,其涉及大量层的应用,每个层与电特性具有特定相关性,并且每个层包括具有特定相关联的关键工艺特性的特征(例如,第一层包括对聚焦特性敏感的隔离特征,并且第二层包括对套刻特性敏感的密集特征)。在第一层的处理期间,调平数据用于预测衬底的良率,而适当的聚焦控制策略用于优化良率(某些管芯的良率可能会降低,以获取大量的良率管芯)。在施加第二层之前,套刻数据变得可用,从而允许对良率进行第二预测。在将套刻校正应用于第二层的处理之前,建议使用例如低电压对比测量来验证先前校正(第一层)的有效性,因为这强烈指示与该层相关联的良率。如果低电压对比测量结果表明第一校正获取了次优结果,则可以更新良率模型,并且在应用第二层期间适当修改控制策略以补偿第一校正(例如,第一控制策略)和实际观察到的良率提高之间的失配。
在一个实施例中,可以通过使用“死管芯”数据库来进一步改善良率最大化优化(即,合格管芯优化)。这样的数据库可以被动态地维护并且记录其中估计管芯具有至少一个缺陷并且从而被认为是死的(有缺陷的)的所有实例。然后可以在任何优化(加权)中进一步牺牲这样的死管芯。这可以通过将非常大或无限的工艺窗口分配给死管芯来实现,而不是使该死管芯无法正常工作。通过增加死管芯中的更多缺陷的可能性,可以提供更多的灵活性来优化或控制其他管芯。因此,这可以在针对同一层或连续层的优化中降低另一管芯中出现缺陷的可能性,从而进一步提高或最大化合格管芯的数目。
另外,可以使用多种控制策略的仿真及其对最终产品的良率的影响来选择控制策略。例如,可以模拟选择不同对准策略、不同剂量设置、一个或多个各种聚焦控制设置(通过控制一个或多个投射系统特性来实现)等的效果。确定所有这些控制策略对工艺特性的影响以及其对良率的影响(使用良率模型)。可以选择导致工艺的最佳良率的控制策略,并且随后将其用于在衬底上处理其他层或者在其他衬底上处理当前层。
以上给出的工艺控制策略表明制造工艺控制策略正变得越来越整体化。该策略了解良率,了解哪些工具可以纠正哪些工艺特性,此外还可以动态调节策略。历史数据用于预测工艺的良率,执行详细的工艺仿真以定义优化良率所需要的工艺校正,并且将信息前馈给将来的工艺校正步骤以进一步优化工艺的良率。
工艺控制通常由作为计算设备的控制器结合参与制造工艺的一个或多个设备(例如,蚀刻设备、光刻设备(扫描仪)等)相协调。备选地,控制器是内置在设备或计量工具中的一个中的模块。
图7是示出上述良率估计过程的实施例的流程图。连接被示出为粗体箭头,而较细箭头指示根据实施例的良率模型的机器学习校准步骤。良率模型预测衬底、管芯或特征层的故障率。所示的框是物理设计数据和/或计算光刻数据700、光刻设备(扫描仪)计量705、其他处理设备(例如,制造(fab))计量710、衬底上下文信息715、指纹库720、光刻设备(扫描仪)控件725、良率模型730(其可以包括灵敏度和特征提取数据功能735)、电探针/良率数据740、根本原因估计函数745和可视化模型750。
基于设计数据(例如,来自标线)和电测量数据,采用机器学习方法来确定与良率模型730相关联的代表性特征(通常对良率至关重要)。良率模型730可以被配置为基于光刻设备(扫描仪)计量数据705、制造计量数据710和/或上下文数据715来预测针对代表性特征的良率。在接收更多计量数据705、710、715和电气测量数据740时,良率模型730将通过学习如何根据计量和/或上下文数据输入来以更高的精度预测电测量和/或良率数据来得到改进。在充分学习之后,良率模型730可以用于基于计量705、710和/或上下文数据715来预测电测量和/或良率数据,并且成为光刻设备(扫描器)控件725和/或根本原因诊断功能745的输入。
可以提供根本原因诊断功能745以基于上下文数据715和光刻设备(扫描仪)控件725进行根本原因诊断。根本原因诊断功能745可以另外使用与特定光刻设备(扫描仪)或制造计量发生相关联的衬底相关的一个或多个期望指纹(被包括在指纹库720内)。另外,光刻设备(扫描器)控件725可以基于上下文数据715(例如,可以将用于处理任务的特定处理工具的知识用于确定某个控制配方)。此外,可以使用机器学习方法将确定的低良率发生的根本原因链接到指纹库720内的特定指纹。良率模型和/或根本原因确定的结果可以由可视化模块750可视化。例如,可视化模块750可以基于所获取的计量数据和良率模型730的利用来示出预期缺陷的衬底图。
在上文中,在处理期间执行的计量(例如,基于散射仪的计量)与电特性的测量之间的关系用于对良率进行建模,并且在其上基于使用良率模型的预测来控制处理以提高或最大化良率。现在将描述在处理期间执行的计量与电特性计量之间的所确定的关系的两个附加或备选的特定应用。
如前所述,有时存在计量到设备(MTD)偏移,这表示由计量标记测量的工艺特性(例如,套刻)的最佳值不一定会导致最佳良率。存在这种偏移的工艺和光学原因,并且估计跨光刻设备(扫描仪)场/投射系统以及跨衬底的MTD偏移非常缓慢且费力。这表示该重要参数没有足够频繁地更新或无法准确估计。
为了解决这个问题,建议将密集工艺特性图(例如,套刻图)与良率数据(例如,使用如上所述的良率模型确定的电特性数据或良率数据)相关,以对照工艺参数来确定良率的高空间分辨率分布估计。该分布估计又可以用于校准MTD偏移。就套刻而言,非MTD偏移可以被称为“非零偏移”,例如,如使用套刻目标测量的零(例如,最佳)套刻与提供最佳良率的套刻值之间的偏移。相比之下,传统的控制技术将趋向于控制光刻工艺以最小化套刻(即,为零)。在任何情况下,其他工艺参数(例如,CD)可以具有非零标称目标,因此,MTD偏移将是相对于该标称最佳目标值的偏移。
如PCT申请WO2017144379(其全部内容通过引用并入本文)中公开的虚拟和/或混合计量方法可以使用衬底内和场内套刻的模型来与实际套刻计量的结果(例如,在显影检查(ADI)计量之后)相结合执行,生成预期套刻范围的适当密集的套刻图。备选地,这种虚拟和/或混合计量的方法被称为“计算计量”。良率数据可以包括例如从静电放电测试、前述电探针测试、扫描故障隔离测试等获取的位图数据(例如,用于存储器IC)。在一个实施例中,每个衬底(例如,每个位置/区域)执行套刻和良率数据域的相关,并且使用分布来确定使某个套刻预算的良率最大化的最佳套刻目标值。
这样,该实施例描述了一种用于确定用于衬底的光刻处理的控制参数的值的方法,该方法包括:获取跨衬底的控制参数的值;获取跨衬底的良率参数(例如,电特性数据或估计的良率数据)的值;将良率参数的值与控制参数的值相关,以获取将控制参数值与期望良率参数值相关的模型;以及基于模型和与光刻处理相关联的控制参数的预期范围,确定控制参数。
图8示出了描述在套刻上下文中使用的这样的实施例的流程图。在计算计量技术中使用ADI套刻计量数据810和调平数据820(例如,来自调平传感器),以确定每个管芯建模的密集套刻数据830。这与非零偏移模型校准器840中的良率数据800相关。非零偏移模型校准器840的输出850是非零偏移套刻值和相关联的套刻余量。在使用良率模型(例如,使用先前描述的实施例的方法)确定良率数据800的情况下,该实施例可以包括使用良率模型的输出的工艺控制的方法。更具体地,该方法可以使用来自良率模型的估计良率来确定非零套刻偏移(或其他工艺参数偏移),并且因此确定针对工艺特性的适当校正,以实现非零偏移以增加良率。
本文中描述的一般教导的另一种具体实现是在3D-NAND制造工艺中控制存储器沟道孔的形成。为了在3D-NAND制造中定义存储器孔,使用从多层堆叠的顶部到底部的极高的纵横比蚀刻。沟道孔形成的问题包括蚀刻不完全和扭曲,从而导致良率下降。这些问题可以使用电压对比计量(衬底的电特性的测量)有效地检测。当前,很多工艺控制、更具体地是蚀刻控制涉及原始光刻的形状并且尤其是硬掩模轮廓。当今的电压对比计量是一种非常准确的低成本的技术;但是,只能在蚀刻之后和接触之后才能使用。这表示由于不完整的存储器孔刻蚀而导致的任何失效管芯都无法再加工,并且因此是一种失败的管芯。
因此,建议确定电压对比计量(电特性)和ADI/AEI光学计量(工艺特性计量)之间的相关性,以控制限定存储器沟道孔的光刻步骤。
这样的方法可以包括在曝光、两个CD-ADI测量(在显影之后执行的CD测量,即在抗蚀剂中)、CD-AEI测量(在蚀刻之后执行的CD测量)和电压对比测量之后收集一组衬底。电压对比计量可以在正确接触和未接触通道上提供最准确的信息,因此可以最能够代表良率。CD-ADI和CD-AEI计量将用作控制设定点。
特别地,预期ADI和AEI椭圆度以及AEI和ADI计量之间的椭圆度变化指示适当的蚀刻,并且因此指示适当的连接和电压对比读数。在确定获取适当的电压对比读数所需要的椭圆度之后,可以控制CD(例如,通过对曝光过程进行更高阶的剂量控制),以帮助确保通道的改善的清晰度和接触。另外,可以使用对投射系统的波前像差的控制来优化工艺窗口以实现适当的椭圆度。可以通过向蚀刻器提供反馈来扩展椭圆度控制(例如,光刻设备/蚀刻器的共同优化)。每种计量技术的采样计划应当使得ADI或AEI计量的分辨率与特定区域中电压对比黑点的出现有关。
图9是描述所提出的方法的实施例的流程图。使用光刻设备(扫描仪)900曝光衬底,并且在抗蚀剂(CD-ADI)910中测量CD。在蚀刻设备920中对衬底进行蚀刻,然后再次测量CD(CD-AEI)930。然后在衬底上执行电压对比计量940。计量步骤910、930、940的结果被馈送到处理器,该处理器执行计算光刻步骤950,该步骤使CD-ADI计量910和/或CD-AEI计量930与电压对比计量940相关。该相关可以仅将CD-ADI计量910(例如,椭圆度轮廓)或CD-AEI计量930(例如,椭圆度轮廓)与电压对比计量940相关。在特定实施例中,该相关可以包括将CD-ADI计量910和CD-AEI计量930之间的差异与电压对比计量940相关。更具体地,CD-ADI计量910与CD-AEI计量930之间的差异可以包括在CD-ADI计量910和CD-AEI计量930期间测量的沟道孔中椭圆度变化的量度。步骤950可以确定用于光刻设备900的校正或调节,以控制(最小化)ADI存储器孔形成中的椭圆度,如成像(即,在抗蚀剂中)。备选地或组合地,步骤950可以确定对蚀刻设备920的校正或调节,以控制用于存储器孔形成的硬掩模的椭圆度。在步骤950控制光刻设备900和蚀刻器920两者的情况下,可以实现用于使蚀刻的存储器孔中的椭圆度最小化的共同优化。如果可用控制方法在蚀刻之后不能提供可接受的孔轮廓,则步骤950还可以包括在光刻或硬掩模蚀刻之后提供返工通知。
在一个实施例中,提供了一种预测经受制造工艺的衬底的电特性的方法,该方法包括:基于针对对一组处理的衬底的参数的指纹和测量的电特性的分析,确定电特性对由跨衬底的参数的指纹表示的工艺特性的灵敏度;基于衬底的计量数据,确定参数的实际指纹;以及基于灵敏度和实际指纹,预测衬底的电特性。
在一个实施例中,电特性与在衬底的处理期间施加的至少两个层之间的电阻、电感或电容相关。在一个实施例中,确定电特性对工艺特性的灵敏度包括:使用将参数的性质映射到电特性的性质的函数。在一个实施例中,参数的性质是跨衬底的参数的指纹。在一个实施例中,参数的性质是衬底上的位置处的参数的值和/或不确定性。在一个实施例中,电特性的性质指示满足预定规格。在一个实施例中,该函数基于新的计量数据和/或测量的电特性数据,在制造过程期间动态地更新。在一个实施例中,该函数被配置为机器学习应用。在一个实施例中,该函数是逻辑模型。在一个实施例中,参数与选自以下各项中的一项或多项相关联:衬底的平面内变形、衬底的平面外变形、施加到衬底的特征的临界尺寸、施加到衬底的特征的接收剂量、指示特征之间的位置偏移的套刻数据、和/或指示衬底上的至少两个层之间的电导率的低电压对比测量。在一个实施例中,确定电特性对工艺特性的灵敏度还包括:确定参数的附加测量最有指导意义的衬底上的位置。在一个实施例中,确定电特性对工艺特性的灵敏度还包括:基于所确定的灵敏度与电压对比测量的比较来验证函数。在一个实施例中,基于计量数据确定参数的指纹还包括:将参数的指纹分解成单独的分量。在一个实施例中,分解基于PCA方法。在一个实施例中,该方法还包括基于所确定的电特性来确定与该工艺相关联的良率。在一个实施例中,良率是电特性的加权和。在一个实施例中,该方法还包括基于良率的预期变化来确定对工艺的校正,其中良率的变化是使用工艺特性的预期变化、电特性对工艺特性的灵敏度来确定的,并且良率的确定基于电特性。在一个实施例中,工艺特性由跨衬底的多个参数的多个指纹表示,并且针对衬底的参数的多个指纹基于计量数据来确定。在一个实施例中,确定电特性对工艺特性的灵敏度包括:使用将多个参数的多个指纹映射到电特性的函数。在一个实施例中,多个参数是选自以下各项中的一项或多项:对准参数、调平参数、套刻参数、显影后的临界尺寸参数和/或低电压对比测量。在一个实施例中,该函数是指示在确定电特性时个体参数的个体指纹的相对重要性的帕累托图。
在下面的编号实施例列表中公开了本发明的其他实施例:
1.一种用于预测经受工艺的衬底的电特性的方法,所述方法包括:
基于对电计量数据和包括至少一个参数的测量的工艺计量数据的分析,确定所述电特性对所述工艺特性的灵敏度,所述电计量数据包括从先前处理的衬底测量的电特性,所述至少一个参数与从所述先前处理的衬底测量的所述工艺特性相关;
获取与所述衬底相关的、描述所述至少一个参数的工艺计量数据;以及
基于所述灵敏度和所述工艺计量数据,预测所述衬底的电特性。
2.根据实施例1所述的方法,其中所述电特性与在所述衬底的处理期间施加的至少两个层之间的电阻、电感或电容相关联。
3.根据前述实施例中任一项所述的方法,其中确定所述电特性对所述工艺特性的灵敏度包括:使用将所述工艺计量数据的性质映射到所述电计量数据的性质的函数。
4.根据实施例3所述的方法,其中所述工艺计量数据的性质是衬底上的位置处的所述参数的值和/或不确定性。
5.根据实施例3所述的方法,其中所述工艺计量数据的性质是跨衬底的所述参数的指纹,所述指纹描述用于跨所述衬底或所述衬底的一部分的所述参数的值。
6.根据实施例5所述的方法,其中获取所述工艺计量数据包括:基于计量数据确定所述参数的指纹。
7.根据实施例6所述的方法,其中所述确定指纹还包括:将所述参数的指纹分解成单独的分量。
8.根据实施例7所述的方法,其中所述分解基于PCA方法。
9.根据实施例6至8中任一项所述的方法,其中所述参数包括多个参数,并且所述工艺特性由描述跨所述衬底或所述衬底的一部分的所述多个参数的多个指纹来表示,并且所述参数的多个指纹基于所述计量数据针对所述衬底而被确定。
10.根据实施例9所述的方法,其中确定所述电特性对所述工艺特性的灵敏度包括:使用将所述多个参数的所述多个指纹映射到所述电特性的函数。
11.根据实施例10所述的方法,其中所述多个参数是选自以下各项中的一项或多项:对准参数、调平参数、套刻参数、显影后的临界尺寸参数和/或低电压对比测量。
12.根据实施例5至11中任一项所述的方法,其中所述函数是指示在确定所述电特性时个体参数的个体指纹的相对重要性的帕累托图。
13.根据实施例3至12中任一项所述的方法,其中所述电计量数据的性质指示满足预定规范。
14.根据实施例3至13中任一项所述的方法,其中所述函数在所述工艺期间基于新的工艺计量数据和/或新的电计量数据来动态地更新。
15.根据实施例14所述的方法,其中所述函数被配置为机器学习应用。
16.根据实施例3至13中任一项所述的方法,其中所述函数是逻辑模型。
17.根据实施例3至16中任一项所述的方法,其中确定所述电特性对所述工艺特性的灵敏度还包括:基于所确定的灵敏度与电压对比测量的比较,验证所述函数。
18.根据前述实施例中任一项所述的方法,其中所述参数与选自以下各项的一项或多项相关联:衬底的面内变形、衬底的面外变形、施加到衬底的特征的临界尺寸、施加到衬底的特征的接收剂量、指示特征之间的位置偏移的套刻数据、和/或指示衬底上至少两个层之间的电导率的低电压对比测量。
19.根据前述实施例中任一项所述的方法,其中所述参数与存储器堆叠内的沟道孔的形成时的椭圆度相关联。
20.根据实施例19所述的方法,其中所述参数是在刻蚀步骤的执行之前与所述刻蚀步骤的执行之后之间的沟道孔的暴露之后的椭圆度的变化。
21.根据前述实施例中任一项所述的方法,其中所述电计量数据已经至少部分使用电压对比计量来获取。
22.根据前述实施例中任一项所述的方法,其中所述电计量数据已经至少部分使用电探针测量来获取。
23.根据前述实施例中任一项所述的方法,其中所述衬底的预测电特性与其他工艺计量数据进行比较,以确定由计量装置测量的标称最佳参数值与改善或优化良率的实际最佳参数值之间的计量偏移。
24.根据实施例23所述的方法,其中所述工艺计量数据包括使用计算计量技术获取的仿真数据,以便在感兴趣的范围内对参数值的影响进行建模。
25.根据前述实施例中任一项所述的方法,其中确定所述电特性对所述工艺特性的灵敏度还包括:确定所述参数的附加测量最有指导意义的所述衬底上的位置。
26.根据前述实施例中任一项所述的方法,还包括基于所确定的电特性来确定与所述工艺相关联的良率。
27.根据实施例26所述的方法,其中所述良率是电特性的加权和。
28.根据实施例26或实施例27所述的方法,还包括基于所述良率的预期变化来确定对所述工艺的校正,其中所述良率的预期变化是使用所述工艺特性的预期变化、所述电特性对所述工艺特性的灵敏度以及所述良率的基于所述电特性的确定而被确定。
29.根据实施例28所述的方法,其中确定所述校正以最大化良率。
30.根据实施例28或实施例29所述的方法,其中所述校正优化所述工艺的曝光步骤、所述工艺的蚀刻步骤,或者共同优化所述工艺的曝光步骤和所述工艺的蚀刻步骤。
31.根据实施例26至30中任一项所述的方法,其中所述良率与其他工艺计量数据进行比较,以确定由计量装置测量的标称最佳参数值与改善或优化良率的实际最佳参数值之间的计量偏移。
32.根据实施例31所述的方法,其中所述参数是套刻,并且所述实际最佳参数是非零值。
33.根据实施例31或实施例32所述的方法,其中所述工艺计量数据包括使用计算计量技术获取的仿真数据,以便在感兴趣的范围内对参数值的影响进行建模。
34.一种为涉及对衬底的光刻处理的工艺确定控制参数的值的方法,所述方法包括:
获取跨衬底的所述控制参数的值;
获取所述跨衬底的良率参数的值;
将所述良率参数的值与所述控制参数的值相关,以获取将控制参数值与期望良率参数值相关的模型;以及
基于所述模型和与所述工艺相关联的所述控制参数的预期范围,确定所述控制参数。
35.根据实施例34所述的方法,其中确定所述控制参数包括:确定由计量装置测量的标称最佳控制参数值与改善或优化良率的实际最佳控制参数值之间的计量偏移。
36.根据实施例34或实施例35所述的方法,还包括:通过朝着最佳控制参数值驱动所述控制参数,控制后续衬底的所述工艺。
37.根据实施例35或实施例36所述的方法,其中所述控制参数是套刻,并且实际最佳控制参数值是非零值。
38.一种计算机程序,包括用于处理器系统的指令,所述指令引起所述处理器系统执行根据任何前述实施例所述的方法。
39.一种处理器系统和相关联的程序存储装置,所述程序存储装置包括用于所述处理器系统的指令,所述指令引起所述处理器系统执行根据实施例1至37中任一项所述的方法。
40.一种光刻设备和/或计量设备,包括根据实施例39所述的处理器系统和相关联的程序存储装置。
尽管在本文中可以具体参考光刻设备在IC的制造中的使用,但是应当理解,本文所述的光刻设备可以具有其他应用。其他可能的应用包括集成光学系统的制造、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
尽管在本文中可以在光刻设备的上下文中具体参考本发明的实施例,但是本发明的实施例可以在其他设备中使用。本发明的实施例可以形成图案化装置检查设备、计量设备、或测量或处理诸如晶片(或其他衬底)或掩模(或其他图案化装置)等对象的任何设备的一部分。这些设备通常可以称为光刻工具。这种光刻工具可以使用真空条件或环境(非真空)条件。
尽管上面可能已经在光学光刻的上下文中具体参考了本发明的实施例的使用,但是应当理解,在上下文允许的情况下,本发明不限于光学光刻,并且可以用于其他应用,例如压印光刻。
如本文中使用的术语“优化(optimizing)”和“优化(optimization)”是指或表示调节光刻设备、图案化工艺等,使得光刻或图案化处理的结果和/或工艺具有更期望的特性,诸如设计布局在衬底上的投射精度更高,工艺窗口更大,等等。因此,本文中使用的术语“优化(optimizing)”和“优化(optimization)”是指或表示与针对一个或多个变量的一个或多个值的初始集合相比,标识在至少一个相关计量方面提供改进(例如,局部最佳)的一个或多个变量的一个或多个值的过程。“最佳(optimum)”和其他相关术语应当据此解释。在一个实施例中,可以迭代地应用优化步骤以提供一个或多个计量的进一步改进。
尽管上面已经描述了本公开的特定实施例,但是应当理解,本公开可以以不同于所描述的方式来实践。例如,本公开可以采取以下形式:包含描述如上所述的方法的一个或多个机器可读指令序列的计算机程序、或者其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。
在框图中,图示的组件被描绘为离散的功能块,但是实施例不限于其中本文中描述的功能如图所示被组织的系统。由每个组件提供的功能可以由与当前所描绘的组织不同的软件或硬件模块来提供,例如,这样的软件或硬件可以被混合、结合、复制、分解、分布(例如,在数据中心内或在地理位置上)或者以其他方式不同地组织。本文中描述的功能可以由执行存储在有形的非暂态的机器可读介质上的代码的一个或多个计算机的一个或多个处理器来提供。在某些情况下,第三方内容传递网络可以托管通过网络传输的部分或全部信息,在这种情况下,如果信息(例如,内容)被称为被供应或以其他方式提供,则该信息可以通过发送用于从内容传递网络检索该信息的指令来提供。
除非另有明确说明,否则从讨论中很清楚的是,应当理解,在整个说明书中,利用诸如“处理(processing)”、“计算(computing)”、“计算(calculating)”、“确定(determining)”等术语的讨论是指特定设备的动作或过程,诸如专用计算机或类似的专用电子处理/计算设备。
读者应当理解,本申请描述了一些发明。申请人没有将这些发明分成多个单独的专利申请,而是将这些发明归为一个文档,因为它们的相关主题可以使申请过程更加经济。但是,这种发明的独特优点和方面不应当被混为一谈。在一些情况下,实施例解决了本文中指出的所有缺陷,但是应当理解,本发明是独立有用的,并且一些实施例仅解决了这些问题的一部分,或者提供了其他未提及的益处,这些益处对于审查本公开的本领域技术人员而言是很清楚的。由于成本限制,本文中公开的某些发明目前可能没有要求保护,而是可能在以后的申请中要求保护,诸如连续申请或通过修改本权利要求书。同样,由于篇幅所限,本文的“摘要”或“发明内容”部分均不应当被视为包含所有这样的发明或这样的发明的所有方面的全面列表。
应当理解,说明书和附图并非旨在将本发明限制为所公开的特定形式,相反,其意图是涵盖落入如所附权利要求书限定的本发明的精神和范围内的所有修改、等同形式和备选形式。
鉴于本描述,本发明的各个方面的修改和备选实施例对于本领域技术人员将是很清楚的。因此,本描述和附图仅应当被解释为说明性的,并且目的是向本领域技术人员教导实施本发明的一般方式。应当理解,本文中示出和描述的本发明的形式将被视为实施例的示例。对于受益于本发明的描述的本领域技术人员很清楚的是,元件和材料可以代替本文中图示和描述的那些,部件和处理可以颠倒或省略,某些特征可以独立地利用,并且实施例或实施例的特征可以组合。在不脱离如所附权利要求书中描述的本发明的精神和范围的情况下,可以对本文中描述的元件进行改变。本文中使用的标题仅用于组织目的,而非旨在用来限制本说明书的范围。
如在本申请中通篇使用的,词语“可以(may)”以宽松的意义(即,表示有可能)而不是强制性的意义(即,必须)使用。词语“包括(include)”、“包括(including)”和“包括(includes)”等表示包括但不限于。如本申请通篇使用的,单数形式的“一个(a)”、“一个(an)”和“该(the)”包括多个指示物,除非内容中另有明确说明。因此,例如,提及“一个(an)”元素或“一个(a)”元素包括两个或更多个元素的组合,尽管对于一个或多个元素使用其他术语和短语,诸如“一个或多个(one or more)”。除非另有说明,否则术语“或(or)”是非排他性的,即包括“和(and)”和“或(or)”。描述条件关系的术语(例如,“响应于X、Y”,“在X、Y时”,“如果X、Y”,“当X、Y时”等等)包含因果关系,其中先决条件是必要的因果条件,先决条件是充分的因果条件,或者先决条件是结果的必然的因果条件,例如,“状态X在获取条件Y时发生”是“X仅在Y时发生”以及“X在Y和Z时发生”的泛型。这种条件关系不限于在先行获取之后立即发生的后果,因为某些后果可能会延迟,并且在条件语句中,前因与其结果相关联,例如,先行与随后发生的可能性有关。除非另有说明,否则其中多个属性或功能被映射到多个对象(例如,执行步骤A、B、C和D的一个或多个处理器)的语句包含:所有这些属性或功能被映射到所有这些对象、以及属性或功能的子集被映射到属性或功能的子集(例如,所有处理器均执行步骤A-D,以及其中处理器1执行步骤A,处理器2执行步骤B和步骤C的一部分并且处理器3执行步骤C的一部分和步骤D的情况)。此外,除非另外指出,否则一个值或动作“基于”另一条件或值的陈述既包括其中条件或值是唯一因素的情况,又包括其中条件或值是多个因素中的一个因素的情况。除非另有说明,否则不应当将某个集合中的“每个”实例具有某个属性的声明理解为排除其中更大集合中的某些其他相同或相似成员不具有该属性的情况,即,每个不一定表示每一个。
在某些美国专利、美国专利申请或其他材料(例如,文章)通过引用并入的程度上,这样的美国专利、美国专利申请和其他材料的文本仅通过引用并入,因为这种材料与本文中给出的陈述和附图之间不存在冲突。在发生这种冲突的情况下,在通过引用并入的美国专利、美国专利申请和其他材料中的任何这种冲突文本在本文中均不通过引用被明确地并入。
尽管上面已经描述了本发明的特定实施例,但是应当理解,本发明可以以不同于所描述的方式来实践。上面的描述旨在是说明性的,而不是限制性的。因此,对于本领域的技术人员将很清楚的是,可以在不脱离下面提出的权利要求的范围的情况下,对所描述的本发明进行修改。

Claims (20)

1.一种方法,所述方法包括:
获取机器学习模型,所述机器学习模型被训练用于计量数据和工艺计量数据,所述计量数据包括来自先前处理的衬底的电特性的测量,所述工艺计量数据包括与从所述先前处理的衬底测量的工艺特性相关的至少一个参数的测量;
获取与所述衬底相关的、包括所述至少一个参数的工艺计量数据;以及
将所获取的工艺计量数据提供给所述机器学习模型,以用于预测所述的电特性。
2.根据权利要求1所述的方法,其中所获取的工艺计量数据包括所述衬底上多个位置处的所述至少一个参数的值和/或不确定性。
3.根据权利要求1所述的方法,还包括基于新的工艺计量数据和/或新的计量数据来动态更新所述机器学习模型。
4.根据权利要求1所述的方法,其中所述机器学习模型包括逻辑模型。
5.根据权利要求1所述的方法,其中所述机器学习模型是基于强化学习的方法的。
6.根据权利要求1所述的方法,其中所述计量数据已经至少部分地使用电压对比计量或电探针测量而被获取。
7.根据权利要求1所述的方法,其中所述工艺计量数据包括仿真数据。
8.一种方法,所述方法包括:
跨衬底获取控制参数的值,所述控制参数的值用于涉及衬底的光刻处理的工艺;
获取跨所述衬底的良率参数的值;
将所述良率参数的值与所述控制参数的值相关,以获取将控制参数值与期望良率参数值相关的模型;以及
基于所述模型和与所述工艺相关联的所述控制参数的预期范围,确定所述控制参数,其中确定所述控制参数包括确定由计量装置测量的标称最佳控制参数值与改善或优化良率的实际最佳控制参数值之间的计量偏移。
9.根据权利要求8所述的方法,其中所述控制参数是套刻,并且实际最佳控制参数值是非零值。
10.根据权利要求8所述的方法,还包括:通过朝着最佳控制参数值驱动所述控制参数,来控制后续衬底的所述工艺。
11.一种计算机程序产品,包括非暂态计算机可读介质,所述非暂态计算机可读介质其中包括指令,所述指令在由处理器系统执行时,被配置为使所述处理器系统至少执行根据权利要求8所述的方法。
12.根据权利要求11所述的计算机程序产品,其中所述控制参数是套刻,并且实际最佳控制参数值是非零值。
13.根据权利要求11所述的计算机程序产品,所述指令还被配置为:使所述处理器系统通过朝着最佳控制参数值驱动所述控制参数来控制后续衬底的所述工艺。
14.一种计算机程序产品,包括非暂态计算机可读介质,所述非暂态计算机可读介质其中包括指令,所述指令在由处理器系统执行时,被配置为使所述处理器系统至少:
获取机器学习模型,所述机器学习模型被训练用于计量数据和工艺计量数据,所述计量数据包括来自先前处理的衬底的电特性的测量,所述工艺计量数据包括与从所述先前处理的衬底测量的工艺特性相关的至少一个参数的测量;
获取与所述衬底相关的、包括所述至少一个参数的工艺计量数据;以及
将所获取的工艺计量数据提供给所述机器学习模型,以用于预测所述的电特性。
15.根据权利要求14所述的计算机程序产品,其中所获取的工艺计量数据包括所述衬底上多个位置处的所述至少一个参数的值和/或不确定性。
16.根据权利要求14所述的计算机程序产品,所述指令还被配置为:基于新的工艺计量数据和/或新的计量数据来动态更新所述机器学习模型。
17.根据权利要求14所述的计算机程序产品,其中所述机器学习模型包括逻辑模型。
18.根据权利要求14所述的计算机程序产品,其中所述机器学习模型是基于强化学习的方法的。
19.根据权利要求14所述的计算机程序产品,其中所述计量数据已经至少部分地使用电压对比计量或电探针测量而被获取。
20.根据权利要求14所述的计算机程序产品,其中所述工艺计量数据包括仿真数据。
CN202210869852.9A 2017-05-05 2018-03-29 用于预测器件制造工艺的良率的方法 Pending CN115220311A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762502281P 2017-05-05 2017-05-05
US62/502,281 2017-05-05
US201862645345P 2018-03-20 2018-03-20
US62/645,345 2018-03-20
CN201880029609.8A CN110622069B (zh) 2017-05-05 2018-03-29 用于预测器件制造工艺的良率的方法
PCT/EP2018/058096 WO2018202361A1 (en) 2017-05-05 2018-03-29 Method to predict yield of a device manufacturing process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880029609.8A Division CN110622069B (zh) 2017-05-05 2018-03-29 用于预测器件制造工艺的良率的方法

Publications (1)

Publication Number Publication Date
CN115220311A true CN115220311A (zh) 2022-10-21

Family

ID=61899247

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210869852.9A Pending CN115220311A (zh) 2017-05-05 2018-03-29 用于预测器件制造工艺的良率的方法
CN201880029609.8A Active CN110622069B (zh) 2017-05-05 2018-03-29 用于预测器件制造工艺的良率的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880029609.8A Active CN110622069B (zh) 2017-05-05 2018-03-29 用于预测器件制造工艺的良率的方法

Country Status (5)

Country Link
US (2) US11086229B2 (zh)
KR (2) KR102411813B1 (zh)
CN (2) CN115220311A (zh)
TW (2) TWI800704B (zh)
WO (1) WO2018202361A1 (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
US11796978B2 (en) 2018-11-26 2023-10-24 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
EP3891558A1 (en) * 2018-12-03 2021-10-13 ASML Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
CN113168116B (zh) 2018-12-07 2024-04-16 Asml荷兰有限公司 用于确定影响半导体制造过程中的产率的根本原因的方法
US11157661B2 (en) * 2018-12-19 2021-10-26 Applied Materials, Inc. Process development visualization tool
CN113366390B (zh) * 2019-01-29 2024-02-20 Asml荷兰有限公司 半导体制造过程中的决定方法
KR102631626B1 (ko) * 2019-01-29 2024-01-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스를 제어하기 위한 방법 및 장치
JP7317131B2 (ja) * 2019-02-15 2023-07-28 ケーエルエー コーポレイション 結合された光および電子ビーム技術を使用する位置ずれ測定
CN114174927A (zh) * 2019-07-04 2022-03-11 Asml荷兰有限公司 光刻工艺及关联设备的子场控制
US20220351075A1 (en) 2019-07-04 2022-11-03 Asml Netherlands B.V. Method and apparatus for determining feature contribution to performance
EP3767391A1 (en) * 2019-07-17 2021-01-20 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3767392A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Method and apparatus for determining feature contribution to performance
KR20220053029A (ko) * 2019-09-05 2022-04-28 에이에스엠엘 네델란즈 비.브이. 현상 후 이미지에 기초하여 패턴의 결함이 있음을 결정하는 방법
TWI736999B (zh) * 2019-10-07 2021-08-21 財團法人資訊工業策進會 資料分析裝置、資料分析方法以及與其相關的品質提升系統
WO2021165419A1 (en) * 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
US11328108B2 (en) * 2020-03-03 2022-05-10 Pdf Solutions, Inc. Predicting die susceptible to early lifetime failure
EP4127833B1 (en) 2020-04-02 2024-04-03 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
EP3901700A1 (en) 2020-04-20 2021-10-27 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
EP4139749A1 (en) * 2020-04-20 2023-03-01 ASML Netherlands B.V. Configuration of an imputer model
EP3913435A1 (en) * 2020-05-19 2021-11-24 ASML Netherlands B.V. Configuration of an imputer model
CN111678928B (zh) * 2020-06-09 2021-03-30 长江存储科技有限责任公司 半导体结构的分析方法及分析装置
TW202226009A (zh) * 2020-08-28 2022-07-01 美商Pdf對策公司 用於決定晶圓路徑品質的順序方法
CN112270157A (zh) * 2020-11-09 2021-01-26 全芯智造技术有限公司 用于将设计与工艺协同优化的方法和设备以及存储介质
US20220210525A1 (en) * 2020-12-24 2022-06-30 Applied Materials Israel Ltd. Prediction of electrical properties of a semiconductor specimen
EP4050328A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
CN113433907B (zh) * 2021-06-24 2022-05-10 中国航空综合技术研究所 基于可靠性关键特性的航空机电产品设计可靠性控制方法
EP4120019A1 (en) * 2021-07-12 2023-01-18 ASML Netherlands B.V. Method of determining a correction for at least one control parameter in a semiconductor manufacturing process

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6028994A (en) * 1998-05-06 2000-02-22 Advanced Micro Devices Method for predicting performance of microelectronic device based on electrical parameter test data using computer model
JP4312910B2 (ja) * 1999-12-02 2009-08-12 株式会社日立製作所 レビューsem
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
KR20030026735A (ko) 2001-09-28 2003-04-03 엘지.필립스 엘시디 주식회사 필름 전사법에 의한 액정표시장치용 컬러필터 기판 및그의 제조방법
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7274429B2 (en) * 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
JP2007536581A (ja) 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
KR100610010B1 (ko) 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7239371B2 (en) 2005-10-18 2007-07-03 International Business Machines Corporation Density-aware dynamic leveling in scanning exposure systems
US8146024B2 (en) * 2006-12-18 2012-03-27 Cadence Design Systems, Inc. Method and system for process optimization
US20080319568A1 (en) * 2007-06-22 2008-12-25 International Business Machines Corporation Method and system for creating array defect paretos using electrical overlay of bitfail maps, photo limited yield, yield, and auto pattern recognition code data
NL1036351A1 (nl) 2007-12-31 2009-07-01 Asml Netherlands Bv Alignment system and alignment marks for use therewith cross-reference to related applications.
US8001494B2 (en) * 2008-10-13 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Table-based DFM for accurate post-layout analysis
KR101841378B1 (ko) 2009-12-15 2018-03-22 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
NL2013249A (en) 2013-08-20 2015-02-23 Asml Netherlands Bv Lithography system and a machine learning controller for such a lithography system.
US9910430B2 (en) * 2013-08-23 2018-03-06 Applied Materials, Inc. K-nearest neighbor-based method and system to provide multi-variate analysis on tool process data
US9412673B2 (en) 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
KR101900340B1 (ko) 2013-10-02 2018-09-20 에이에스엠엘 네델란즈 비.브이. 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
KR101924487B1 (ko) * 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
WO2015101458A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
NL2013677A (en) 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
US20160148850A1 (en) 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
CN108369412B (zh) 2015-10-08 2020-10-16 Asml荷兰有限公司 用于控制工业过程的方法和设备
US11036146B2 (en) 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
JP6630839B2 (ja) 2016-02-18 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、デバイス製造方法ならびに関連データ処理装置およびコンピュータプログラム製品
KR102350572B1 (ko) 2016-02-22 2022-01-11 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
KR102439450B1 (ko) 2016-02-23 2022-09-01 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 제어 방법, 리소그래피 장치, 계측 장치 리소그래피 셀 및 연관된 컴퓨터 프로그램
EP3309617A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Selecting a set of locations associated with a measurement or feature on a substrate
EP3312672A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods of determining corrections for a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
EP3312693A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods & apparatus for controlling an industrial process
CN109891324B (zh) 2016-10-26 2021-05-25 Asml荷兰有限公司 用于光刻过程的优化的方法
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS

Also Published As

Publication number Publication date
TW202014809A (zh) 2020-04-16
CN110622069B (zh) 2022-08-09
US11714357B2 (en) 2023-08-01
TW201843533A (zh) 2018-12-16
US20210325788A1 (en) 2021-10-21
US20200103761A1 (en) 2020-04-02
KR20190139967A (ko) 2019-12-18
US11086229B2 (en) 2021-08-10
KR20210110896A (ko) 2021-09-09
CN110622069A (zh) 2019-12-27
WO2018202361A1 (en) 2018-11-08
TWI683189B (zh) 2020-01-21
TWI800704B (zh) 2023-05-01
KR102296942B1 (ko) 2021-09-01
KR102411813B1 (ko) 2022-06-22

Similar Documents

Publication Publication Date Title
CN110622069B (zh) 用于预测器件制造工艺的良率的方法
KR102649158B1 (ko) 반도체 제조 공정의 수율을 예측하는 방법
KR102585099B1 (ko) 측정 방법 및 장치
CN111656282A (zh) 确定衬底栅格的测量设备和方法
KR102603071B1 (ko) 반도체 제조 프로세스에서 수율에 영향을 주는 근본 원인을 결정하기 위한 방법
EP3767392A1 (en) Method and apparatus for determining feature contribution to performance
CN114008535B (zh) 用于确定特征对性能的贡献的方法和设备
EP3913435A1 (en) Configuration of an imputer model
TWI778304B (zh) 用於監測微影裝置之方法
KR20220154198A (ko) 임퓨터 모델의 구성 기술
CN113168111B (zh) 用于预测半导体制造过程的产率的方法
TWI803186B (zh) 預測半導體製程之度量衡偏移之方法及電腦程式
EP3910417A1 (en) Method for determining an inspection strategy for a group of substrates in a semiconductor manufacturing process
KR20230156063A (ko) 반도체 제조 프로세스를 특성화하기 위한 방법 및 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination