KR101900340B1 - 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치 - Google Patents

산업 공정과 관련된 진단 정보를 얻는 방법 및 장치 Download PDF

Info

Publication number
KR101900340B1
KR101900340B1 KR1020167011583A KR20167011583A KR101900340B1 KR 101900340 B1 KR101900340 B1 KR 101900340B1 KR 1020167011583 A KR1020167011583 A KR 1020167011583A KR 20167011583 A KR20167011583 A KR 20167011583A KR 101900340 B1 KR101900340 B1 KR 101900340B1
Authority
KR
South Korea
Prior art keywords
data
object data
product units
delete delete
product
Prior art date
Application number
KR1020167011583A
Other languages
English (en)
Other versions
KR20160067146A (ko
Inventor
알렉산더 이프마
야스퍼 멩거
데이비드 데커스
데이비드 한
아드리아누스 쿠프만
이리나 리울리나
스코트 미들브룩스
리차드 반 하렌
요헴 빌덴베르크
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20160067146A publication Critical patent/KR20160067146A/ko
Application granted granted Critical
Publication of KR101900340B1 publication Critical patent/KR101900340B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F16/00Information retrieval; Database structures therefor; File system structures therefor
    • G06F16/20Information retrieval; Database structures therefor; File system structures therefor of structured data, e.g. relational data
    • G06F16/26Visual data mining; Browsing structured data

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Databases & Information Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

리소그래피 공정에서 반도체 웨이퍼들과 같은 제품 유닛들이 리소그래피 패터닝 작업들 그리고 화학적 및 물리적 처리 작업들을 거친다. 각각의 웨이퍼에 걸쳐 공간적으로 분포되는 지점들에서 측정된 위치 편차 또는 다른 파라미터들을 나타내는 객체 데이터를 얻기 위해, 정렬 데이터 또는 다른 측정들이 상기 공정의 수행 동안 스테이지들에서 만들어진다. 이 객체 데이터는 다변량 분석을 수행함으로써 진단 정보를 얻어, 다차원 공간에 웨이퍼들을 나타내는 상기 벡터들의 세트를 하나 이상의 성분 벡터들로 분해하는 데 사용된다. 산업 공정에 관한 진단 정보는 상기 성분 벡터들을 이용함으로써 추출된다. 추출된 진단 정보에 기초하여 후속 제품 유닛들에 대한 산업 공정의 성능이 제어될 수 있다.

Description

산업 공정과 관련된 진단 정보를 얻는 방법 및 장치{METHODS & APPARATUS FOR OBTAINING DIAGNOSTIC INFORMATION RELATING TO AN INDUSTRIAL PROCESS}
본 출원은 2013년 10월 2일에 출원된 미국 가특허 출원 61/885,977에 관계되며, 이는 본 명세서에서 전문이 인용 참조된다.
본 발명은 산업 공정과 관련된 진단 정보를 얻는 방법에 관한 것이다. 이 방법이 개발된 산업 공정의 일 예시는 리소그래피 공정이며, 이 공정은 리소그래피 장치를 이용하여 패터닝 디바이스로부터 기판 상으로 패턴을 전사하는 하나 이상의 단계를 포함한다. 또한, 본 발명은 진단 장치, 디바이스 제조 방법, 산업 공정을 위한 제어기, 그리고 데이터 처리 장치가 설명된 방법 및 장치를 구현하게 하는 컴퓨터 프로그램 제품에 관한 것이다.
리소그래피 공정은, 리소그래피 장치가 기판 상으로 통상적으로는 기판의 타겟부 상으로 의도한 패턴을 적용시키는 공정이며, 이후 다양한 처리 화학적 및/또는 물리적 처리 단계들이 복잡한 제품의 기능적 피처(feature)들을 생성하기 위해 패턴을 거친다. 기판에 패턴들의 정확한 배치는 회로 구성요소들, 그리고 리소그래피에 의해 생성될 수 있는 다른 제품들의 크기를 감소시키기 위한 주요 과제이다. 특히, 이미 배치된 기판의 피처들을 정확히 측정하는 과제는, 높은 수율로 작동 디바이스들을 생성하기에 충분할 만큼 피처들의 연속한 층들을 정확히 중첩되게(superposition) 위치시킬 수 있는 중요한 단계이다. 소위 오버레이(overlay)는 현시대의 서브-미크론(sub-micron) 반도체 디바이스에서 수십 나노미터 범위 내로, 가장 결정적인 층들에서는 수 나노미터 이하로 달성되어야 한다.
그 결과로, 현재의 리소그래피 장치들은 실제로 타겟 위치에서 기판을 노광하거나 패터닝하는 단계 이전에 광범위한 측정 또는 '맵핑' 작업('mapping' operation)들을 수반한다. 처리 단계들 및/또는 리소그래피 장치 자체에 의해 유도되는 웨이퍼 '그리드(grid)'의 비-선형 왜곡들을 더 정확히 모델링하고 보정하기 위해, 소위 고성능 정렬 모델(advanced alignment model)이 개발되었고 계속 개발 중에 있다. 하지만, 모든 왜곡들이 보정가능하지 않으며, 이러한 왜곡들의 원인을 가능한 한 많이 추적하고 제거하는 것이 여전히 중요하다.
현재의 리소그래피 공정 및 제품들은 너무 복잡해서 처리로 인한 문제들을 근본 원인까지 거슬러 올라가 추적하기가 어렵다. 오버레이 및 정렬 잔차(residual)들은 통상적으로 (공정 및/또는 리소 툴의) 웨이퍼 상의 패턴들에 나타난다. 이는 사전정의된 모델에 대한 비-보정가능한 양으로서 해석될 수 있는 한편, 핑거프린트(fingerprint)의 외관 검사(visual inspection) 및 세부 분석은 원인 및 보정 전략의 지표(indication)를 제공할 수 있다. 핑거프린트의 공간 패턴은 핑거프린트를 정량화하는 데에도, 또한 다수의 원인이 겉보기 핑거프린트(apparent fingerprint)에 동시에 나타날 수 있는 관찰을 정량화하는 데에도 사용되지 않는다. 오버레이 측정은 일반적으로 각각의 개별 웨이퍼에 대해 이용가능하지 않으며, 처리 이력(processing history) 및 컨텍스트(context)에 대한 관계는 일반적으로 알려지거나 사용되지 않는다. 또한, 다루어지는(at hand) 기계 및 공정에 대한 공간 변동의 모든 가능한 소스들의 리스트를 만드는 것은 어렵고 시간-소모적이다.
처리 오차들의 원인을 식별하는 문제 외에도, 성능 파라미터들의 측정이 처리된 제품들로부터 만들어지게 하는 공정 성능 모니터링 시스템들이 구현되었고, 이들은 이후 후속 제품들의 처리에 사용되는 보정들을 계산하는 데 사용된다. 현재의 성능 모니터링 시스템들이 갖는 한계는, 시간의 양과 성능 모니터링을 담당하는 장비 간에, 그리고 보정들이 구현될 수 있는 속도와 정확성 간에 타협(compromise)이 존재한다는 것이다.
또한, 몇몇 성능 파라미터들이 허용 한계치들을 초과할 때에만 문제들을 검출하는 것이 아니라, 초기 스테이지에서 문제들을 검출할 수 있는 성능 모니터링 시스템을 갖는 것이 바람직할 것이다.
그러므로, 본 발명자들은 근본 원인 찾기, 웨이퍼 무결성(wafer integrity)의 모니터링 및 적절한 보정 전략의 설계가 주관적이고 힘든 활동임을 인식하였다. 본 발명의 목적은 앞서 식별된 알려진 기술들이 갖는 문제들 중 하나 이상을 해결하는 자동화된 써포트(automated support)를 제공하는 것이다.
제 1 실시형태에서, 본 발명은 산업 공정에 관련하여 사용되는 진단 장치를 제공하고, 상기 장치는 데이터 처리 장치를 포함하며, 상기 데이터 처리 장치는:
- 동일한 산업 공정을 공칭적으로(nominally) 거친 제품 유닛(product unit)들의 세트에 대한 객체 데이터(object data)를 수신하는 단계 - 각각의 제품 유닛에 대한 객체 데이터는 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 제품 유닛에 대해 측정된 하나 이상의 파라미터들을 나타냄 -;
- 상기 제품 유닛들의 각각에 대한 객체 데이터가 벡터로서 나타내어질 수 있는 다차원 공간을 정의하는 단계;
- 객체 데이터에 대해 다변량 분석(multivariate analysis)을 수행하여, 상기 다차원 공간에서 하나 이상의 성분 벡터(component vector)들을 얻는 단계; 및
- 상기 성분 벡터들을 이용하여 산업 공정에 관한 진단 정보를 추출하는 단계를 수행하도록 프로그램된다.
몇몇 실시예들에서, 상기 다변량 분석은 상기 다차원 공간에서 벡터들의 세트를 포함하는 객체 데이터의 표현(representation)에서 수행되고, 상기 벡터들의 각각은 상기 제품 유닛들의 하나(또는 제품 유닛들의 그룹)에 대응한다. 이러한 벡터는 제품 유닛에 걸쳐 공간적으로 분포된 상기 지점들 중 상이한 지점들에서 측정들을 나타내는 요소들을 가질 수 있다. 이러한 구현에서, 상기 다변량 분석 이전의 객체 데이터 및 상기 다변량 분석에 의해 얻어진 성분 벡터들은 둘 모두 동일한 다차원 공간에서 벡터들로서 표현된다.
상기 다변량 분석 이전에 객체 데이터가 성분 벡터들이 표현되는 공간과 상이한 다차원 공간에서 벡터들로서 표현되는 대안적인 구현들이 가능하다. 이러한 공간들 간의 변환은 다변량 분석 동안 또는 이후에 (명시적으로 또는 암묵적으로) 수행될 수 있다. 예를 들어, 대안적인 일 구현에서, 객체 데이터는 각각의 벡터가 상기 지점들의 하나에 대응하고 상기 제품 유닛들 중 상이한 유닛에 걸쳐 상기 지점에서의 측정들을 나타내는 요소들을 갖는 벡터들로 표현된다. 또 다른 대안에서, 다변량 분석은 어느 특정한 다차원 공간에서의 벡터들로서 이를 표현하지 않고 객체 데이터에서 수행된다.
또한, 본 발명은 산업 공정과 관련된 진단 정보를 얻는 방법을 제공하며, 상기 방법은:
- 동일한 산업 공정을 공칭적으로 거친 제품 유닛들의 세트에 대한 객체 데이터를 수신하는 단계 - 각각의 제품 유닛에 대한 객체 데이터는 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 제품 유닛에 대해 측정된 하나 이상의 파라미터들을 나타냄 -;
- 상기 제품 유닛들의 각각에 대한 객체 데이터가 벡터로서 나타내어질 수 있는 다차원 공간을 정의하는 단계;
- 객체 데이터에 대해 다변량 분석을 수행하여, 상기 다차원 공간에서 하나 이상의 성분 벡터들을 얻기 위는 단계; 및
- 상기 성분 벡터들을 이용하여 산업 공정에 관한 진단 정보를 추출하는 단계를 포함한다.
일 실시예에서, 상기 산업 공정은 기판들의 형태로 제품 유닛들에 대해 수행되는 하나 이상의 리소그래피 처리 단계의 시퀀스를 포함하고, 각각의 리소그래피 처리 단계는 하나 이상의 리소그래피 패터닝 작업들에 후속하여 하나 이상의 물리적 및/또는 화학적 처리 작업들을 포함한다. 리소그래피에서의 정렬 절차들은 일반적으로 기판에 걸쳐 자동으로 만들어진 측정들을 수반한다. 이 측정들은 캡처되거나 객체 데이터에 나타내어질 수 있다. 이러한 방식으로, 본 발명의 방법은 가장 기본적인 형태로 특수한 측정 데이터 캡처 또는 공정 컨텍스트(processing context)의 지식을 요구하지 않고 구현될 수 있다. 하지만, 상기 방법은 정렬 측정들의 사용으로 제한되지 않으며, 또한 작업에 있어 리소그래피 공정들로 제한되지 않는다.
다변량 분석의 결과들은 진단 정보를 추출하기 위해 다양한 방식으로 사용될 수 있다. 추출의 일부가 자동화될 수 있으며, 다른 부분들은 장치에 의해 생성되는 시각화(visualization)에 기초하여 사용자-구동될(user-driven) 수 있다. 진단 정보를 추출하는 방법은, 예를 들어 상기 성분 벡터들 중 하나 이상으로 투영될 때 그들의 벡터들의 위치들에 기초하여 관심 제품 유닛들로서 특정 제품 유닛들을 지정하는 단계를 포함한다.
또한, 진단 정보를 추출하는 단계는 선택된 벡터(들) 상으로의 그들의 벡터들의 투영에 따른 관심대상으로 지정된 제품 유닛들과, 각각의 제품 유닛에 대해 측정된 하나 이상의 성능 파라미터들을 나타내는 성능 데이터에 따른 관심대상으로서 지정된 제품 유닛들 사이에서 관찰된 상관관계(correlation)를 더 포함할 수 있다.
또한, 진단 정보를 추출하는 단계는 제품 유닛들의 각각에 대해 컨텍스트 데이터를 수신 및 이용하는 단계를 더 포함할 수 있고, 컨텍스트 데이터는 각각의 개별 제품 유닛에 적용된 바와 같은 산업 공정의 하나 이상의 파라미터들을 나타낸다. 진단 정보를 추출하는 단계는, 예를 들어 컨텍스트 데이터의 하나 이상의 파라미터들 및 상기 성분 벡터들에 기초하여 관심 대상(being of interest)으로서 제품 유닛들의 식별 간의 상관관계를 식별하는 단계를 더 포함할 수 있다.
다른 실시예들에서, 진단 정보를 추출하는 단계는:
- 상기 제품 유닛들의 세트와 동일한 산업 공정을 공칭적으로 거친 하나 이상의 추가 제품 유닛들에 대한 희박한 객체 데이터(sparse object data)를 수신하는 단계 - 상기 추가 제품 유닛(들)에 대한 희박한 객체 데이터는 상기 제품 유닛들의 세트에 대해 수신된 측정들보다 낮은 밀도로 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 제품 유닛(들)에 대해 측정된 상기 하나 이상의 파라미터들을 나타냄 -;
- 적어도 상기 다변량 분석에 의해 식별되는 성분 벡터들의 서브세트를 기준으로 하여 희박한 객체 데이터를 분석하는 단계; 및
- 상기 분석 단계의 결과에 따라 상기 성분 벡터들과 상기 희박한 객체 데이터를 조합하여, 상기 희박한 객체 데이터보다 높은 밀도로 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 추가 제품 유닛(들)에 대해 측정된 상기 하나 이상의 파라미터들을 나타내는 객체 데이터를 재구성하는 단계를 포함한다.
방금 언급된 타입의 일 실시예는 산업 공정을 위한 성능 모니터링 시스템에 사용될 수 있으며, 희박한 객체 데이터는 산업 공정의 성능 파라미터와 관련된 모니터링 데이터이다.
또한, 상기 방법은 추가 제품 유닛들에 대해 수행될 때 산업 공정을 제어하는 데 사용되는 보정 데이터의 하나 이상의 세트들을 생성하는 단계를 포함할 수 있다. 보정 데이터는, 예를 들어 화학적 및 물리적 처리 단계들에 의해 도입되는 제품들의 왜곡들을 보정하기 위해 향후 리소그래피 단계에서 정렬 보정들로서 적용될 수 있다. 보정들은 컨텍스트 기준(context criteria)에 기초하여 선택적으로 적용될 수 있다. 보정들은 식별된 성분 벡터들의 일부를 보정하고 다른 것들은 보정하지 않도록 적용될 수 있다.
산업 공정이 리소그래피 패터닝 작업들과 물리적 및/또는 화학적 작업들의 혼합을 포함하는 경우, 진단 장치는 리소그래피 패터닝 작업에서 보정들을 적용하기 위해 상기 보정 데이터를 생성하도록 프로그램될 수 있다.
또한, 상기 장치는 추출된 진단 정보에 기초하여 보정들을 적용함으로써 리소그래피 장치를 제어하도록 배치된 제어기를 더 포함할 수 있다.
또한, 본 발명은 제품 유닛들이 하나 이상의 처리 작업들을 거치는 산업 공정을 제어하는 방법을 제공하며, 상기 방법은:
- 상기 처리 작업들의 일부 또는 전부를 거친 복수의 제품 유닛들을 측정하여, 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 제품 유닛들에 대해 측정된 하나 이상의 파라미터들을 각각의 제품 유닛에 대해 나타낸 객체 데이터를 얻는 단계;
- 상기 객체 데이터를 이용하여, 상기 설명된 바와 같은 본 발명에 따른 진단 장치 또는 방법에 의해 진단 정보를 얻는 단계; 및
- 추출된 진단 정보에 기초하여 후속 제품 유닛들에 대한 상기 산업 공정의 성능을 제어하는 단계를 포함한다.
또한, 본 발명은 범용 데이터 처리 장치가 앞서 설명된 바와 같은 본 발명에 따른 진단 정보를 얻는 방법의 단계들을 수행하도록 유도하는 기계 판독가능한 명령어들을 포함하는 컴퓨터 프로그램 제품을 제공한다. 또한, 컴퓨터 프로그램 제품은 상기 데이터 처리 장치가 보정 데이터 및 선택적으로는 컨텍스트 기준을 생성하도록 유도하는 기계 판독가능한 명령어들을 더 포함할 수 있다.
또한, 본 발명은 범용 데이터 처리 장치가 앞서 설명된 바와 같은 산업 공정을 제어하는 방법의 단계들을 수행하도록 유도하는 기계 판독가능한 명령어들을 포함하는 컴퓨터 프로그램 제품을 제공한다.
첨부한 도면들을 참조하여, 본 발명의 다양한 실시예들의 구조 및 작동뿐만 아니라, 본 발명의 또 다른 특징들 및 장점들이 아래에 자세히 설명된다. 본 발명은 여기에 설명된 특정 실시예들로 제한되지 않음을 유의한다. 이러한 실시예들은 본 명세서에서 단지 예시의 목적으로만 제시된다. 관련 기술분야(들)의 당업자라면, 본 명세서에 담긴 교시에 기초하여 추가 실시예들이 행해질 수 있음을 알 수 있을 것이다.
이제, 첨부된 개략적인 도면들을 참조하여, 단지 예시로만 본 발명의 실시예들을 설명할 것이다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 도시한 도면;
도 2는 반도체 디바이스에 대한 생산 설비(production facility)를 형성하는 다른 장치들과 함께 도 1의 리소그래피 장치의 사용을 개략적으로 도시한 도면으로, 상기 설비는 본 발명의 실시예들에 따른 제 1 및 제 2 진단 장치를 포함함;
도 3 및 도 4는 생산 설비의 리소그래피 장치에 적용된 고성능 정렬 측정 및 웨이퍼 그리드 보정의 원리를 예시한 도면;
도 5는 정렬 측정들의 관찰된 예시에서 다양한 "핑거프린트"의 조합을 구상적으로(figuratively) 예시한 도면;
도 6은 본 발명의 일 실시예에서 제 1 진단 장치에 의한 정렬 데이터로부터의 객체 데이터의 추출을 나타낸 도면;
도 7의 (a) 및 (b)는 본 발명의 일 실시예에서 2 개의 예시적인 제품 유닛에 대한 객체 데이터의 형성을 나타내고, (c)는 다차원 공간에서 점 또는 벡터로서 각각의 제품 유닛을 단순화하여 개략적으로 나타낸 도면;
도 8의 (a)는 제품 유닛들의 세트에 대한 객체 데이터를 포함하는 측정 데이터 행렬의 생성을 나타내고, (b)는 상기 다차원 공간에서 점들로서 이러한 제품 유닛들을 개략적으로 나타낸 도면;
도 9의 (a) 내지 (d)는 도 8에 나타낸 객체 데이터의 분포를 복수의 성분 벡터들로 분해하기 위해 제 1 진단 장치에 의해 수행되는 다변량 분석 단계에서의 단계들을 예시한 도면;
도 10의 (a) 내지 (c)는 다변량 분석에서 식별된 성분 벡터들을 이용하여 1-차원 및 2-차원 분포로 투영되는 제품 유닛들의 분포를 예시한 도면;
도 11의 (a)는 상기 다변량 분석에서 식별된 구성요소 벡터들에 의해 나타내어지는 예시적인 "핑거프린트"를 예시하고, (b)는 본 발명의 일 실시예에서 상기 제 1 또는 제 2 진단 장치에 의해 디스플레이될 수 있는 것과 같이 성분 벡터들의 쌍들에 의해 정의되는 다양한 2-차원 공간 상으로의 객체 데이터의 투영을 예시한 도면;
도 12는 본 발명의 일 실시예에서 제 1 및 제 2 진단 장치의 작동 단계들을 요약한 흐름도;
도 13 내지 도 17은 본 발명의 일 실시예에서 근본 원인 분석을 수행하기 위해 독립적으로 또는 조합하여 사용될 수 있는 제 2 진단 장치의 다양한 작동 모드를 개략적으로 예시한 도면;
도 18은 다변량 분석의 대안적인 구현에서 벡터들의 요소들 및 웨이퍼들의 세트로부터의 측정들 간의 대응성(correspondence)을 예시한 도면;
도 19는 도 2의 생산 설비에서 성능 모니터링 함수(performance monitoring function)의 원리를 예시한 도면;
도 20은 본 발명의 또 다른 실시예에 따른 성능 모니터링 함수에서의 저분해능 측정(low resolution measurement)들로부터 고분해능 객체 데이터의 재구성(reconstruction)을 위해 상기 다변량 분석에서 식별된 성분 벡터들의 사용을 예시한 도면;
도 21은 도 20의 실시예에서 재구성을 통합한 성능 모니터링 함수의 작업흐름도; 및
도 22는 본 발명의 실시예들의 제 1 및/또는 제 2 진단 장치들을 구현하도록 프로그램가능한 데이터 처리 하드웨어를 개략적으로 예시한 도면이다.
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치(LA)를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 또는 WTb); 및
- 기판(W)의 타겟부(C)(하나 이상의 다이를 포함) 상으로 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체는 패터닝 디바이스를 지지, 즉 그 무게를 견딘다. 이는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입뿐만 아니라, 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한의 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
여기에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있거나, 하나 이상의 테이블이 노광에 사용되고 있는 동안 하나 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 여기에 개시된 발명은 독립형 방식(stand-alone fashion)으로 사용될 수 있지만, 특히 이는 단일- 또는 다중-스테이지 장치들의 사전-노광 측정 스테이지에 추가 기능(function)을 제공할 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체에 담가져야 함을 의미하는 것이라기보다는, 노광시 액체가 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.
일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 칭해질 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(integrator: IN) 및 콘덴서(condenser: CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT)에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 마스크(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하여 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)[예를 들어, 간섭계 디바이스(interferometric device), 리니어 인코더(linear encoder), 또는 용량성 센서(capacitive sensor)]의 도움으로, 기판 테이블(WTa/WTb)은 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(도 1에 명확히 도시되지 않음)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은, 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WTa/WTb)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다]. 이와 유사하게, 마스크(MA)에 하나 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 마스크 테이블(MT) 및 기판 테이블(WTa/WTb)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WTa/WTb)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 마스크 테이블(MT) 및 기판 테이블(WTa/WTb)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 마스크 테이블(MT)에 대한 기판 테이블(WTa/WTb)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WTa/WTb)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WTa/WTb)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
이 예시에서 리소그래피 장치(LA)는 2 개의 기판 테이블(WTa 및 WTb) 그리고 기판 테이블들이 교체될 수 있는 2 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 갖는 소위 듀얼 스테이지 타입(dual stage type)으로 구성된다. 하나의 기판 테이블의 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판이 측정 스테이션(MEA)에서 다른 기판 테이블 상으로 로딩될 수 있어, 다양한 준비 단계들이 수행될 수 있다. 준비 단계들은 레벨 센서(LS)를 이용하여 기판의 표면 높이를 맵핑하는 단계 및 정렬 센서(AS)를 이용하여 기판의 정렬 마크의 위치를 측정하는 단계를 포함할 수 있다. 정렬 마크들은 규칙적인 그리드 패턴으로 공칭적으로 배치된다. 하지만, 마크들의 생성 시 부정확성으로 인해, 또한 그 처리 전반에 걸쳐 발생하는 기판의 변형으로 인해, 마크들은 이상적인 그리드로부터 벗어난다. 결과적으로, 장치(LA)가 매우 높은 정확성으로 보정 위치들에서 제품 피처들을 프린트해야 하는 경우, 기판의 방위 및 측정 위치에 더하여, 정렬 센서는 실제적으로 기판 영역에 걸쳐 다수의 마크들의 위치를 자세히 측정해야 한다. 그러므로, 정렬 마크들의 측정은 매우 시간-소모적이며, 2 개의 기판 테이블의 제공은 장치의 스루풋의 실질적인 증가를 가능하게 한다. 위치 센서(IF)가 노광 스테이션과 측정 스테이션에 있는 동안 기판 테이블의 위치를 측정할 수 없는 경우, 기판 테이블의 위치들이 두 스테이션들에서 추적될 수 있도록 제 2 위치 센서가 제공될 수 있다. 본 발명은 오직 하나의 또는 2 이상의 기판 테이블을 갖는 장치에 적용될 수 있다.
또한, 상기 장치는 설명된 다양한 액추에이터들 및 센서들의 모든 이동 및 측정을 제어하는 리소그래피 장치 제어 유닛(LACU)을 포함한다. 또한, LACU는 장치의 작동에 관련된 의도한 계산들을 구현하기 위한 신호 처리 및 데이터 처리 용량을 포함한다. 실제로, 제어 유닛(LACU)은 다수의 서브-유닛으로 구성된 시스템으로서 실현될 것이며, 이 각각은 실시간 데이터 획득, 처리, 그리고 장치 내의 서브 시스템 또는 구성요소의 제어를 핸들링한다. 예를 들어, 하나의 처리 서브시스템은 기판 위치설정기(PW)의 서보 제어(servo control)를 담당할 수 있다. 또한, 별도의 유닛들이 개략 및 미세 액추에이터들 또는 상이한 축들을 핸들링할 수 있다. 또 다른 유닛이 위치 센서(IF)의 판독을 담당할 수도 있다. 장치의 전반적인 제어는 이러한 서브-시스템 처리 유닛, 작업자, 그리고 리소그래피 제조 공정에 수반된 다른 장치들과 통신하는 중앙 처리 유닛에 의해 제어될 수 있다.
도 2의 200은 반도체 제품들에 대한 산업 생산 설비의 컨텍스트에서 리소그래피 장치(LA)를 나타낸다. 리소그래피 장치[또는 짧게 "리소 툴"(200)] 내에, 측정 스테이션(MEA)이 202로 나타내어지고, 노광 스테이션(EXP)이 204로 나타내어진다. 제어 유닛(LACU)은 206으로 나타내어진다. 생산 설비 내에서, 장치(200)는 상기 장치(200)에 의한 패터닝을 위해 기판(W)에 감광성 레지스트 및 다른 코팅들을 도포하는 코팅 장치(208)를 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부분을 형성한다. 장치(200)의 출력 측에는, 노광된 패턴을 물리적인 레지스트 패턴으로 현상하기 위해 베이킹 장치(210) 및 현상 장치(212)가 제공된다.
일단, 패턴이 적용되고 현상되었으면, 패터닝된 기판들(220)은 222, 224, 226으로 예시된 바와 같은 다른 처리 장치들로 전달된다. 광범위한 처리 단계들은 통상적인 제조 설비에서 다양한 장치들에 의해 구현된다. 예시를 위해, 이 실시예에서 장치 222는 에칭 스테이션이고, 장치 224는 에칭-후 어닐링 단계(post-etch annealing step)를 수행한다. 또 다른 물리적 및/또는 화학적 처리 단계들이 또 다른 장치들 226 등에서 적용된다. 실제 디바이스를 만들기 위하여, 재료의 증착, 표면 재료 특성의 개질(modification)(산화, 도핑, 이온 주입 등), 화학적-기계적 폴리싱(CMP) 등과 같은 다양한 타입의 작업이 요구될 수 있다. 실제로, 장치 226은 하나 이상의 장치에서 수행되는 일련의 상이한 처리 단계들을 나타낼 수 있다.
잘 알려진 바와 같이, 반도체 디바이스들의 제조는 기판 상에 한층 한층 적절한 재료들 및 패턴들을 갖는 디바이스 구조체들을 축적(build up)하기 위해 이러한 처리의 수차례 반복을 수반한다. 따라서, 리소 클러스터에 도달한 기판들(230)은 새롭게 준비된 기판들일 수 있거나, 또는 이 클러스터에서 이전에 처리되었거나 또 다른 장치에서 완전히 처리된 기판들일 수 있다. 유사하게, 요구되는 처리에 따라, 장치 226를 떠난 기판들(232)은 동일한 리소 클러스터에서 후속 패터닝 작업을 위해 복귀될 수 있거나, 상이한 클러스터에서 패터닝 작업을 위해 예정(destine)될 수 있거나, 다이싱(dicing) 및 패키징으로 보내지도록 마무리된 제품들일 수 있다.
제품 구조체의 각 층은 상이한 세트의 공정 단계들을 요구하며, 각 층에 사용되는 장치들 226은 타입이 완전히 상이할 수 있다. 또한, 장치 226에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우라도, 대형 설비에서는 상이한 기판들 상에 단계 226을 수행하도록 병렬로(in parallel) 작동하는 동일한 것으로 추정되는(supposedly identical) 수 개의 기계들이 존재할 수 있다. 이러한 기계들 간의 셋-업 또는 폴트(fault)의 작은 차이는 이러한 차이가 상이한 방식들로 상이한 기판들에 영향을 줄 수 있음을 의미할 수 있다. 에칭(장치 222)과 같이 각 층에 비교적 공통적인 단계들조차, 공칭적으로 동일하지만 스루풋을 최대화하기 위해 병렬로 작동하는 수 개의 에칭 장치들에 의해 구현될 수 있다. 또한, 실제로, 에칭될 재료의 세부특성들 및 예를 들어 비등방성 에칭(anisotropic etching)과 같은 특수 요건들에 따라, 상이한 층들은 상이한 에칭 공정들, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
이전 및/또는 후속 공정들은 방금 언급된 바와 같이 다른 리소그래피 장치들에서 수행될 수 있으며, 심지어는 상이한 타입의 리소그래피 장치에서도 수행될 수 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터들에 매우 요구적인(demanding) 디바이스 제조 공정의 몇몇 층들은 덜 요구적인 다른 층들보다 더 고성능 리소그래피 툴에서 수행될 수 있다. 그러므로, 몇몇 층들은 침지형(immersion type) 리소그래피 툴에서 노광될 수 있는 한편, 다른 층들은 '건식' 툴에서 노광된다. 몇몇 층들은 DUV 파장에서 작동하는 툴에서 노광될 수 있는 한편, 다른 층들은 EUV 파장 방사선을 이용하여 노광된다.
또한, 도 2에는 제조 공정의 의도한 스테이지들에서 제품들의 파라미터들의 측정을 수행하기 위해 제공되는 메트롤로지 장치(240)가 도시된다. 현재의 리소그래피 생산 설비에서의 메트롤로지 스테이션의 일반적인 예시는 스캐터로미터(scatterometer), 예를 들어 각도-분해 스캐터로미터(angle-resolved scatterometer) 또는 분광 스캐터로미터(spectroscopic scatterometer)이며, 이는 장치 222에서의 에칭 이전에 현상된 기판들(220)의 특성들을 측정하기 위해 적용될 수 있다. 메트롤로지 장치(240)를 이용함으로써, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터들이 현상된 레지스트의 명시된 정확성 요건을 충족하지 않는지가 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고, 리소 클러스터를 통해 기판들(220)을 재처리할 수 있는 기회가 존재한다. 또한, 잘 알려진 바와 같이, 장치(240)로부터의 메트롤로지 결과들이 품질 제어를 위해 사용될 수 있다. 또한, 이 결과들은 공정 모니터링 시스템에 대한 입력값들로서 사용될 수도 있다. 이 시스템은 시간에 걸쳐 작은 조정을 수행함으로써 리소 클러스터에서 패터닝 작업들의 정확한 성능을 유지하도록 함에 따라, 제품들이 사양을 충족시키지 못하고(out-of-specification) 재-가공을 요구할 위험성을 최소화할 수 있다. 처리된 기판들(232, 234) 및 들어오는 기판들(230)의 특성들을 측정하기 위해, 메트롤로지 장치(240) 및/또는 다른 메트롤로지 장치들(도시되지 않음)이 적용될 수 있음은 물론이다.
이제, 리소그래피 생산 시스템들에서 불량한 성능(poor performance)의 소스를 분석하는 데 사용되는 툴들을 제공하기 위해, 도 2의 최상부에 예시된 바와 같은 진단 장치(250 및 252)의 제공이 개시된다. 또한, 설명되는 바와 같이, 공정 모니터링 시스템의 성능을 개선하기 위해, 또 다른 형태의 유사한 툴들이 사용될 수 있다.
각각의 진단 장치(250, 252)는 방금 설명된 제조 설비로부터 데이터를 수신하도록 연결된 컴퓨터 하드웨어와 소프트웨어의 조합에 의해 구현된다. 컴퓨터 하드웨어는 리소 툴 및 다른 장치와 동일한 설비에 위치될 수 있거나, 원격으로 위치될 수 있으며, 텔레커뮤니케이션 채널(telecommunications channel) 및/또는 이동식 스토리지(removable storage)에 의해 연결될 수 있다. 설명되는 바와 같이, 장치(250 및 252)는, 예를 들어 식별된 오차에 대하여 가능성 있는 원인(likely cause) 또는 잠재적인 원인들의 리스트를 식별하는 리포트(254)를 생성할 수 있다. 이는 장치들(200 내지 226) 중 하나 이상의 제어 시스템들에 적용될 수 있는 이미 주어진 보정(ready-made correction: 256)들을 생성할 수 있어, 향후 제품 유닛들의 처리 시 시스템의 성능을 개선할 수 있다. 보정들은 이러한 보정들이 개별 처리 이력에 따라 제품 유닛들에 선택적으로 적용되어야 함을 나타내는 컨텍스트 기준과 연계될 수 있다.
제 1 진단 장치(250)는 다변량 분석, 예를 들어 주성분 분석(principal component analysis: PCA)을 수행하여, 제품들 자체(이 경우 기판들)로부터 측정된 편차(deviation)들에 기여하는 다수의 성분 벡터들을 식별하도록 설계된다. 상이한 형태의 다변량 분석이 사용될 수 있으며, PCA 분석은 본 명세서에서 순전히 일 예시로서 언급된다. PCA의 특정한 예시적인 경우에서, 식별된 성분 벡터들은 측정 데이터의 공분산 행렬(covariance matrix)의 고유벡터(eigenvector)들이다. 성분 벡터들은 다차원 공간에서 직교한다. 또 다른 방법, 이른바 독립 성분 분석(Independent Component Analysis: ICA)에서는, 성분 벡터들 상으로의 측정 데이터의 투영(projection)이 가능한 한 독립적이다. ICA 기술은 모든 2차 및 고차 교차-상관관계(cross-correlation)를 제로로 남기는(leave) 한편, PCA 기술의 직교성은 2차 교차-상관관계가 제로가 되게 하지만, 제로가 아닌 고차 교차-상관관계를 남길 수 있다. 장치(250)는 이후 어떠한 제한도 두지 않고 편의를 위해 PCA 장치라고 칭해질 것이다.
PCA 장치(250)에 대한 입력은 객체 데이터, 즉 제품 유닛들 자체에서 측정된 데이터이다. 본 명세서에 예시되고 설명된 특정 실시예에서, 데이터베이스(260)에 저장된 객체 데이터는 특히 측정 스테이션(202)에서 정렬 센서(AS)를 이용하여 리소그래피 장치(200)에 의해 통상적으로 얻어지는 정렬 데이터를 포함한다. 기판의 X-Y 평면에서의 마크들의 위치들의 세부 측정들을 나타내는 이 데이터가 정상적인 패터닝 작동의 일부분으로서 고유하게 얻어짐에 따라, 제어 유닛(206)에게 객체 데이터 스토리지(260)에 데이터를 저장하도록 명령함으로써 페널티(penalty)가 거의 또는 전혀 발생되지 않는다. 다른 실시예들에서는, 리소 툴(200)에 의해 측정되는 정렬 데이터에 더하여 또는 이 대신에, 객체 데이터가 시스템 내의 어느 곳에서도 측정될 수 있다. 정렬 데이터에 추가적으로 또는 대안적으로, 객체 데이터는 레벨 센서(LS)를 이용하여 얻어진 높이 데이터, 정렬 센서들(AS)로부터의 "웨이퍼 품질" 신호 등을 포함할 수 있다. 또한, 스토리지(260) 내의 객체 데이터는 다른 측정 장치, 예를 들어 메트롤로지 장치(240)로부터 얻어질 수 있다. 이러한 방식으로, 객체 데이터는 오버레이, CD, 측벽 각도, 마크 비대칭, 레벨링 및 포커스와 같은 파라미터들의 측정들을 직접적으로 또는 간접적으로 포함할 수 있다. 더 아래에는, 도 2의 제조 설비에서 개선된 공정 모니터링 시스템을 구현하기 위해 이러한 객체 데이터가 사용될 수 있고 분석될 수 있는 일 실시예가 설명될 것이다. 또한, 이러한 파라미터들은 리소 툴(200) 내에서 자체적으로 장치에 의해 측정될 수 있는 것도 가능하다. 다수의 이전 공보들은 이에 대한 특수 마스크 및/또는 측정 기술들을 설명한다. 예를 들어, 정렬 센서들에 의해 상이한 파장들에서 얻어진 신호들을 이용하여 마크 비대칭에 관한 정보가 얻어질 수 있다.
제 2 진단 장치(252)는 PCA 장치에 의해 식별되는 성분 벡터들 및 개별 기판들과 연계된 성능 및/또는 컨텍스트 데이터 간의 상관관계를 확립하기 위해 근본 원인 분석(root cause analysis :RCA)을 수행하는 장치이다. 이 RCA 장치(252)는 PCA 장치(250)로부터의 다변량 분석의 결과들을 수신하도록 배치된다. 이후, RCA 장치(252)는, 어떤 컨텍스트 데이터 및 성능 데이터를 호출할 것인지에 관한 하나 이상의 아이템과, 객체 데이터에만 기초하여 관찰된 성분 벡터들을 상호관련시킨다. 또한, 이 컨텍스트 데이터는, 제품들 자체로부터 얻어지는 것이 아니라 개별 제품 유닛들(기판들) 또는 제품 유닛들의 뱃치(batch)들의 처리 이력의 전부 또는 일부분을 나타내는 데이터이기 때문에 "이력" 데이터로서 간주될 수 있다. 도면 전반에 걸쳐 화살표들(262)은 컨텍스트 데이터가 장치들 중 어느 장치로부터 어떻게 나올 수 있는지를 예시한다. 또한, 컨텍스트 데이터는 새로운 기판들(230)과 함께 도착할 수 있다. 예를 들어, 컨텍스트 데이터는 처리 단계들 중 어떤 타입이 적용되었는지, 어떤 개별 장치들이 이러한 단계들의 성능에 사용되었는지, 또한 이러한 장치들에 의해 어떤 파라미터들[예를 들어, 에칭 장치(222)에 있는 경우에는 온도 또는 압력의 설정치들, 또는 리소 툴(200)에서는 조명 모드, 정렬 레시피(alignment recipe) 등과 같은 파라미터들]이 적용되었는지를 기록할 수 있다. 컨텍스트 데이터는 RCA 장치(252)에 의한 사용을 위해 스토리지(264)에 저장된다.
또한, RCA 장치(252)는 성능 데이터로의 접근을 갖고, 이 성능 데이터는 예를 들어 오버레이 또는 CD의 측정들을 포함할 수 있으며, 이는 스토리지(266)에 저장된다. 도 2는 객체 데이터, 컨텍스트 데이터 및 성능 데이터의 각각에 대해 별도의 스토리지(260, 264, 266)를 나타내지만, 이러한 상이한 타입의 데이터가 하나의 공통 스토리지 유닛에 저장될 수 있거나, 더 많은 수의 스토리지 유닛들 상으로 분배될 수 있으며, 이로부터 요구 시 데이터의 특정 아이템들이 검색(retrieve)될 수 있음을 이해할 것이다. 또한, 컨텍스트 데이터(262)는 각각의 개별 장치(222, 224) 등으로부터 발생하는 것으로 나타나 있지만, 이 데이터는 전체로서 제조 플랜트의 작동을 제어하는 중앙 제어 시스템을 통해 수집될 수 있다.
객체 데이터 스토리지 내의 각각의 기록은 고유 식별자로 표시된다. 개별 웨이퍼는 제조 공정 동안 동일한 리소 툴을 통해 반복적으로 통과할 수 있거나, 동일한 마크들을 모두 측정하는 상이한 툴들을 통과할 수 있으며, 제조 공정의 상이한 스테이지들에서 동일한 제품 유닛에 대해 객체 데이터를 수집할 수 있음을 유의한다. 측정의 이러한 인스턴스(instance)들의 각각은 독립적인 제품 유닛으로서 분석에 처리될 수 있다. 하지만, 복잡한 제조 공정의 상이한 스테이지들에서 측정되는 동일한 웨이퍼의 다수의 인스턴스가 존재하는 경우, 객체는 개별 웨이퍼뿐만 아니라 웨이퍼가 측정된 처리 스테이지도 고유하게 식별하는 식별자를 포함할 것이다. 통상적으로, 리소그래피 공정에서, 동일한 웨이퍼의 상이한 인스턴스들은 디바이스 구조체의 연속 층들을 패터닝하는 것과 연계될 것이다. 객체 데이터에서 이러한 인스턴스들 간의 관계의 지식은 RCA 장치(252)에서 진단 목적을 위해 컨텍스트 데이터로서 사용될 수 있다.
제 1 진단 장치(250)에서의 다변량 분석이 컨텍스트 데이터와 독립적으로 수행될 수 있음에 따라, 그 장치는 컨텍스트 데이터의 완전성(completeness) 또는 여하한의 특정한 형태의 적용성(availability)과 독립적으로 작동할 수 있다. 하지만, 예를 들어 다변량 분석의 결과들이 디스플레이될 때에만 제품 유닛들을 구별하기 위해서기보다는, 다변량 분석을 위한 데이터에 포함하기 위해서 제품 유닛들의 특정 서브세트들을 선택하기 위해, 요구된다면 제 1 진단 장치에 의해 컨텍스트 데이터가 사용될 수도 있다. 예시들로서, 리소 툴이 하나보다 많은 "척" 또는 기판 테이블(도 1의 WTa, WTb)을 갖는 경우에는, 소위 "척-대-척(chuck-to-chuck)" 비교가 중요할 수 있다. PCA 장치가 컨텍스트 데이터의 이 부분으로 접근을 갖는 경우, PCA 장치(250)는 상이한 척들 상에서 처리된 제품 유닛들을 선택할 수 있고, 별개로 분석할 수 있다. 다변량 분석은 제품 유닛들의 서브세트들을 완전히 별개로 다루지 않고 이러한 제품 유닛들의 서브세트들을 구별하도록 수행될 수 있다. "PCA의 혼합"으로 알려진 방법과 같은 방법들은 데이터세트들을 명시적으로 구분하지 않고 분석이 객체 데이터 내의 특정 클러스터들에 대해 수행되게 한다. 대신, (측정된 특정 제품 유닛에 대응하는) 특정 벡터가 특정 클러스터에 속할 우도(likelihood)로, 클러스터마다 상이한 분석들이 가중(weight)된다.
일반적으로, 다변량 분석은 컨텍스트 데이터를 기준으로 하여 적어도 부분적으로 벡터들의 서브세트들을 구별하는 방식으로 수행될 수 있다. 컨텍스트 데이터는 척-대-척 비교에서와 같이 특정 장치 또는 제품 유닛들의 처리와 관련된 장치의 일부분을 식별할 수 있다. 컨텍스트 데이터는, 예를 들어 층-대-층 비교에서와 같이 벡터들로 나타내어진 측정들이 얻어진 산업 공정의 스테이지(단계)를 식별할 수 있다.
다변량 분석의 결과에서 패턴들을 인식하기 위해 사용될 수 있는 라이브러리 데이터에 대해 스토리지(268)가 제공된다. 특히, 특정 핑거프린트 타입들이 공정을 잘 아는 전문가들에 의해 인식될 수 있고, 이러한 인식은 이와 함께 가능성 있는 원인들 및 효과들에 대한 특정 지식을 가져온다는 것이 이미 언급되었다. 라이브러리 데이터는 객체 데이터로부터 식별된 벡터들과 매칭될 수 있는 기준 벡터들의 모음(collection)을 저장할 수 있다. 일단, 기준 벡터가 매칭되었으면, 이와 연계하여 저장된 추가 정보가 스토리지로부터 검색될 수 있으며, PCA 장치(250) 및/또는 RCA 장치(252)의 추가 작동을 안내하는 데 사용될 수 있다. 예를 들어, 라이브러리에 "스월(swirl)" 핑거프린트로 저장된 데이터는 이름 라벨에 "스월"을 포함할 수 있으며, 영향을 받는(affected) 제품 유닛들에 대한 컨텍스트 데이터에서 이 핑거프린트와 어닐링 작업들 간의 상관관계를 찾는 데 힌트를 준다.
객체 데이터 예시: 리소 툴 정렬 데이터
앞서 설명된 바와 같이, 본 명세서에 개시된 진단 방법 및 장치는 객체 데이터를 이용하며, 이 데이터는 각각의 제품 유닛에 걸쳐 공간적으로 분포되는 지점들로부터 측정된 데이터이다. 제품 유닛들이 반도체 기판들(웨이퍼들)인 리소그래피 생산 설비의 예시에서, 종합적인 객체 데이터 중 특히 관심 있는 소스는 각각의 웨이퍼 및 웨이퍼 위에 이미 증착된 패턴들을 특성화하기 위해 리소 툴에서 수행되는 측정들의 세트이다. 이러한 측정들은 정렬 모델들에 대한 파라미터들을 얻는 데 사용되며, 이들은 이미 존재하는 피처들에 대해 적용된 패턴들의 위치설정을 정확히 제어하기 위해 새로운 패터닝 단계에서 사용된다.
표준 정렬 모델들은 6 개의 파라미터(실제적으로는 X 및 Y 방향당 3 개)를 가지며, 추가로 더 고성능의 정렬 모델들이 존재한다. 한편, 현재 사용되고 개발 중인 가장 요구적인 공정들에 대해 의도한 오버레이 성능을 달성하기 위해서는 웨이퍼 그리드의 더 세부적인 보정을 필요로 한다. 표준 모델들은 10 개 미만의 파라미터를 이용할 수 있는 한편, 고성능 정렬 모델들은 통상적으로 15 개 이상, 또는 30 개 이상의 파라미터를 이용한다. 고성능 모델들의 예시들은 고차 웨이퍼 정렬(higher order wafer alignment: HOWA) 모델들, 구역-정렬(zone-alignment: ZA) 및 RBF(radial basis function) 기반 정렬 모델들이다. HOWA는 2차, 3차 및 고차 다항 함수들에 기초하여 발표된 기술이다. 구역 정렬은, 예를 들어 Huang 외, "Overlay improvement by zone alignment strategy", Proc. SPIE 6922, 69221G(2008)에 개시되어 있다. RBF 모델링은 공개된 특허 출원 US 2012/0218533에 개시되어 있다. 이 고성능 모델들의 상이한 버전 및 확장판들이 고안될 수 있다. 고성능 모델들은 타겟 층의 노광 시, 보정되는 웨이퍼 그리드의 복잡한 디스크립션(complex description)을 생성한다. HOWA의 최신 버전 및 RBF는 수십 개의 파라미터에 기초하여 특히 복잡한 디스크립션들을 제공한다. 이는 충분히 세부적인 웨이퍼 그리드를 얻기 위해 매우 많은 측정이 요구됨을 암시한다. 도 3 및 도 4는 웨이퍼(기판)(W) 상의 이전 층의 정렬 마크들(타겟들)(400) 상에서 정렬 센서(AL)에 의해 측정된 바와 같은 웨이퍼 그리드 왜곡을 보정하기 위해 사용될 수 있는 정렬 정보의 형태를 예시한다. 각각의 타겟은 축 X 및 Y를 갖는 규칙적인 직사각형 그리드(402)에 대해 통상적으로 정의된 공칭 위치를 갖는다. 정렬 마크들은 기판의 디바이스 영역들 내에 제공될 수 있고, 및/또는 디바이스 영역들 사이의 소위 "스크라이브-레인" 영역들에 제공될 수 있다.
도 4에 예시된 바와 같이, 모든 타겟들의 측정된 위치들(404)은 이 특정 웨이퍼에 대한 왜곡된 웨이퍼 그리드(406)의 모델을 설정하기 위해 수치적으로(numerically) 처리될 수 있다. 이 정렬 모델은 기판에 적용된 패턴들의 위치를 제어하기 위해 패터닝 작업에 사용된다. 나타낸 예시에서, 공칭 그리드의 직선들은 곡선들이 되었으며, 고차(고성능) 정렬 모델의 사용을 나타낸다. 나타낸 왜곡들은 실제 상황에 비해 과장되어 있음은 말할 필요도 없다. 정렬은 노광된 각 웨이퍼의 편차들(왜곡들)을 보정할 수 있는 보정 메커니즘이기 때문에, 리소그래피 공정의 고유한 부분이다. 정렬은 이전 층에 형성된 정렬 타겟들의 위치들을 측정한다. 본 발명자들은 정렬 데이터(및 레벨 센서 데이터와 같은 관련 데이터)가 항상 수집되고 항상 이용가능함을 알게 되었다. 근본 원인 분석에 사용하기 위한 리소스로서 이 데이터를 이용하는 방식을 찾아냄으로써, 본 명세서에 설명된 방법들 및 장치들은 이러한 분석의 실용성을 크게 증대시킨다.
제 1 진단 장치 - 배경
도 5는 리소 툴(200)의 측정 스테이션(202)에서 기판에 걸쳐 측정될 수 있는 정렬 데이터의 매우 단순한 예시를 500으로 나타낸다. 각각의 작은 화살표는 기판의 특정 마크에 대하여, 공칭 위치에 대해, 정렬 센서(AS)에 의해 측정된 마크 위치의 위치 및 크기를 나타낸다. 웨이퍼에 걸쳐 공간적으로 분포되는 지점들에서 측정된 위치 편차들의 수집은 하나의 특정 제품 유닛에 대한 객체 데이터의 일 예시이다. 모든 제품 유닛들은 마크들 및 측정들의 동일한 공간 분포를 갖지만, 실제 편차들은 일반적으로 각각의 웨이퍼에 대해 고유하다. 제품 유닛들의 모집단(population)에 걸친 객체 데이터(웨이퍼 측정들)의 분석은 데이터에 숨겨져 있을 수 있는 다양한 "핑거프린트"를 나타내도록 수행될 수 있다. 처리된 기판의 상이한 생성 단계들 중 어느 단계든 기판에 걸친 위치 오차들의 분포에 그 자신의 핑거프린트를 기여할 수 있음이 알려져 있다. 510에는, 4 개의 예시적인 기여가 나타나 있다. 기록 오차로 알려진 첫 번째 기여는 패터닝 디바이스(레티클)(MA)의 오차들로부터 나온다. 또한, 기록 오차는 레티클에 의해 유도된 왜곡들을 포함할 수 있다. 오차의 또 다른 기여는 리소 툴(LA)의 작동에 기인한다. 이 예시에서, 편차들은 작고, 교번 필드(alternating field)에서 교번하며, 통상적인 "스캔 업, 스캔 타운(scan up, scan down: SUSD)" 오차 분포를 나타낸다. 리소그래피에서 "기계 핑거프린트"라고도 언급될 수 있는 이러한 오차들은 기판에 걸쳐 비교적 높은 공간 주파수로 분포된다.
웨이퍼 측정에 대한 또 다른 기여는 리소 툴 외부에서 수행되는 처리 단계들로부터 발생하는 소위 "공정 핑거프린트"이다. 도 3에 예시된 세 번째 기여는 에칭 장치(222)에서 제품이 겪는 왜곡의 전형적인 패턴이다. 오차들은 일반적으로 반경방향으로(radially) 정렬되고, 기판의 주변 쪽에서 더 크다. 이러한 타입의 패턴은 "솜브레로(sombrero)" 패턴과 흡사하다. 도 3에 예시된 네 번째 타입의 핑거프린트는 소위 "이중 스월(dual swirl)" 패턴으로, 이는 예를 들어 장치(224)의 열 어닐링 단계에서 부과될 수 있다. 기판이 이러한 상이한 단계들을 거쳤고, 후속하여 리소 툴 측정 스테이션(202)에 또는 여하한의 메트롤로지 장치에 재-로딩(reload)되고 측정되는 경우, 이러한 모든 상이한 핑거프린트들의 조합인 위치 오차들의 복잡한 패턴이 500에 나타낸 바와 같이 관찰될 수 있다. 실제 제품은 상이한 장치들 및 상이한 타입들의 장치들에서 다수의 패터닝 및 처리 사이클을 포함하여 수십의 공정 단계를 거칠 수 있어, 어떤 개별 장치들인지는 고사하고, 어떤 타입의 장치가 마무리된 제품에 존재하는 오차들에 기여했는지를 아는 것도 매우 어렵게 됨을 유념한다. 이러한 추가 처리 사이클들의 기여들은 512, 514 등에 개략적으로 예시된다. 또한, 이러한 특징적 핑거프린트들 중 하나가 객체 데이터에 강하게 존재한다는 사실이 반드시 강한 오차 소스를 나타내지는 않는다. 예를 들어, 동일한 툴 또는 유사한 핑거프린트를 갖는 툴이 후속 층들을 패터닝하는 데 사용되는 경우, 리소 툴 SUSD 핑거프린트는 오버레이 오차에 어떠한 기여를 하지 않아도 강하게 존재할 수 있다.
PCA 장치(250)는 자동화 방식으로 유용한 정보를 추출하기 위해 다수의 개별 제품 유닛들(웨이퍼들)에 대해 저장된 이러한 객체 데이터의 적용성을 이용한다. 성분 벡터들이 식별되며, 각각의 성분 벡터는 객체 데이터에 존재하는 핑거프린트들의 하나에 대응한다. PCA 장치의 작동은 컨텍스트 데이터 또는 심지어 성능 데이터의 적용성에 의존하지 않는다. RCA 장치(252)는 본 발명에 따른 선택이지만, 컨텍스트 데이터가 이용가능하다면, PCA 분석 결과들과 함께 컨텍스트 데이터를 분석하여, 공정 개선에 의심스러운(suspect) 장치들 및 기회들을 더 식별하는 데 사용될 수 있다. RCA 장치의 작동은 자동 및 수동 단계들의 혼합에 의해 이루어질 수 있다.
도 6은 리소 툴(200)에 의한 패터닝 작업의 수행(performance) 시 도 2의 실시예에서의 객체 데이터의 수집을 예시한다. 이미 설명된 바와 같이, 리소 툴(200)의 측정 스테이션(202)은 정렬 센서들(AS)을 이용하여 기판(W)에 걸쳐 공간적으로 분포된 개별 마크들의 위치 편차들(404)을 측정한다. 도 4 및 도 5를 참조하여 앞서 설명된 바와 같이, 리소그래피에 사용되는 정렬 모델들은 저차 또는 고차(고성능) 타입으로 구성될 수 있다. 본 예시에서는, 더 고차의 보정 모듈(602)이 앞서 언급된 HOWA 방법에 따라 정렬 모델(406)을 계산한다. 이 정렬 모델은 노광 스테이션(204)에서 기판에 패턴을 적용하기 위해 사용된다. PCA 장치(250)를 위해, 정렬 센서들에 의해 측정된 바와 같은 편차들(404)이라기보다는 잔여 데이터(residual data)를 이용할 것을 제안한다. 이는, 현재의 고-성능 리소그래피 장치에서는 측정된 편차의 대부분이 정렬 모델에 의해 보상될 것이기 때문이다. 그러므로, 성능 개선 및 진단 방법들은 모델에 의해 보정되지 않은 채로 유지되는 작은 편차들을 검출하고 제거하는 것에 집중한다. 그러므로, 한 가지 선택은 객체 데이터로서 HOWA 모델에 의해 보정되지 않은 잔여 편차들을 이용하는 것일 수 있다. 하지만, 본 예시에서는 설계자들이 상이한 선택을 하였다.
본 실시예에서는, 저차 보정만의 감산(subtraction) 후에 잔차들을 이용하도록 선택되어, 비록 고차 편차들의 일부가 리소 툴의 작업에서 HOWA 모델에 의해 보상될 수 있더라도, 그럼에도 고차 편차들이 객체 데이터에 드러나게 된다. 잔차들에 고차 편차들을 남기면, 결과적인 성분 벡터들의 진단 해석을 가능하게 할 수 있다. HOWA 모델은 저차 및 고차 편차들을 동시에 보정한다. 본 실시예에서 잔여부들의 계산에 접근가능한 저차 보정을 수행하기 위해, 통상적인 6-파라미터(6 PAR) 모델(402')이 유닛(604)에 의해 별개로 계산된다. 유닛(604)을 계산하는 6 PAR 계산 유닛(604)은 리소 툴 관리 소프트웨어의 일부분으로서 이미 제공될 수 있거나, 진단 장치의 일부분으로서 특수하게 제공될 수 있다. 저차 모델(402')은 측정된 편차들(404)로부터 감산되어 잔여 편차들(404')을 얻는다. 이러한 잔여 편차들(404')은 PCA 장치(250)에 사용하기 위한 객체 데이터로서 수집된다. 상이한 고차 모델 또는 고차가 없는 모델을 이용하는 실시예들에서, 6PAR 계산 유닛(604)이 이미 제공될 수 있으며, 잔차들(404')이 이미 계산될 수 있다. 예를 들어, 앞서 언급된 종래 기술에 개시된 RBF 모델은, 저차 편차들이 6PAR 모델과 같은 저차 모델에 의해 보정된 후, 일반적으로 고차 편차들만을 보정하기 위해 적용된다.
예를 들어, 레벨 센서(LS) 데이터가 사용되는 다른 실시예들에서는, 가장 관심 있는 핑거프린트들이 어떤 것인지에 따라, 일부 보정 모델의 감산 후에 편차들이 객체 데이터로서 다시 사용될 수 있다. 리소그래피 이외의 적용들에서는, 어떤 것이 분석을 기반으로 하는지에 따라 객체 데이터의 최적의 형태를 결정하기 위해 유사한 고려사항들이 적용될 수 있다.
핑거프린트 분해
도 7 내지 도 9는 예시적인 실시예에서 제 1 진단 장치에 의해 수행되는 분석 단계들을 예시한다. 도 7(a)에서는, 제 1 기판 W(1) 상의 잔여 편차들을 벡터 AL(1)로서 표현한다. 측정된 각각의 편차는 x 및 y 성분들을 갖는다. 각각의 웨이퍼는 측정될 n 개의 정렬 마크를 갖는다(또는 적어도 이 분석을 위해, n 개의 마크들에 대한 잔여 편차들이 객체 데이터에 수집된다)고 가정한다. 웨이퍼 번호 1 상의 제 1 마크에 대한 x 편차는 x1,1로 표시되는 한편, 제 1 기판 상의 n-번째 마크에 대한 x 편차는 x1,n으로 표시된다. 벡터 AL(1)은 제 1 기판 상의 마크들에 대한 모든 x 및 y 값들을 포함한다. 유사하게, 도 7(b)에 나타낸 바와 같이, 제 2 웨이퍼 W(2)에 대한 잔여 편차들은 벡터 AL(2)로서 저장된다. 이 벡터의 성분들은 제 2 웨이퍼 상에서 측정된 바와 같은 n 개의 마크들에 대한 잔여 편차들이며, 표시 x2,1 내지 x2,n 및 y2,1 내지 y2,n을 갖는다. 대안적인 구현에서, 데이터는 마크 위치마다 벡터로 구조화(organize)될 수 있으며, 다시 말해 벡터 X(1)은 모든 웨이퍼들에 대해 첫 번째 x 값을 포함할 것이고, 웨이퍼 X(2)는 모든 웨이퍼들에 대해 두 번째 x 값을 포함할 것이다. 대안적인 구현은 더 아래에서 별도의 섹션에 설명될 것이다.
도 7(c)는 각각의 제품 유닛(웨이퍼) W(1), W(2) 등의 "위치"가 어떻게 벡터들 AL(1), AL(2) 등에 존재하는 요소들의 수만큼의 디멘션(dimension)을 갖는 다차원 공간에 플롯(plot)될 수 있는지를 예시한다. 평면도로 다차원 공간을 나타내는 한계를 감안하여, 도 7(c)는 처음 3 개의 디멘션들, 즉 각 기판 상의 처음 3 개의 마크들에 대한 x 방향으로의 잔여 편차들만을 나타낸다.
이제, 도 8(a)를 참조하면, m 개의 웨이퍼들의 풀 세트에 대한 잔여 편차들을 포함하는 벡터들이 어떻게 단일 행렬 AL(1-m)로 어셈블링(assemble)될 수 있는지가 나타나 있다. 이 행렬의 디멘션들은 웨이퍼당 측정된 마크들의 개수 n 및 분석에 포함되는 웨이퍼들의 개수 m에 따라 수백 개의 행과 수백 개의 열일 수 있음을 이해할 것이다.
또한, 도 8(b)은 각각의 제품 유닛 W(i)이 어떻게 다차원 공간에서 벡터 AL(i)에 의해 정의된 점에 의해 나타내어질 수 있는지를 나타낸다. 이는, 각각의 웨이퍼의 벡터 AL(i)의 대응 요소들이 제품 유닛들(웨이퍼들) 상의 측정 지점들(정렬 마크들)의 공간 분포의 동일한 위치에 대응하기 때문에 가능하다. 이상적인 생산 상황에서는, 모든 웨이퍼들이 본질적으로 어떤 마크에서도 0의 위치 편차를 갖고 원점 O에 위치될 것이다. 실제 상황에서는, 이들이 원점 O를 벗어나 분포되더라도, 하지만 다차원 공간 전반에 무작위로 분포되지는 않는다. 그보다는, 도 8(b)에 개략적으로 나타낸 바와 같이, 개별 벡터들 AL(i)의 끝단을 나타내는 점들은 통상적인 분포에서 어느 정도의 가간섭성(coherence)으로, 예를 들어 인식가능한 다수의 클러스터로, 또한 하나 이상의 "이상치(outlier)"로 분포될 것이다. 반면, 이러한 클러스터들은 도 8(b)의 개략적인 3-차원 플롯에서는 가시적이지만, 수백- 또는 그 이상의 차원 공간에 묻히면 쉽게 식별할 수 없다.
도 9는 제 1 진단 장치인 개시된 장치의 PCA 장치(250)가 벡터들의 다차원 분포를, 핑거프린트들이라고도 칭해지는 성분 벡터들로 어떻게 분해하는 지에 관해 단계들 (a) 및 (b)로 나타낸다. 이를 위해, 객체 데이터에 나타내어진 대량의 데이터로부터 성분 벡터들의 세트를 추출하기 위해 개별적으로든 또는 조합하여든 적용될 수 있는 다변량 통계에 다양한 기술이 알려져 있다.
도 9(a)에 예시된 단계에서, 객체 데이터에 나타내어진 모든 벡터들 AL(1) 내지 AL(m)의 평균을 나타내는 평균 벡터 AL(avg)이 계산된다. 도 9(b)에서는, 원래 다차원 공간에 표현된 벡터들의 각각으로부터 평균 벡터를 감산함으로써 다차원 공간의 원점이 시프트된다. 이는 도 9b에서 x 대신 x'로 축들을 재표시함으로써 나타내어질 수 있다. 원점 O의 새로운 위치 O'로의 이 시프팅은, 벡터들이 저장된 경우에 개별 벡터들로부터 평균 벡터를 실제적으로 감산함으로써 또는 값들이 계산에 이용될 때 오프셋(offset)을 적용함으로써 구현될 수 있는 수학적 단계임을 이해할 것이다. 이 값들은, 요구된다면, 임의의 스케일(arbitrary scale)로 정규화(normalize)될 수 있다.
단계 (b) 이후의 결과는 디멘션 2n x m의 측정 행렬 AL(1-m)이며, 이 측정 행렬에서 열들은 새로운 원점 O'에 대한 개별 벡터들이다. PCA 장치는 이 행렬로부터 데이터 공분산 행렬을 연산한다. 종래의 표기(notation)를 이용하면, 공분산 행렬은 Σ로 나타내어지고, 디멘션 2n x 2n을 가지며, 다음의 엔트리(entry)들을 갖는다:
Figure 112016041816270-pct00001
여기서, Σi,j는 행렬의 행 i, 열 j의 엔트리이고, α iα j는 측정 행렬의 엔트리들의 i번째 및 j번째 행이며(예를 들어, α 1=[x1, 1...x1,m], α 2n=[yn,1... yn,m]), E(α ii)는 이러한 엔트리들의 평균에 대한 α i의 엔트리들의 기대치(expectation)이고, E(α jj)는 이러한 엔트리들의 평균에 대한 α j의 엔트리들의 기대치이다. (측정 행렬의 값들이 도 9에 나타낸 바와 같이 평균에 대해 이미 조정된 경우, 항 E(α ii) 및 E(α jj)는 E(α i) 및 E(α j)로 단순화될 수 있음을 유의한다.) 콤팩트한(compact) 행렬 표기를 이용하면, 공분산 행렬은 다음과 같이 표현될 수 있으며:
Figure 112016041816270-pct00002
위첨자 T는 전치 연산자(transpose operator)를 나타낸다. 공분산 행렬에 대한 대안으로서, 상관 행렬(correlation matrix)이 계산될 수 있다. 그 차이는 단순히 상관 값들이 0(상관되지 않음)에서 1(완전히 상관됨) 사이의 범위로 정규화되었다는 점이다.
이후, PCA 장치는 공분산 행렬의 고유벡터 상으로 데이터를 투영하기 위해 상이한 타겟들 또는 마크들의 정렬 오차 간의 공간 상관관계를 이용한다. 이러한 고유벡터들은 도입부 및 청구항들에 언급된 성분 벡터들의 일 예시이다. 이러한 고유벡터들은 각각의 웨이퍼의 전체 핑거프린트에 기여하는 웨이퍼-대-웨이퍼 핑거프린트를 나타내는 것으로 해석될 수 있다. 주성분 분석 또는 PCA는 알려진 한 가지 분해 기술이며, 이 기술에 의해 성분 벡터들로의 분해가 수행될 수 있다. 또한, 몇몇 다른 형태의 분해가 사용을 위해 관심을 가질 수 있으며, 이후에 언급될 것이다. 본 설명에서 주성분 벡터 및 PCA 분석에 관한 언급은 컨텍스트가 달리 요구하지 않는 한 이러한 다양한 대안적인 분해 기술들을 포괄하는 것으로 폭넓게 이해되어야 한다.
도 9(c)는 도 8(a)에 예시된 공분산 행렬의 PCA 분석에 의해 발견된 제 1 성분 벡터 PC1을 예시한다. 이 벡터 PC1은 계산들로부터 생기며, 다차원 공간에서 측정된 지점들의 대부분의 분산(variance)을 캡처하는 단일 벡터로서 정성적으로(qualitatively) 이해될 수 있다. 다시 말해, 측정된 각각의 벡터는 스칼라 계수(scalar coefficient)와 제 1 성분 벡터의 곱으로 (매우 근사적으로) 나타내어질 수 있다. 본 경우에서는, 측정된 벡터들의 대부분이 2 개의 클러스터(900 및 904)에 속하기 때문에, 성분 벡터 PC1의 방향은 이러한 2 개의 클러스터를 통과하도록 놀랄 것도 없이(unsurprisingly) 정렬되는 한편, 또한 더 작은 클러스터(902) 및 이상치(906)에 영향을 받는다. 도 9(d)에 예시된 바와 같이, 다음 성분 벡터(PC2)가 정의된다. 각각의 성분 벡터 PC1, PC2 등은, 이미 식별된 벡터 또는 벡터들에 대해(in terms of) 나타내어진 근사값을 각 지점으로부터 감산한 후, 객체 데이터에서 지점들의 분포의 대부분의 분산을 캡처하는 것으로서 정의된다. PCA 공정에서의 성분 벡터들은 908로 나타낸 바와 같이 직교한다. 이 공정은 충분한 수의 성분 벡터들이 식별될 때까지 계속되지만, 측정될 것이 무엇이든 장치의 설계자 및 작업자에 의해 설정된다. 이러한 성분 벡터들의 발견은 차례로 각각의 성분 벡터를 찾고 감산하는 반복 공정으로서 설명되었지만, 실제 구현들에 대해서는 병렬 및 순차 방법 둘 모두가 이용가능함을 유의한다.
다차원 공간의 지점들이 완전히 무작위로(at random) 분포된 경우, 충분한 정확성으로 분포를 설명하는 데 요구되는 성분 벡터들의 수는 각각의 측정 벡터에서의 요소들의 수인 2n보다 거의 적지 않을 것이다. 하지만, 실제 데이터 세트에서는 웨이퍼에 걸쳐 분포된 편차들 또는 다른 측정들에 고도의 공간 가간섭성(spatial coherence)이 존재한다. 그러므로, 엔트리들 간에 상당한 상관관계가 예상되며, 비교적 적은 수의 성분 벡터들의 조합으로서 분포가 매우 잘 설명될 수 있음이 발견된다. 그러므로, 성분 벡터들을 찾는 이 공정에 대한 또 다른 용어는 차원 축소(dimensionality reduction)이다. 또한, 이러한 성분 벡터들은 제조 공정에서 물리적 효과들을 갖는 꽤 직접적인 관계를 가짐에 따라, 문제들의 원인을 찾는 비결을 제공할 것으로 예상될 수 있다. 도 9에 개략적으로 예시된 분해에 기초하여, 제 1 진단 장치(250)는 성분 벡터들의 세트를 전달할 수 있으며, 그 위로 각각의 제품 유닛의 측정된 벡터가 분석을 위해 투영될 수 있다.
다변량 분석에서 객체 데이터로서 사용되는 측정들은 이용가능하고 취해진 측정들의 서브세트만일 수 있음을 유의하여야 한다. 특정 측정들만을 선택하는 다양한 이유가 존재한다. 한가지 이유는 단순하게는 요구되는 데이터 처리의 양을 감소시키는 것이다. 더 구체적으로, 전체 웨이퍼에 걸쳐 패턴들을 분석하기 원하는 경우, 전체 웨이퍼를 덮는, 필드["필드"는 도 1의 타겟부(C)에 대한 용어임]당 하나의 마크인, 마크들의 인터필드 서브세트(interfield subset)로 분석을 제한할 수 있다. 반대로, 인트라필드 패턴(intrafield pattern)들을 분석하기 위해서는, 측정들의 서브세트가 오직 수 개의 필드부터로 취해진, 하지만 각각의 필드에 걸쳐 분포된 수 개의 마크들을 갖는 것들일 수 있다. 또 다른 예시는 하나의 제품 타입에서 하나의 층에 특정적으로 관련된 서브세트일 것이며; 다른 서브세트들은 다른 층들 및 다른 제품들에 관련된다. 다변량 분석은 데이터베이스가 각각의 리소 단계에 관련되도록 각각의 서브세트 상에서 별개로 행해질 수 있다.
평균 벡터를 감산한 후에 다변량 분석을 수행하는 것은 단지 하나의 가능한 구현임을 유의하여야 한다. 또한, 공분산 행렬을 이용하여 다변량 분석을 수행하는 것도 단지 하나의 가능한 구현이다. 일반적으로는 다변량 분석 및 PCA 기술의 분야에 알려진 바와 같이, 다른 선택들이 가능하다.
또한, 모든 x 및 y 마크 위치들을 하나의 벡터로 조합하는 결정은 단지 하나의 설계 옵션이다. 바람직하다면, 상이한 좌표 x 및 y가 전체적으로 부분적으로 처리될 수 있다.
도 10은 성분 벡터 축들의 다양한 축들 상으로의 투영들이 어떻게 관심 제품 유닛들을 식별하기 위해 사용될 수 있는지를 예시한다. 도 10(a)는 제 1 성분 벡터 PC1에 의해 나타내어진 축 상으로의 투영을 예시한다. 다차원 공간에서 각각의 제품 유닛의 벡터 AL(i)가 어떻게 단일-차원 값, 즉 계수 c(PC1)으로 감소되는지 알 수 있다. 도 9의 3 개의 차원에 나타내어진 분포들과 대략적으로 비교하면, 클러스터들(900 내지 904) 그리고 이상치(906)가 인식가능하다. 이 분포에 통계적 임계값을 적용하면, 점 906과 같은 이상치들이 식별될 수 있다. 예를 들어, 도면에서 910은 데이터에 피팅된(fitted) 가우시안 분포 곡선(Gaussian distribution curve)을 나타내며, 그 평균은 계수 c(PC1)의 평균 값에 중심잡힌다. 912, 914에 나타낸 바와 같이, 통계적 유의성 임계값(statistical significance threshold)이 확립될 수 있다. 점 906 및 점 916은 이러한 임계값들 외부에 있으며, 관심 대상으로서 식별된다.
관심 대상으로서 식별된 점들은, 다른 점들에 사용된 오픈 서클(open circle)과 대조적으로, 이 도면 및 후속 도면들에서 검은 색으로 구별될 것이다. 여기에 사용되는 오픈 및 클로즈드 서클(closed circle)들은 매우 단순한 예시를 제시하기 위한 것이며, 이는 특허 도면의 요건들과 양립가능하다(compatible). 실제 실시예에서의 PCA 장치(250) 및 RCA 장치(252)의 사용자 인터페이스에서, 웨이퍼들의 다수의 상이한 서브세트들을 구별하기 위해, 유사한 마킹, 그리고 플래그, 컬러 코딩, 상이한 형상 등이 사용될 수 있다. 또한, 본 설명을 위해 플롯된 각각의 점이 (반도체 웨이퍼와 같은) 개별 제품 유닛을 나타낸다고 가정하지만, 이러한 장치는 다수의 제품 유닛으로부터의 데이터가 단일 점으로 응집(aggregate)되고 플롯되게 할 수도 있다. 일 예시로서, 각각의 로트(lot)(생산 뱃치) 내의 웨이퍼들에 대한 데이터가 그 로트를 나타내는 단일 지점으로 평균내어지고 플롯될 수 있다. 이는, 모든 개별 제품 유닛들의 플롯팅이 너무 많이 채워지는 경우에, 로트-대-로트 변동의 시각화 및 분석을 가능하게 할 수 있다. 장치의 사용자 인터페이스는 사용자가 상이한 타입의 응집을 스위칭 온(switch on) 또는 오프(off)하도록 단순한 제어를 제공할 수 있다. 응집은 원칙적으로 다변량 분석을 수행하기 전에 수행될 수 있지만, 이는 범주 밖의(outlying) 개별 제품 유닛들과 관련된 "묻힌(burying)" 정보의 희생을 가져올 것이다.
유사하게, 도 10(b)는, 다변량 분석에 의해 식별되는 제 2 성분 벡터 PC2에 의해 정의된 제 2 축 상으로 투영될 때, 점들의 동일한 모집단의 분포를 나타낸다. 이 도면에서는, 클러스터들(900 내지 904) 그리고 이상치(906) 모두가 피팅된 가우시안 곡선(924)에 의해 정의된 임계값들(920, 922) 내부에서 중심 영역에 놓여 있다. 점 916은 임계값들 외부에 놓여 있으며, 따라서 관심 대상으로서 플래그된다. 하지만, 이 투영 시, 점 906은 임계값들 외부에 놓여 있지 않다. 이는 분석의 또 다른 단계로부터 "관심"의 지정을 옮김으로써 또는 수동으로 관심 대상으로서 플래그될 수 있지만, 이는 도 10(b)에 예시된 성분 벡터 PC2 상에서의 통계적 분석에 의해 자동으로 플래그되지 않을 것이다. 이러한 통계적 계산들은 PCA 장치(250) 및/또는 RCA 장치(252) 내에서 자동화될 수 있지만, 일정 정도의(a degree of) 수동 선택/선택해제(de-selection)가 제공될 수 있음을 이해할 것이다. 관심 점들을 식별하기 위해 사용되는 임계값들 및 분포 곡선들은 사용자-구성가능(user-configurable)할 수 있다. 예를 들어, 시그마, 3-시그마, 6-시그마 등의 값들이 사용될 수 있다.
도 10(c)에서, 식별된 성분 벡터들 중 2 이상에 대해 제품 유닛들을 플롯함으로써 추가 정보가 얻어질 수 있다. 이 예시에서는, 도 10(a) 및 도 10(b)에 예시된 성분 벡터들 PC1 및 PC2의 계수들에 대응하는 축들을 갖는 2-차원 플롯이 나타나 있다. 장치(250)의 프린트된 또는 디스플레이된 리포트에 대응할 수 있는 이 예시는, 실제적으로 2 개의 성분 벡터 PC1, PC2에 의해 정의되는 평면 상으로 다차원 공간의 모든 지점들을 투영한다. 유사하게, 3D 시각화를 위해, 3 개의 성분 벡터에 의해 정의되는 3-차원 공간 내로 투영이 수행될 수 있다. 사용자 인터페이스는 이를 위해 3D 디스플레이를 제공할 수 있다. 차원의 수가 2 개, 3 개, 4 개 이상이든지 간에, 이 투영은 다차원 공간에서 다수의 차원의 작은 서브세트인 평면 또는 초평면(hyperplane) 상으로의 투영으로서 간주될 수 있다. 도 10(c)의 2-D 플롯을 보면, 장치는 자동 통계 기술들을 적용하고, 및/또는 수동 관찰 및 선택의 사용을 가능하게 하여, 임계값(930)을 정의하며, 이 외부의 지점들은 조사를 위한 관심 지점들로서 고려될 것이다. (a) 및 (b)에 나타내어진 1-차원 도면들과 비교했을 때, 이상치들(906 및 916)이 1D 플롯들에서보다 더 쉽게 식별됨을 알 수 있다.
도 11(a)는 반도체 생산 설비로부터의 실제 분석 예시에서 식별된 성분 벡터 번호 1, 2 및 9의 예시를 나타낸다. 제 1 성분 벡터 PC1은 "보(bow)" 핑거프린트로서 인식가능하고, 전문가는 에피택시 공정 단계(epitaxy process step)에 의해 유도된 왜곡들과 잠재적으로 관련된 것으로 인식할 수 있다. 제 2 성분 벡터 PC2는 어닐링 단계의 특성 및 이미 언급된 타입의 "스월" 성분이다. 제 9 성분 벡터 PC9는 리소 툴에서의 SUSD 효과의 특성인 "기계" 핑거프린트이다. 이러한 핑거프린트들은 진단을 위한 도움으로서 PCA 장치에 의해 디스플레이될 수 있다. 도 11(b)에 나타낸 바와 같이, 성분 벡터들의 각각에 대응하는 선택된 축의 쌍들 상으로 객체 데이터의 2-D 투영을 플롯한 다른 디스플레이/리포트들이 생성될 수 있다. 따라서, 예를 들어 최상부 좌측 플롯(1002)은 PC1에 대한 계수 대 PC2에 대한 계수("1 vs 2"로 표시됨)에 의해 웨이퍼들의 분포를 나타낸다. 다른 플롯들(1004)은 표시된 바와 같이 플롯 1 vs 3, 1 vs 4 등을 제시한다. 이들은 순차적으로 또는 병렬로 장치에 의해 디스플레이될 수 있다. 각각의 플롯에서, 분포의 원점이 반드시 중심일 필요는 없다. 교차 축들(1008)이 원점을 나타내도록 추가되었다.
플롯된 값의 쌍은 사용자에 의해 선택될 수 있고, 및/또는 예를 들어 성분 벡터들 간의 관찰된 상관관계에 기초하여 장치에 의해 자동으로 선택될 수 있다. 라벨들은 268에 저장되어 있는 라이브러리 데이터의 기준 핑거프린트들의 인식에 따라 할당될 수 있다. 플롯된 값의 쌍은 라이브러리 데이터의 힌트에 의해 안내될 수 있다. 예를 들어, "기준 핑거프린트 A가 인식된 경우, 기준 핑거프린트 B와의 상관관계를 찾는다." 다변량 분석이 행해진 후, 벡터들은 식별된 성분 벡터들뿐만 아니라 여하한의 벡터 상으로 투영될 수 있음을 유의한다.
도면에 나타나 있지는 않지만 실제 실시예에서 중요한 것은, 샘플들이 식별 정보로, 예를 들어 컬러 및/또는 부호에 의해 다시 플롯되어, 관심 있는 상이한 로트들 또는 다른 상이한 웨이퍼들이 그들의 다양한 클러스터 및/또는 이상치 위치들에서 구별될 수 있다는 점이다. 하나의 플롯에 이상치들이 있는 웨이퍼들이 또 다른 플롯에 그들의 분포를 나타내도록 컬러 또는 부호에 의해 플래그될 수 있다. 이러한 방식으로, 상이한 성분 벡터들 간의 상관관계가 나타내어질 수 있다.
도 11(b)의 최하부 우측에는, 다양한 성분 벡터들로 나타내어진 분포의 백분율 기여를 플롯한 곡선(1012)이 디스플레이된다. 감쇠 곡선(decaying curve: 1012)이 나타남에 따라, 분포를 특성화하는 정보의 대부분이 처음 몇 개의 성분 벡터들에 집중되는 한편, 각각의 추가 성분 벡터로 인한 기여는 점점 더 감소한다. 얻어진 또한 디스플레이된 모든 정보는 측정된 객체 데이터로부터 얻어지며, [나타내어진 웨이퍼들의 ID(identity) 외에는] 컨텍스트 데이터에 의존할 필요가 없음을 유의한다. 동시에, 이용가능하다면, 척 및/또는 층 ID와 같은 컨텍스트 정보가 이용될 수 있다. 또한, 유의미한 것(significant)으로서 선택된 성분 벡터들은, 찾아질 성분 벡터들로서 입력된 사전설정된 핑거프린트(스월, 보우, 솜브레로 등)이기보다는, 분석을 통해 데이터로부터 드러난다. 그러므로, 예상된 효과들 및 소스들로 검색을 제한함으로써 조사가 "편협하지(blinkered)" 않을 것이다. 또한, 분석은 측정 자체로 훨씬 더 많은 기여에 의해 달리 숨겨져 있을 핑거프린트들을 드러낼 수 있다. 이러한 성분 벡터들은 개별 정렬 마크들의 위치 편차들에 그렇게 많이 기여할 수 없지만, 이들은 정렬 모델에서 보정되지 않는 성질을 가질 수 있으며, 결과적으로 오버레이 또는 CD와 같은 제품 성능 파라미터에 불균형적으로(disproportionately) 기여할 수 있다. 도 11의 예시를 참조하면, 예를 들어 성분 벡터 PC9에 의해 나타내어진 SUSD 핑거프린트만이 나타내어질 수 있는데, 이는 다변량 분석이 신생(emergent) 성분 벡터들을 하나씩 효과적으로 감산하여 다음-가장-중요한(next-most-significant) 각각의 성분 벡터들을 차례로 드러내기 때문이다.
PCA 분석 결과들이 자동 또는 반-자동 근본 원인 분석에 대한 입력값으로서 사용될 수 있지만, 아래에 설명되는 바와 같이, 이러한 결과들은 이들에 대한 원인에 기인하지 않고 다양한 방식들로 사용될 수 있다. 예를 들어, 도 11(b)의 예를 들어 2-D 플롯들에 의해 나타내어진 저차원 공간들에서의 제품 유닛들의 모집단의 분포를 확립했으면, 새로운 제품 유닛들로부터의 객체 데이터를 알려진 분포와 비교하여, 이들이 생성되자마자 이상치들을 식별하기 위해 자동 메커니즘이 적용될 수 있다. 이는 "편위 검출(excursion detection)"이라고도 칭해질 것이다. 로트에 통계적 임계값 외부의 웨이퍼들이 들어 있는 경우, 그 로트는 이상치 로트로서 식별될 수 있다. 로트-대-로트 보정가능한 오차가 결과로서 식별될 수 있다. 또한, 로트들은 때때로 이상치들의 원점을 다시 추적하기 더 쉽게 하는 특정 처리 이력을 공유할 수 있다. 하지만, 이 분석은 웨이퍼마다 행해질 수도 있으며, 이후 동일한 로트로부터의 웨이퍼들에 동일한 부호를 제공함으로써 로트 거동(lot behavior)이 검사될 수 있다. 상이한 컬러 및 부호의 이용과 같은 다수의 도움들이 실제 장치에서 사용될 수 있으며, 이는 여기에 나타낸 스케일로 흑백 특허 도면에 나타내어질 수 없다. 또한, 나타낸 바와 같이, 플롯들이 해석에 중요한 것으로서 (수동으로 또는 자동으로) 강조될 수 있다. 나타낸 예시에서는 플롯 1 vs 2, 2 vs 3 및 1 vs 9가 강조된다.
앞서 언급된 바와 같이, 알려진 PCA 기술은 다차원 분포를 수 개의 중요한 또는 "관심 있는" 성분 벡터들로 분해하는 데 사용될 수 있는 유일한 기술이 아니다. 예를 들어, 분포를 최적으로 설명하는 각각의 제 1 벡터를 찾는 대신, 벡터에 의해 정의된 축 상으로 투영될 때 지점들의 분포는 최대로 비-가우시안(maximally non-Gaussian)(ICA)인 벡터를 찾도록 장치가 프로그램될 수 있다. 이러한 접근법은 더 강하게 상이한 클러스터들을 분리시키고, 단지 노이즈의 변동에 불과한 것보다는 산업 공정의 하나 이상의 부분에 시스템적인(systematic) 기여들을 후속 분석을 위해 강조할 것으로 예상될 수 있다. 클래스/클러스터 분리성을 최대화하는 투영은, 예를 들어 피셔 맵핑(Fisher mapping)을 수행함으로써, 분해의 목적(objective)일 수 있다. 피셔 맵핑은 264에 저장된 컨텍스트 데이터(도 2의 점선 화살표)의 일부를 이용하는 "감독(supervised)" 다변량 분석이다. 이러한 컨텍스트 데이터는, 예를 들어 척 식별(이후, 척-대-척 변동들의 영향에 관한 정보를 최대화하기 위해 분석이 수행됨)일 수 있거나, 개별 에칭 장치를 식별하는 데이터일 수 있다. PCA 및 ICA는 컨텍스트 데이터를 요구하지 않음을 의미하는 "무감독(unsupervised)" 방법들로서 수행될 수 있다.
도 12는 앞서 설명된 바와 같은 PCA 장치(250) 및 이후 더 자세히 설명될 RCA 장치(252)에 의해 수행되는 작동들을 흐름도 형태로 요약한다. 단계 S1에서, 제품 유닛들(이 예시에서는, 반도체 제품 웨이퍼들)의 모집단에 대한 객체 데이터가 얻어지고, 단계 S2에서 공분산 행렬로 컴파일(compile)된다. S3에서, 공분산 행렬이 다변량 분석에 의해 처리된다. 앞에서 이미 설명된 바와 같이, 다변량 분석의 효과는 객체 데이터의 모든 벡터들의 분포를 성분 벡터들의 세트, 예를 들어 성분 벡터들로 분해하는 것이다. 단계 S4에서, 상이한 제품 유닛들의 식별과 함께 성분 벡터들의 세트가 처리되어, 의도한 방식들로 분포를 분석한다. 결과들이 처리될 수 있으며, 디스플레이를 위해 부분적으로는 자동 작업에 의해 또한 부분적으로는 인간 작업자와의 상호작용에 의해 선택될 수 있다.
단계 S5에서, 분석의 결과들이 사용되어, 생산이 계속됨에 따라 자동 편위 검출을 제공한다. S6에서, 각각의 제품 유닛 또는 제품 유닛들의 뱃치(로트)로부터의 새로운 객체 데이터가 수신되며, 주 분포 외부에 속해 있는 제품 유닛들(이상치들)을 검출하기 위해 성분 벡터 차원들 중 하나 이상의 분포와 비교된다. 도 10에서 알 수 있는 바와 같이, 이 비교는 하나 이상의 차원에 대한 것일 수 있다. 선택적으로, 새로운 객체 데이터가 공분산 행렬에 추가될 수 있고, 성분 벡터들로의 분해를 업데이트하기 위해 사용될 수 있다. 이상치(편위)들의 검출 시, 심각한 경우 제조 공정이 중단될 수 있거나, 경보가 발생될 수 있다. 예를 들어, 특정 제품 유닛들 또는 제품 유닛들의 로트가 다음 공정 단계로 진행할 수 있거나, 재작업을 필요로 하거나 폐기되어야 하는지를 결정하기 위해 또 다른 측정이 촉발(trigger)될 수 있다. 대안적으로, 이러한 결과들은 성분 벡터 분석에 기초하여 직접적으로 촉발될 수 있다.
제 2 진단 장치
도 12의 단계 S7에서는, RCA 장치(252)에서 근본 원인 분석이 수행된다. 이 장치는 단계 S8에서 얻어지는 성능 데이터 및/또는 단계 S9에서 얻어지는 컨텍스트 데이터와 성분 벡터들로의 분해의 결과들을 조합한다. 근본 원인 분석의 결과들은 단계 S10에서 디스플레이되거나 보고될 수 있거나, 이 결과들은 단계 S11에서 제어 설정값들을 처리하기 위해 보정들을 생성하는 데 사용될 수 있다. 단계 S12에서, 식별된 핑거프린트들 중 하나 이상을 감소시킴으로써 생성된 제품들을 개선하기 위해 보정들을 적용하여 새로운 제품 유닛들(예를 들어, 반도체 제품 웨이퍼들)에 대해 생산이 계속된다.
모든 식별된 핑거프린트들이 보정될 수 있거나 보정되어야 하는 것은 아니다. 핑거프린트 보정을 정의하는 것은, 분석에 의해 밝혀진 문제들에 대한 영구적인 해결책으로서 간주될 수 있거나, 귀책 장치(responsible apparatus)의 정비 또는 교체가 요구되는 것일 수 있다. 귀책 처리 장치가 리캘리브레이션되거나(recalibrated) 수리될 때까지, 보정은 임시적인 조치로 유용할 수 있다. 오차가 충분히 보정가능하지 않은 경우, 해당 장치가 처리로부터 생략될 수 있다(또는 덜 중요한 작업들로 재할당될 수 있다). 또한, 몇몇 핑거프린트들을 보정하는 것은, 오버레이와 같은 성능 파라미터를 더 좋게 하는 것이 아니라 더 나쁘게 할 수 있음을 유의하여야 한다. 이는, 층에서 층으로 일관성 있게 유지되는 핑거프린트가 오버레이 오차를 전혀 도입하지 않는 반면, 이러한 핑거프린트를 식별하는 것과, 후속 층들에서 이를 보정하려고 하는 시도는 오버레이를 도입할 것이기 때문이다. 보정되지 않아야 하는 핑거프린트들의 식별은 저장될 수 있고, 각각의 제품 유닛에 대해 보정들을 계산할 때 이 성분 벡터를 제거함으로써 이용될 수 있다.
또한, 보정되어야 하는 핑거프린트들은 제품 유닛들의 일부에만 존재할 수 있다. 특정 핑거프린트들이 발생한 컨텍스트를 식별하기 위해 PCA 장치(250) 및/또는 RCA 장치(252)가 사용된 경우, 보정들은 연계된 컨텍스트 기준에 따라 생성될 수 있어, 이들이 이러한 특정 제품 유닛들의 처리 이력에 따라 또 다른 제품 유닛들의 처리에 선택적으로 적용될 수 있다. 따라서, 제품 유닛의 처리 이력이 보정과 연계된 컨텍스트 기준과 매칭될 때에만 그 보정이 제품 유닛에 적용될 수 있다. 리소그래피 공정에서, 보정들이 흔히 선택될 것이며, 각각의 개별 웨이퍼에 대해서라기보다는 뱃치 또는 로트 기반에서 적용될 것임을 이해할 것이다. 또한, 보정들이 리소그래피 작동에 적용될 수 있으며, 이들은 제품 유닛들이 거친 물리적 및/또는 화학적 작업들로부터 발생한 핑거프린트들을 보정하도록 설계됨을 이해할 것이다. 컨텍스트 기준은 명시적인 컨텍스트 데이터라기보다는 다변량 분석의 결과들로부터 추출된 정보에 대해 정의될 수 있다.
어떤 파라미터들이 관심 대상인지를 미리 알 필요없이, 정상적인 제조 공정의 배경에서 정렬 데이터 및/또는 다른 객체 데이터의 수집이 수행될 수 있다. 가능하다면, 공정 컨텍스트 데이터 및 다른 식별 데이터가 모일 수 있다. 이 데이터의 일부가 익명 형태(anonymized form)로 수집될 수 있어, 분석을 위탁(outsource)할 때 공정의 기밀성(confidentiality)이 준수될 수 있다. 설명된 실시예의 전체 형태 내에서, 다수의 "유즈 케이스(use case)"가 새로운 장치에 대해 예견될 수 있다. 상업적 실시를 위한 예시적인 기능들은: 평균 핑거프린트("PC0")에 더해 핑거프린트들(이를테면, 상위 10 개)을 나타내는 "원 버튼 푸시(one button push)"; [예를 들어, 데이터 산란(data scatter)을 이용하는] 성분 벡터들 또는 성분 벡터들의 클러스터들의 라벨들에 대한 자동 제안; 특정 성분 벡터 또는 성분 벡터들의 그룹의 (예를 들어, 오버레이의 나노미터 단위의) 성능 영향의 자동 추산을 포함한다. 정량적 계산에 충분한 세부 시뮬레이션이 착수되지 않더라도, 정렬-오버레이(성능 데이터) 보정 분석으로부터 특정 정렬 성분 벡터의 오버레이 영향의 정성적 평가가 행해질 수 있다.
사용자 인터페이스는 작업자가 '관련 핑거프린트들'을 요청하도록 제공할 수 있고, 이상치 웨이퍼들 및 로트들; 이상치와 동시-발생한(co-occurring) 처리 툴들의 짧은 리스트를 보고할 것이다. 사용자 인터페이스(1200) 및 비주얼라이저(visualizer: 1202)가 다양한 타입의 정렬 델타(alignment delta)를 시각화할 수 있다(아래의 도 16 및 도 17 참조); (컬러-대-컬러, 단계-대-단계(층-대-층)). 이는 데이터세트의 산란을 시각화할 수 있고, 클러스터마다 "평균 웨이퍼" (에버리지 웨이퍼)의 자동 클러스터링 및 시각화를 허용할 수 있다. 툴들 및 핑거프린트들의 동시-발생은, 예를 들어 베이지안 네트워크(Bayesian network)에 의해 또는 자주 발생하는 처리 툴 세트들을 나타내는 동시-발생 패턴들의 세트들을 도출함으로써 자동으로 이용될 수 있다. 또한, 오버레이와 같은 성능 데이터가 이용가능한 경우, 장치는 객체 데이터에서 관찰된 성분 벡터들과 이를 상관시킬 수 있다(아래의 도 15).
또 다른 사용 카테고리에서는, 통상적으로 이해되는 바와 같은 보정들을 생성하는 단계 S11 대신, 장치는 후속 처리의 일부 측면을 제어하거나 이에 대해 조언하는 다른 데이터[레시피(recipe)]를 생성할 수 있다. 특정한 예시에서, "정렬 조언자(Alignment advisor)"가 만들어질 수 있으며, 이는 정렬 센서들 자체의 더 양호한 작동을 제안한다. (아래의 도 17의 설명 참조.) 핑거프린트들의 "라이브러리"는 스토리지(268)에 축적될 수 있으며, 이에 의해 이전에 관찰된 핑거프린트들과 유사한 핑거프린트들의 관찰 시 특정 상황에 적합한 레시피들이 검색될 수 있다.
또 다른 변형들 및 적용들은: 객체 데이터로서 오버레이의 분석, 정렬 데이터의 컬러-대-컬러 분석; 여기에 예시된 인터필드 핑거프린트들(만)이라기보다는, 인트라필드 핑거프린트들의 관찰; 개선된 "플라이어 제거(flyer removal)"를 포함한다.
예를 들어, 컬러-대-컬러 분석에 대해서는, 정렬 센서(AS)가 통상적으로 상이한 파장 범위의 방사선(컬러)으로 정렬 타겟들을 조명하여, 위에 놓인(overlying) 층들을 통한 양호한 위치 판독의 기회를 개선할 것임을 유의할 수 있다. 흔히, 정렬 "레시피"는 주어진 상황에서 마크들을 판독하는 데 사용하기 위해 단순히 단일 "최적" 컬러를 선택할 것이며, 다른 데이터는 버려진다. 하지만, 상이한 컬러들로 얻어진 신호들 간의 비교는 관심 진단 정보를 나타낼 수 있다. 특히, 마크 내의 구조체들이 처리에 의해 왜곡된 경우, 컬러-대-컬러 차이는 마크 비대칭의 존재를 나타낼 수 있다. 이후, 핑거프린트가 마크들의 실제 위치 편차들이라기보다는 마크 변형 및 측정 공정에 의해 유도된 겉보기 편차들이라고 판단되는지에 따라, 후속 처리 단계들에 대한 보정들이 상이하게 생성될 수 있다.
플라이어 제거는 동일한 웨이퍼 상의 다른 마크들에 대해 이상치들인 개별 마크들의 식별을 지칭한다. 이러한 "플라이어들"의 발생은 다양한 국부적인 요인들에 기인할 수 있으며, 이는 식별된 성분 벡터들을 이용하여 더 쉽게 인식할 수 있다.
근본 원인 분석의 예시
이제, 도 12의 흐름도의 단계 S5 및 RCA 장치(252)를 상세히 설명하면, 분석된 제품 유닛들에 존재하는 핑거프린트들(성분 벡터들)의 근본 원인을 식별하도록 분석을 수행하기 위해, 시스템의 작업자에 의해 다양한 기술들이 이용가능하다. 다시 도 5를 참조하면, 특정 핑거프린트들이 특정 처리 단계들과 인식가능하게 연계됨이 상기될 것이다. 따라서, "스월" 핑거프린트 PC1이 (다른 곳이 아닌) 다수의 웨이퍼에서 기여자로 드러난 경우, 어닐링 공정들 및 장치들이 핑거프린트의 원인들로서 의심을 받는다. 이는 도 13에 예시된 상황이다. 유사하게, "스케일링" 핑거프린트 PC2가 다수의 웨이퍼에서 강한 것으로 관찰된 경우, 이는 위치 편차들이 부분적으로 에칭 단계에 의해 도입된 물리적인 왜곡들의 결과라고 의심할 수 있다. 각각의 경우에서, 예시된 바와 같이, 예를 들어 가우시안 분포들 및 시그마(표준 편차) 값들에 기초한 통계적 계산들이 이용될 수 있어, "정상" 웨이퍼들(1102)로부터 스월의 로트를 갖는 "이상치" 웨이퍼들(1100)을 구별하고, 정상 웨이퍼들(1112)로부터 강한 스케일링 핑거프린트를 갖는 이상치 웨이퍼들(1110)을 구별할 수 있다. 몇몇 웨이퍼들이 강한 양의 계수를 갖고, 다른 것들은 강한 음의 계수를 갖지만, 이들은 부호만 다를 뿐 모두 강하게 표현된 핑거프린트를 나타냄을 유의한다. 이 예시에서 장치는 양의 이상치와 음의 이상치를 동일하게(as equals) 그룹화할 것이다. 요구된다면, 상기 장치는 양의 이상치와 음의 이상치를 별개로 그룹화하는 기능을 제공할 수 있다.
해당 제품 유닛들이, 그들의 이력 상, 잠재적으로 상이한 장치들에서 수 개의 어닐링 단계들 및 다른 처리 단계들을 겪어 온 스테이지에 도달한 경우, 이들 중 일부가 다른 것들보다 더 강한 핑거프린트들을 갖는 이유는 자동화 도움없이 결정하기가 어려울 수 있기 때문이다.
도 12에 도시된 바와 같이, RCA 장치(252)는 일 실시예에서 스토리지(264)로부터의 컨텍스트 데이터와 조합하여 결정된 성분 벡터들(핑거프린트들)을 이용하여, 객체 데이터에 나타내어진 제품 유닛들의 이력의 특정 이벤트들, 장치들 또는 파라미터들, 및 식별된 핑거프린트들의 강도 간의 상관관계를 식별한다. 분석은, (i) 제품 유닛들의 이력을 나타내는 컨텍스트 데이터(264)와, (ii) 객체 데이터(260)의 다변량 분석으로부터 발생한 성분 벡터들에 의해 나타내어지는 다차원 공간에서의 제품 유닛들의 위치들 간의 상관관계를 식별하기 위해 수행된다. 이 분석을 수행할 수 있는 다수의 형태가 존재하므로, RCA 장치는 실제로 다루어지는 작업에 따라 사용되도록 함수들의 묶음(suite of functions)을 제공할 수 있다. 컨텍스트 데이터에 더하여, 제품 유닛들로부터 측정된 특정 성능 파라미터들(CD, 오버레이)과 다변량 분석에서 식별된 성분 벡터들 간의 상관관계를 찾기 위해 성능 데이터(266)가 사용될 수 있다.
나타낸 예시에서, 웨이퍼의 이력의 특정 처리 장치(툴) TL#1, TL#2 및 TL#3의 발생과 객체 데이터의 핑거프린트 PC1 및 PC2 사이의 동시-발생 관계의 네트워크(1120)가 확립된다. 이러한 3 개의 장치들은 공칭적으로 동일할 수 있으며, 동일하게 수행해야 하지만, 장치들 중 하나 이상이 실제로 원치않는 효과들을 유도하는 경우에 조사가 이루어질 것이다. 원칙적으로는, 컨텍스트 데이터의 모든 파라미터들에 대한 그리고 제품 유닛들의 이력들의 이벤트들 및 장치들의 어느 하나 또는 전부와, 식별된 핑거프린트들의 어느 하나 사이의 상관관계를 찾기 위해, 분석이 "눈을 가린 채(blindly)" 수행될 수 있다. 대안적으로, 나타낸 예시에서와 같이, 관찰된 핑거프린트들이 에칭 및 어닐링 단계들의 특성임을 식별하고, 이러한 특정 단계들을 수행하는 데 사용되었을 수 있는 특정 툴들 또는 툴 클러스터들의 사용과 핑거프린트들의 발생 간의 상관관계를 찾기 위해, 인간 또는 기계의 어떤 전문지식이 적용될 수 있다. 나타낸 예시에서, 분석은 이들의 이력에 각각의 툴을 갖는 웨이퍼들의 백분율을 나타내는 동시-발생 분석 값들을 보고하며, 이는 핑거프린트를 강하게 디스플레이한다. 이 리포트는, 3 개의 툴 사이에, 이들의 이력의 동일한 지점에서 툴 TL#1을 이용하여 처리된 웨이퍼들의 40 %(소수 0.4)가 강한 핑거프린트 PC1[큰 계수 c(PCl)]을 갖는 한편, 툴 TL#2 및 TL#3에 대한 대응 백분율은 단지 5 %이다.
따라서, 툴 TL#1이 생산 공정의 불량한 성능의 원인에 강하게 연루되어 있다(implicate). 이는 연루된 툴의 추가 조사 및/또는 리캘리브레이션을 촉발시킬 수 있다. 또한, 이는 이력-의존적 보정의 생성을 촉발시킬 수 있다(도 12의 단계 S11). 이 보정은 정렬 모델에 대한 추가이며, 이는 컨텍스트 데이터가 처리되는 웨이퍼들의 이력에 특정 툴 또는 툴들의 사용을 나타낼 때마다 후속 리소그래피 패터닝 단계들에서 자동으로 적용될 수 있다. 수 개의 툴이 동일한 핑거프린트 PCX와 연계됨을 유의하며, 또한 연루된 툴들에 의해 각각의 웨이퍼에 실제적으로 추가된 핑거프린트는 서로 다소 상이할 수 있음을 이해할 것이다. 하지만, 핑거프린트 PCX는 이러한 개별 핑거프린트들에 대한 근사값으로서 유용하다. 다른 성분 벡터들을 통한 검색은, 이러한 정확성이 필요하다고 여겨지는 경우, 더 적은 핑거프린트들 및 이에 따른 각각의 특정 툴에 할당될 수 있는 더 많은 특정 보정들을 나타낼 수 있다. 어느 경우에도, 각각의 보정이 컨텍스트 기준과 연계하여 저장되어, 후속 단계들에서 웨이퍼들에 대한 컨텍스트 데이터가 그 보정이 적용되어야 하는지를 결정하기 위해 사용될 수 있다. 그러므로, 이러한 웨이퍼들이 분석에 포함되었는지 여부와 관계없이, 후속 웨이퍼들의 처리가 개선될 수 있다.
반면, 성분 벡터 PC2의 분포에서 이상치들이 있는 웨이퍼들에 관해서는, 툴 TL#1이 약하게 연루되는 한편(동시-발생 분석 값 10 %), 툴 TL#2 및 TL#3 둘 모두는 더 높은 동시-발생 분석 값들(20 %, 20 %)을 갖는다. 이러한 결과들은 특정 툴의 폴트에 결정적이지 않음을 이해할 것이다. 예를 들어, 컨텍스트 데이터의 다른 요인들이 툴 TL#2에 의해 처리된 웨이퍼들의 20 %가 강한 핑거프린트 PC2를 갖지만 80 %는 그렇지 않은 이유를 설명할 수 있는지를 식별하기 위해, 일반적으로 추가 조사가 요구될 것이다. 하지만, 다변량 분석의 결과들을 이용하는 RCA 장치에 의해 제공되는 자동화는 적어도 공정의 오른쪽 부분에 집중하는 데 유용하다. 이러한 결과들은, 도 12의 단계 S11을 참조하여 앞서 설명된 바와 같이, 자동으로 또는 사용자 상호작용으로 생성될 보정을 촉발시킬 수 있다.
나타낸 예시에서, 관심 컨텍스트 데이터는 본질적으로 분류가능(categorical)하며, 특정 처리 장치[에쳐(etcher), 증착 챔버 등] 툴 세트가 각각의 웨이퍼를 처리하는 이력에 관련되었음을 의미한다. "분류가능"이라는 것은 주어진 피처 또는 이벤트가 제품 유닛의 이력에 (한 번 이상) 존재했는지 또는 그렇지 않았는지를 의미한다. 어떤 온도 설정값들이 특정 공정 단계에 사용되었거나, 어떤 보정의 강도가 리소 툴에서 공정 보정에 적용되었는지를 나타내는 파라미터와 같은 다른 컨텍스트 데이터는 본질적으로 연속적일 수 있다. 이것이 성능 데이터가 되는 경우, 이 또한 본질적으로 분류가능 또는 연속적일 수 있다. 요구된다면, 연속 데이터가 분류가능 데이터로 바뀔 수 있다. 예를 들어, 오버레이 데이터는 본질적으로 연속적일 수 있지만, 예를 들어 어떤 것들이 오버레이 값들의 분포에서 이상치들인지 또한 어떤 것들이 그렇지 않은지에 따라, 또는 어떤 웨이퍼들이 어느 절대적인 성능 사양 밖에 속하는지에 따라, 웨이퍼들이 분류될 수 있다. 또한, 성분 벡터 PC1 등이 연속 변수로서 처리될 수 있거나, 또는 나타낸 예시에서와 같이 분류될 수 있다.
컨텍스트 데이터 또는 성능 데이터가 분류가능한 경우, 분류가능 아이템들(즉, 툴들 및 이상치들)의 동시-발생 세트들을 자주 발견(discover)함으로써 앞서 설명된 방식으로 동시-발생 관계가 구해질 수 있다. 동시-발생 관계 발견의 특정한 일 구현은 이용가능한 데이터의 특정 사전-처리 및 찾아내어야 할 특정 타입의 동시-발생 패턴에 관한 것이다.
이 시나리오에서, 각각의 제품 유닛에 대해 객체 데이터와 컨텍스트 데이터(및/또는 성능 데이터) 둘 모두를 연결하는 단일 데이터 베이스(
Figure 112016041816270-pct00003
)가 구성된다. 각각의 제품 유닛의 객체 데이터의 투영이 연속적이면, 이것이 고려되는 동시-발생 패턴들을 발견하는 데 유용하도록 먼저 이산화된다.
투영들을 이산화하는 가능한 방법은, 모든 제품 유닛들의 투영들의 분포가 주어지면, 각각의 제품 유닛이 하나 이상의 핑거프린트들에 대해 이상치를 구성할지를 결정하는 것이다. 이는, 근원적인(underlying) 분포가 제공되면, 이 제품이 이상인지 여부를 나타내는, 투영된 객체 데이터의 이원 버전(binary version)을 유도한다.
결과적인 데이터베이스(
Figure 112016041816270-pct00004
)의 각 행은 컨텍스트 데이터(및/또는 성능 데이터) 및 객체 데이터 투영들의 제품 유닛들의 이상치 표시(outlier indication)로 구성된다. 동시-발생 패턴(c)은
Figure 112016041816270-pct00005
내의 가능한 변수들 및 이들의 특정 인스턴스화(instantiation)들의 서브세트로서 정의될 수 있다(예를 들어, PCA_l=아웃라이어, 및 Tool_l=에쳐_A)
각각의 패턴(c)은
Figure 112016041816270-pct00006
의 특정 부분을 설명하는 국부적인 모델로서 고려될 수 있다.
Figure 112016041816270-pct00007
로 표기되는 패턴들(c)의 세트를 선택함으로써, 완전한 데이터베이스(
Figure 112016041816270-pct00008
)에 대한 모델이 구성될 수 있다. 모델(
Figure 112016041816270-pct00009
)의 선택은 선택된 최적화 기준에 의존한다. 이 기준의 하나의 인스턴스화는 각각의 인코더(
Figure 112016041816270-pct00010
)에 인코딩된 특정 데이터베이스 길이를 할당하는 인코딩 방식(encoding scheme)을 고려하는 것이다. 이러한 설정에서, 최상의 인코더가 최적의 모델이다.
최적 동시-발생 패턴 세트(
Figure 112016041816270-pct00011
)는
Figure 112016041816270-pct00012
내의 변수들(이산 객체 데이터, 컨텍스트 데이터, 및/또는 성능 데이터) 간의 (선택된 최적의 기준이 주어진다면) 중요한 관계를 포함한다. 이 세트(
Figure 112016041816270-pct00013
)는 툴들과 성분 벡터들 간의 관계를 명확히(apparent) 하는 데 사용될 수 있다.
예를 들어, 컨텍스트 데이터가 분류가능하거나 연속적 또는 이산적이든 간에, 베이지안 네트워크를 이용하는 확률적 분석(probabilistic analysis)이 사용될 수 있다. 이러한 접근법에서는, '네트워크 파라미터들'(
Figure 112016041816270-pct00014
)를 통해, 특정 처리 툴이 사용될 때 성분 벡터 이상치가 발생하는 결합 확률(joint probability)을 나타내는 네트워크가 구성된다. 결합 확률의 초기 선택은 숙련된 엔지니어에 의해 또는 이력 데이터세트들로부터 툴-핑거프린트 발생을 연구함으로써 특정(specify)될 수 있다. 이 초기화는 네트워크 파라미터들의 '프라이어(prior)'
Figure 112016041816270-pct00015
로서 툴-핑거프린트 동시-발생의 '사전 확률(prior probability)'을 특정화한다. 베이지안 네트워크에서, 새로운 제품 유닛들로부터의 처리 툴들의 관여 및/또는 핑거프린트들의 발생에 관한 관찰이 네트워크에 '증거'(데이터)(
Figure 112016041816270-pct00016
)로서 입력될 수 있다. 현재 네트워크 파라미터들이 주어진다면 관찰의 우도(likelihood)(
Figure 112016041816270-pct00017
)가 연산되고, 데이터가 주어진다면 네트워크 파라미터들의 사후 확률(posterior probability)(
Figure 112016041816270-pct00018
)로 프라이어(
Figure 112016041816270-pct00019
)와 조합된다. 이는 베이즈의 추론 규칙(Bayes' rule of inference)을 이용하여 수행될 수 있다:
Figure 112016041816270-pct00020
사후 파라미터 확률('베이지안 추론')의 연산을 다루기 쉽게(tractable) 하기 위해, 변수들(특정 툴들 및 핑거프린트들의 발생) 간의 조건적 독립성(conditional independency)의 가정(postulation)이 사용될 수 있거나, 이는 사후 확률을 최대화하는 파라미터 설정값(
Figure 112016041816270-pct00021
)의 연산에 의존할 수 있다:
Figure 112016041816270-pct00022
.
[부연하면, 결합(joint) 툴-핑거프린트 발생의 확률에 관한 사전 지식 없이] 플랫 프라이어(flat prior)가 가정되는 경우, 이 마지막 표현식은 최대 우도 추산(likelihood estimate)으로 감소한다:
Figure 112016041816270-pct00023
.
이 메커니즘에 의해, 특정 유즈 케이스에 대한 동시-발생의 확률을 업데이트하고, 충분한 데이터가 도달하면 전체적으로 커스터마이즈(customize)하기 위해, 새롭게 들어오는 제품 유닛들로부터의 공간 측정 데이터 및/또는 컨텍스트 데이터가 사용될 수 있다. 빠진(missing)(관찰되지 않은) 변수들의 여하한의 변수의 확률이 앞서 설명된 베이즈의 추론 규칙을 통해 추론될 수 있기 때문에, 새로운 제품 유닛들에 대한 공간 측정 데이터 또는 컨텍스트 데이터 중 어느 하나(또는 둘 모두)의 관찰이 허용됨을 유의한다.
확률적 분석의 결과들은 원인 및 결과에 관해 추론하기 위해 사용될 수 있다. 분석의 타입 및 복잡성은 설계 선택의 문제이다. 앞서 설명된 방법들은 단지 예시이다. 예를 들어, 본 장치의 실험적인 사용으로부터, 관심 핑거프린트의 존재는 단지 어느 하나의 장치 또는 처리 작업의 발생과 강하게 상호관계되는 것이 아니라, 특정 시퀀스의 작업들 또는 장치들의 발생과 대부분 강하게 상호관계되는 경우에 인스턴스들이 관찰되었다. 그러므로, RCA 장치는 컨텍스트 데이터 내의 파라미터로서 이러한 시퀀스들을 식별하는 함수들을 제공할 수 있다.
도 14는 상관관계가 인간 작업자에 의해 관찰되게 하기 위해 그래픽 시각화가 사용되는 RCA 장치(252)의 또 다른 작동 모드를 개략적으로 나타낸다. 도면의 최상부에는, PCA 장치(250) 및 RCA 장치(252)가 어떻게 공통 사용자 인터페이스(1200) 및 시각화 모듈(1202)을 공유하는지가 나타내어지며, 이는 종래의 컴퓨터 입력 장치 및 디스플레이 스크린에 의해 구현될 수 있다. 도 14의 최하부에는, 시각화 모듈(1202)에 의해 생성되는 디스플레이(1204)의 일 예시가 나타내어진다. 이 디스플레이는 (이 예시에서) 처리 이력 내의 특정 툴의 관여를 나타내는 컨텍스트 데이터와 함께 플롯되는, 성분 벡터들 PC1, PC2 등으로 분해되는 객체 데이터의 특정 투영을 제공한다.
1210에는, PCA 장치(250)에 의해 식별되는 성분 벡터들(PC1 등) 중에서 관심 대상으로서 식별된 "스월" 성분 벡터 PCX가 예시된다. 1212에는 벡터 P(웨이퍼)로서 컨텍스트 데이터에 나타내어질 수 있는 공정 시퀀스로부터의 추출이 나타나 있다. 벡터 내의 각 요소는, 수행된 단계의 타입뿐만 아니라 그 단계를 수행하기 위해 사용된 개별 툴 또는 툴 클러스터를 포함하는 웨이퍼 이력 내의 단계를 나타낸다. 예를 들어, 컨텍스트 데이터는 툴 TL#Y이 사용되었는지를 나타낸다. 컨텍스트 데이터에 제공된 세부 레벨은 임의적이며, 주어진 상황에서 어떤 것이 얻어질 수 있는지에 따라 달라질 것이다. 다변량 분석에서 객체 데이터의 사용은 핑거프린트들이 여하한의 컨텍스트 데이터와 독립적으로 식별되게 하며, 이후 이용가능한 이러한 컨텍스트 데이터와 조합하여 사용되게 한다.
디스플레이(1204)는 처리 이력 내의 3 개의 특정 툴(툴 TL#1, TL#3 및 TL#4)의 발생에 의해 정의되는 3-차원 공간에 점들로 플롯된 웨이퍼들의 표현이다. 이는, 예를 들어 근본 원인 분석 절차의 다음 단계일 수 있으며, 이어서 도 13에 예시된 동시-발생 분석을 통해 의심인자로서 이 특정 툴들의 식별이 후속된다. 대안적으로, 툴들은 자주 발생하는 처리 툴 세트들을 나타내는 동시-발생 패턴들의 세트들을 도출함으로써 의심인자들로서 식별될 수 있다. 웨이퍼들의 처리 시, 각각의 웨이퍼는 한 번 이상 툴을 방문할 수 있으며, 웨이퍼들이 얼마나 많이(0, 1, 2, 3 번 등) 주어진 툴에 방문했는지에 따라 웨이퍼들이 3-차원 공간에 플롯된다. 강한 핑거프린트[큰 계수 c(PCX))]를 갖는 웨이퍼들은 플롯에서 검은색 도트(solid dot)들로 강조된 한편, 이 핑거프린트를 갖지 않는 웨이퍼들은 흰색(개방) 도트들이다.
이 플롯은 핑거프린트 PCX에 관한 각 툴의 많은 영향을 나타낸다. 의심 툴 TL#1, TL#3, TL#4 중 어느 툴에도 관여되지 않은 웨이퍼들은 1220에 플롯된다. 알 수 있는 바와 같이, 이 웨이퍼들은 모두 흰색 도트로 나타내어지며, 강한 핑거프린트 PCX를 갖지 않는다. TL#1 축 상에서, 웨이퍼들이 툴 TL#1에 의해 두 번 이상 처리된 경우 강한 핑거프린트(1222)를 갖는 수 개의 웨이퍼들을 볼 수 있다. TL#3 축 상에서는, 툴 TL#3에 의해 한 번 또는 두 번 처리된 여하한의 웨이퍼가 강한 핑거프린트 PCX(1224)를 나타냄을 알 수 있다. TL#4 축 상에서는(플롯된 방향과 수직 방향), 몇몇 웨이퍼들이 (다른 툴들에 의해 처리되거나 처리되지 않고) 툴 TL#4에 의해 한 번 처리되었으며, 이들은 모두 핑거프린트 PCX(1226)를 갖는다. 이러한 시각화로부터, RCA 장치는, 툴 TL#1이 강한 핑거프린트를 나타낸 몇몇 웨이퍼의 처리에 사용되었더라도 대부분의 경우 그 핑거프린트의 원인이 아님을 나타낸다. 그보다는 툴 TL#3 및 TL#4가 원인일 가능성이 더 높다. 또한, 동시에, 핑거프린트는 툴 TL#1의 반복된 사용에 의해 도입되는 것처럼 보인다.
방금 설명된 예시에서는 보정들이 인간 작업자에 의해 관찰되게 하기 위해 그래픽 시각화가 사용되지만, 요구된다면 클러스터링 및 보정들의 패턴들의 스폿팅(spotting) 시 인간 작업자의 작업들이 자동화될 수 있다.
도 12 및 도 13의 단계 S11을 참조하여 앞서 설명된 바와 같이, 이러한 결과들은 자동으로 또는 사용자 상호작용으로 생성될 보정을 촉발시킬 수 있다.
도 15는 RCA 장치(252)의 또 다른 작동 모드를 예시하며, 이때 다변량 분석의 결과들과 성능 데이터(264)의 상호관련이 PCA 장치(250)에 의해 객체 데이터에 대해 수행된다. 동일한 사용자 인터페이스(1200) 및 시각화 모듈(1202)이 이용될 수 있다. 나타낸 예시에서 성능 데이터는 특정 처리 단계들 후 웨이퍼들 상에서 측정된 오버레이 데이터이다. 예를 들어, 도 2의 메트롤로지 장치(240)를 이용하여 측정이 행해질 수 있다.
이 경우, 성능 데이터는 분류가능 데이터라기보다는 연속-값을 가짐이 나타내어질 것이다. 디스플레이(1240)는 핑거프린트 PC1(수평 축, 그래프 1242) 및 핑거프린트 PC2(수평 축, 그래프 1244)의 강도 그리고 오버레이(OVL)(수직 축)의 축들에 대해 웨이퍼들(모두 검은색 도트들로 나타내어짐)을 플롯한 그래프 1242, 1244를 나타낸다. 그래프 1242에서는, 검사에 의해 핑거프린트 PC1의 강도 및 오버레이에 대한 성능 사이에 강한 상관관계가 존재함이 나타내어질 수 있는 한편, 그래프 1244는 상관관계를 나타내지 않는다. 이는 작업자가 성분 벡터들 중 어느 것이 관찰된 성능 문제들과 연계되는지를 즉시 식별하게 한다. 특히, 이는 겉보기에 강한 핑거프린트가 성능에 나쁜 영향을 주지 않는 한편, 비교적 더 약한 핑거프린트가 강하게 부정적인 영향을 주는 것일 수 있다. 이는, 예를 들어 더 강한 핑거프린트가 정렬 모델(도 6)에서 신뢰성 있게 보정되거나 각각의 층에 동일하게 기여함에 따라 오버레이에 영향을 주지 않기 때문일 수 있다. 이러한 통찰력을 제공하는 RCA 장치로, 근본 원인 분석은 훨씬 더 쉬운 공정이 된다.
도 12 및 도 13의 단계 S11을 참조하여 앞서 설명된 바와 같이, 이러한 결과들은 자동으로 또는 사용자 상호작용으로 생성될 보정을 촉발시킬 수 있다.
인간 작업자에 의한 해석을 위한 시각화 외에도, 성능 데이터와 성분 벡터들(핑거프린트들) 간의 상관도(상관 계수)를 정량화하기 위해 선형 회귀(linear regression)[라인-피팅(line-fitting)]와 같은 통계적 분석이 수행될 수 있다. 이 분석의 결과는 최적-피트 라인(1246)으로 그래프(1242) 상에 예시되어 있다. 이러한 방식으로 보정이 정량화될 수 있기 때문에, 연루된 핑거프린트들의 식별이 자동화될 수 있다. 그러므로, 이와 같은 시각화는 근본 원인 분석의 선택적인 부분이다. 또한, 오버레이, CD 및 다른 "성능" 파라미터들이 각각의 웨이퍼에 걸쳐 공간적으로 분포되는 지점들에서 일관적으로 측정되는 경우, 요구된다면 이들은 객체 데이터로서 사용될 수 있음을 유의한다.
도 16은 개별 웨이퍼들의 처리 시 층-대-층 변동을 식별하는 RCA 장치의 또 다른 작동 모드를 나타낸다. 앞서 언급된 바와 같이, 처리 시 상이한 스테이지들에서 측정된 경우, 객체 데이터는 동일한 제품 유닛의 다수의 인스턴스를 포함할 수 있다. 컨텍스트 데이터(264)는 이러한 인스턴스들을 연결하는 정보를 포함하여, 동일한 제품 유닛의 핑거프린트들의 발생(development)이 공정을 통해 그 진보(progress)의 함수로서 플롯될 수 있다. 또한, 층-대-층 관계는 실제적으로 객체 데이터 내의 각 엔트리의 식별 라벨의 일부분으로서 이미 포함될 수 있음을 유의한다. 본 발명의 목적을 위해 컨텍스트 데이터로서 고려되게 하는 것은 근본 원인 분석에서 데이터의 사용이다.
도 16에서, 시각화 모듈(1202)은 웨이퍼들이 식별된 성분 벡터들 중 2 개(PC1 및 PC2)에 대해 플롯되는 디스플레이(1260)를 제공한다. 이러한 2 개의 성분 벡터들은, 이전 단계, 예를 들어 도 13에 도시된 동시-발생 분석에 의해, 또는 도 15에 도시된 바와 같은 성능 데이터와의 상관관계에 의해, 특정 관심 대상으로서 식별되었을 수 있다. 대안적으로, RCA 장치는 다수의 파라미터 조합을 차례로 디스플레이하거나 분석할 수 있다. 디스플레이(1260)는 도 10(c)에 도시된 것과 동일한 플롯을 나타냄을 인지할 것이다. 도 10(c)에 대해 설명된 바와 같이, 플롯 및 적용된 임계값(930)은 2 개의 웨이퍼가 이상치들임을 나타내며, 이는 플롯된 점들 906으로 나타내어진다. 디스플레이(1260)는 대응하는 웨이퍼들의 핑거프린트들의 발생 이력을 나타낼 수 있다. 층 번호 5가 처리되고 있는 경우, 점 906이 공정의 스테이지에서 웨이퍼 W(i)를 나타냄이 관찰된다고 추정한다. 이는 W(i,L5)로 표시될 수 있다. 사용자 인터페이스(1200)는 작업자가 디스플레이에 강조될 동일한 웨이퍼의 이전 인스턴스들을 요청하게 한다. 나타내어진 바와 같이, 이러한 강조는 플롯된 수백 개의 점들 중에서 이전 인스턴스들 W(i,L4) 및 W(i,L3)을 나타낸다. 또한, 일단 이러한 인스턴스들이 강조되었으면, 핑거프린트가 층 4에서의 웨이퍼의 측정 이후의 그리고 층 5에서의 측정 이전의 어느 처리 단계에서, 즉 단계 1304의 어딘가에서 구체적으로 도입되었음이 작업자에게 명백하다. 이는 핑거프린트의 근본 원인의 식별에 크게 도움을 준다. 각 층에 사용된 툴들이 동일하다면, 예를 들어 단계들의 특정 조합 또는 시퀀스가 그 단계에 도입된 왜곡의 원인임이 발견될 수 있다.
도 16의 디스플레이의 단순한 검사가 인스턴스 W(i,L4) 및 W(i,L5) 간의 핑거프린트들의 단계 변화를 매우 명백히 나타내지만, 이는 성분 벡터들의 관련 쌍들이 식별되었음을 가정한다. 이 실시예에서 RCA 장치(252)는 데이터를 "마이닝(mine)"하고 관련 성분 벡터를 드러내는 자동 툴을 포함하는 것이 바람직하다. 인스턴스들 간의 핑거프린트 계수들의 변화는 "델타"로서 지칭될 수 있다(도 16의 예시에서 Δ로 표시됨). 델타는 1-차원일 수 있으며, 델타의 개수는 선택적으로 다변량 분석에서 식별된 성분 벡터들의 수 이하이거나, 필요하다면 다차원일 수 있다. 예를 들어, 도 16의 2-차원 플롯을 보면, 성분 벡터 PC1의 강도로 단계를 식별하기에 충분할 수 있거나, 선택된 성분 벡터들에 의해 정의되는 2-차원 공간에서 [유클리드(Euclidean)] 거리를 델타로서 식별하는 것이 바람직할 수 있다.
공정의 각 스테이지에서 델타를 계산함으로써, 요구된다면 모든 성분 벡터들에 대해, (도 16에 예시된 것과 같이) 많은 단계 변화들이 자동으로 식별될 수 있고 보고될 수 있다. 이는 도 13 내지 도 15에 예시된 다른 분석 모드들의 사용을 위한 촉발 및/또는 툴 자체 상에서의 조사일 수 있다. 특히, 성분 벡터가 각각의 연루된 공정 단계에 따라 점차적으로 바로 변화한다면, 이는 도 12 및 도 13의 단계 S11을 참조하여 앞서 설명된 바와 같이 자동으로 또는 사용자 상호작용으로 생성된 보정에 의해 문제가 해결되는 것일 수 있다.
델타와 다변량 분석 간의 상호작용은 다양한 형태를 취할 수 있다. 식별된 성분 벡터들의 계수들 간의 델타는 방금 설명된 바와 같이 계산되고 분석될 수 있다. 대안적으로 또는 추가적으로, 델타는 측정 데이터 사이에서 계산될 수 있으며, 이후 이 델타는 다변량 분석을 거칠 수 있다. 또 다른 대안예로서, 델타는 관심 제품 유닛들에 대한 측정 데이터 사이에서 계산될 수 있으며, 이후 전체로서 모집단에 대한 객체 데이터의 분석으로부터 식별된 성분 벡터들에 대해 분석될 수 있다. 요약하면, 진단 방법은 하나 이상의 동일한 제품 유닛들에 대해 산업 공정의 상이한 스테이지들에서 제품 유닛의 측정들 간의 변화를 관찰하는 단계, 및 다변량 분석에서 식별된 성분 벡터들 중 하나 이상의 성분 벡터들 상으로 측정된 변동을 투영하는 단계를 포함할 수 있다. 이는 도 16에 예시된 분석의 결과들을 검증하는 데 유용할 수 있다. 도 17을 참조하여 일 예시가 설명될 것이다.
도 17에는, 층들(L1, L2 등) 사이의 상이한 공정 단계들이 1300 내지 1306으로 표시되어 있다. 주어진 웨이퍼에 대한 객체 데이터(AL)는 (예를 들어) 핑거프린트 PC1을 나타내며, 이는 시작(AL0)부터 공정의 끝(AL4)까지 더 강해진다. 도 16에 예시된 분석은 성분 벡터들 PC2 및 특히 PC1이 단계 1304에서 단계 변화를 나타내고 있음을 암시한다고 추정한다. 이 결과는 도 17의 최하부에 나타내어진 바와 같이 정렬 측정들(또는 다른 객체 데이터) 간의 델타를 계산하고 디스플레이함으로써 검증될 수 있다. 공정 단계 1302에 의해 유도된 변화를 나타내는 ΔAL(1,2)가 실제적으로 0인 한편, 공정 단계 1304에 의해 유도된 변화를 나타내는 ΔAL(2,3)은 우세한 이중 스월 핑거프린트를 가짐을 바로 알 수 있다. 이 이중 스월 핑거프린트가 성분 벡터 PC1에 의해 나타내어진 것인 경우, 디스플레이는 물리적 데이터가 장치에 의해 수행된 수학적 분석의 결과들에 대응함을 확인시키는 경향이 있다.
견실한(robust) 근본 원인 분석을 수행하기 위해 본 명세서에 개시된 분석 타입들이 적용될 수 있는 다양한 방식이 존재함을 이해할 것이다. 또 다른 시나리오에서, 도 16의 분석에 의해 식별된 델타는 측정들 간의 델타의 우세한 핑거프린트가 아닐 수 있다. 그 경우, 이는 분석에 결함이 존재하는 것일 수 있거나, 도 16에 강조된 핑거프린트 성분 벡터 PC1가 (단계 1304의 컨텍스트에서) 소수의 성분 벡터이며, 도면에서 AL(2,3)에 나타내어진 이중 스월 핑거프린트에 의해 차단된 것일 수 있다. 성분 벡터들의 세트는 이미 식별된 성분 벡터들 상으로 델타들을 투영함으로써 델타 ΔAL(1,2) 및 ΔAL(2,3)의 숨겨진 성분 벡터들을 나타내는 "프로브(probe)"로서 사용될 수 있다.
방금 설명된 분석은 단일 웨이퍼(제품 유닛)를 지칭하지만, 실제로는 제품 유닛들의 통계적으로 중요한 모집단들에 기초하여 더 많은 견실한 분석이 수행될 것이다. 예를 들어, 델타가 동일한 처리 단계(1304)를 거친 모든 웨이퍼들에서 관찰될 때와 비교해, 도 17에서 관찰된 큰 델타가 로트의 하나의 웨이퍼에만 발생하는 경우, 실제로 RCA 장치(252)의 거동이 상이하게 만들어질 수 있다. 큰 델타가 몇몇 웨이퍼들(또는 몇몇 로트들)에서 발생하고 다른 것들에서는 발생하지 않는 것이 발견된 경우, 이 분석은 공정에서의 특정 툴들의 관여와 델타의 관찰을 상호관련시키기 위해 도 13 및 도 14에 도시된 모드들과 조합될 수 있다. 이 공정은 도 13 또는 도 14에 예시된 것과 동일하며, 핑거프린트의 강도의 델타는 핑거프린트 강도 자체를 대신해 사용된다.
상기 예시는 산업 공정의 상이한 스테이지들에서 측정된 동일한 제품 유닛의 상이한 인스턴스들 간의 델타를 지칭하고, 이는 "단계-대-단계" 또는 "층-대-층" 델타로 지칭될 수 있으며, 객체 데이터가 이용가능한 경우 다른 타입의 델타가 만들어질 수 있다. 특정 예시로서, 객체 데이터는 상이한 센서들 또는 상이한 파라미터들로 작동하는 동일한 센서에 의해 행해진 동일한 특성의 상이한 측정들을 포함할 수 있다. 예를 들어, 상업적인 리소그래피 툴의 정렬 센서는 일반적으로 방사선의 상이한 컬러(파장), 상이한 편광, 조명 프로파일 등을 이용하여 다수의 상이한 작동 모드들을 제공한다. 이는 제품 내의 상이한 층들 및 상이한 제품 타입들이 넓은 범위의 재료들 및 마크들의 타입들을 포함하는 것을 인식하기 위함이다. 이러한 파라미터들을 제어하는 것에 더하여, "정렬 레시피"는 일반적으로 정렬 마크들의 어떤 서브세트가 웨이퍼에 걸쳐 측정되어야 하는지를 구체화하여, 시간을 절약하고 스루풋을 개선할 것이다. 모든 제품 상의 모든 층을 측정하기에 단일 "레시피"는 적절하지 않다. 새로운 장치는, 실제로 선택된 레시피의 관찰에 기초하여 및/또는 특정 레시피가 선호될 것인지를 제안하는 특정 타입의 핑거프린트들을 인식함으로써, 더 양호한 레시피를 선택할 기회를 나타낼 수 있다.
후자의 피처의 단순 예시로서, "스케일링" 핑거프린트가 식별되었으면, 웨이퍼의 주변 영역에 더 많은 마크를 포함하도록 향후 단계들에 대한 정렬 레시피가 조정될 수 있다. 또 다른 예시에서, 이는 식별된 특정 핑거프린트가 마크 변형을 나타내는 것으로 알려진 것일 수 있으며, 따라서 이는 이러한 마크들에 대해 보고된 위치들이 부정확성을 거칠 것임을 의미한다. 위치 측정의 정확성을 개선하기 위해, 이러한 변형을 덜 겪게 될 상이한 마크들 또는 마크들의 상이한 측정 모드가 선택될 것이다. 정렬을 위해 마크를 완전히 선택 또는 선택해제하는 것이라기보다는, 마크들은 정렬 모델의 계산 시 그들의 가중치를 증가 또는 감소되게 할 수 있다.
더 일반적으로, 이후 신규한 장치는 다양한 "정렬 조언자" 함수를 제공할 수 있다. 상기 장치는 상이한 정렬 모델들이 선택될 때 발생할 수 있는 잔차를 시뮬레이션할 수 있고, 초기 객체 데이터로부터 결정된 성분 벡터들 상에 이러한 시뮬레이션된 잔차를 투영함으로써 그들의 적합성을 평가할 수 있다. 오버레이 데이터와 같은 성능 데이터를 이용하여, 상기 장치는 대응하는 정렬 데이터 세트에 따라 고-오버레이 제품 유닛들과 성능 벡터 스코어 간의 상관관계를 식별하는 데 도움을 줄 수 있다. 따라서, 새로운 제품 유닛들의 유사한 스코어를 관찰하는 것은 성능 저하를 나타낼 수 있으며, 시기적절한(timely) 보정 작업들에 사용될 수 있다. 또한, 특정 성분 벡터들(예를 들어, 웨이퍼 변형 패턴들)에 따라 패턴을 더 잘 캡처하고 및/또는 다른 성분 벡터들(예를 들어, 마크 변형 패턴들)로 인한 패턴을 억제하기 위해, 객체 데이터 측정 위치들이 최적화될 수 있다. 또한, 특정 성분 벡터들을 그들의 원점(origin)[예를 들어, 처리 툴 에칭 챔버, 어닐링 챔버 또는 아마도 리소 툴 스텝-앤드-스캔(litho tool step-and-scan) 작동]으로 귀속(attribute)시키는 것은, 특정 처리 함수들로 발생하는 이미(upfront) 알려진 특정 벡터 패턴들에 벡터들을 관련시킴으로써 도움을 받을 수 있으며, 추가 공정 최적화를 위해 전용 옵션(dedicated option)(보정; 진단)을 유도할 수 있다.
이러한 함수들 중 어느 하나 또는 모두는 268에 저장된 핑거프린트 라이브러리에 연결될 수 있다. 새로운 웨이퍼들에서 발견된 핑거프린트들은 해석 및 추천된 레시피 변화들을 검색하기 위해 라이브러리 내의 핑거프린트들로 맵핑될 수 있다.
대안적인 구현들
위에 이미 언급된 바와 같이, 본 발명의 원리들에서 벗어나지 않고 대안적인 구현들이 가능하다. 앞서 설명되고 도 7 내지 도 9를 참조하여 예시된 구현에서, 객체 데이터는 각각의 벡터(AL)가 제품 유닛(예를 들어, 웨이퍼)의 측정들을 나타내는 방식으로 PCA를 위해 공분산 행렬을 형성하도록 벡터들에 배치됨을 상기한다. 그 벡터의 요소들은 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 정렬 마크들로부터 측정된 x, y 편차들이다. 결과적으로, 다차원 공간의 상이한 차원들은 웨이퍼 상의 상이한 위치들에서 측정된 관심 파라미터의 값들이다. 차원들의 수는 웨이퍼당 측정된 편차들의 수에 대응하며, 마크들 - 이로부터 이 편차들이 측정됨 - 을 기준으로 하여 이 다차원 공간을 "마크 공간"으로서 지칭할 수 있다. 이러한 구현들에서, 측정들에 의해 얻어진 객체 데이터와 다변량 분석에 의해 얻어진 성분 벡터들 둘 모두는 동일한 다차원 공간에 표현됨을 유의한다. 이제 설명되는 바와 같이, 대안적인 구현들이 가능하므로, 다변량 분석 이전 및 다변량 분석 동안 객체 데이터는 최종적으로 얻어지는 성분 벡터들과 동일한 다차원 공간에서 반드시 표현될 필요는 없다.
도 18은, 예를 들어 객체 데이터를 다차원 공간 - 하지만, 이는 결과적인 성분 벡터들이 표현될 공간과 상이한 다차원 공간임 - 에서 벡터들로 표현한 대안적인 제 1 구현을 예시한다. 각각에서 벡터는 다수의 상이한 제품 유닛들(예를 들어, 웨이퍼들)에 걸쳐 하나의 공간 위치에서 측정된 관심 파라미터를 나타낸다. 이 대안적인 구현에서, 각각의 벡터는 측정 지점들 중 하나에 대응할 것이며, 벡터의 각 요소는 제품 유닛들의 상이한 각각의 제품 유닛 상의 그 지점에서 그 파라미터의 측정된 값을 나타낸다. 결과적으로, 다차원 공간의 상이한 차원들은 상이한 웨이퍼들 상의 그 위치에서 측정된 파라미터의 값들일 것이다.
관심 파라미터가 정렬 마크들로부터 측정된 x 및 y 위치 편차인 예시에서는, 마크들 및 웨이퍼들의 역할이 도 7 내지 9에 예시된 구현들과 비교해 서로 바뀐다. 공간 웨이퍼 측정들의 세트는 m 개의 웨이퍼의 세트에 의해 펼쳐진(span) 공간에 2n 개의 벡터 X(j)를 정의하는 다수의 공간 관찰치들로서 나타내어진다. 웨이퍼들의 세트로부터의 측정들과 벡터들의 요소들 간의 대응성이 도 18에 도식적으로 예시되어 있다. 객체 데이터가 표현되는 다차원 공간은 이전의 예시들에서 사용된 "마크 공간"과 대조적으로 "웨이퍼 공간"으로 지칭될 수 있다. 수학적으로, 이는 (웨이퍼) 공분산 행렬 Σw가 다음과 같이 표현될 수 있으며:
Σw = E[ X(l-2n) * X(l-2n)T ]
여기서, X(l-2n)은 객체 데이터를 2n 개의 벡터들의 세트로서 표현한 행렬이고, 이의 요소들은 m 개의 상이한 웨이퍼들 상의 x 또는 y 편차의 측정들이다. 더 이전의 구현에서 마크 공분산 행렬 Σ이 디멘션 2n x 2n을 갖는다면, 이 웨이퍼 공분산 행렬 Σw는 디멘션 m x m을 갖는다.
이러한 상이한 구현들에서는 동일한 객체 데이터가 전치된 형태(transposed form)로만 나타내어짐을 이해할 것이다. 실제로, 웨이퍼 공분산 행렬의 또 다른 표현은 도 8의 (마크) 공분산 행렬과 동일한 표기를 이용하여 다음과 같이 단순히 나타낼 수 있다:
Σw = E[ AL(1-m)T * AL(1-m) ]
다시 말해, 다른 PCA 다변량 분석은 마크 공분산 행렬 Σ 또는 웨이퍼 공분산 행렬 Σw를 이용하여 수행될 수 있다. 더욱이, 객체 데이터 행렬(AL(1-m) 또는 X(l-2n))의 열의 평균 및 행의 평균 또한 0이면, 분석의 결과들은, 마크 공간에 성분 벡터들로서 표현될 때 동일할 것임이 나타내어질 수 있다. 이 조건은, 예를 들어 도 9(b)에 예시된 바와 같이 평균 벡터가 감산되는 실시예들에 적용된다.
더 구체적으로, 디멘션 m x m의 웨이퍼 공분산 행렬 Σw가 PCA 분석 절차 동안 진단된다고 고려한다. 열의 평균과 행의 평균 둘 모두가 감산될 때, 정규화된 성분 벡터들(고유벡터들) 및 이에 따른 이 기저 상으로의 데이터의 여하한의 투영들은 (마크 공분산 행렬이 진단된다면) 제 1 구현으로 얻어진 것과 동일하다. 따라서, 이 대안적인 방법에 의해 얻어진 성분 벡터들은 이미 앞서 설명된 것과 또한 아래에 더 자세히 설명되는 것과 동일한 방식들로 진단 정보를 추출하는 데 사용될 수 있다.
본 발명의 또 다른 실시예에서, 객체 데이터의 특이값 분해(singular value decomposition: SVD)가 다변량 분석의 형태로서 수행된다. SVD 방법에서, 객체 데이터는 여하한의 다차원 공간에서 벡터들로서 명시적으로 표현되지 않고 행렬 형태로 직접적으로 분석된다. 하지만, 결과들의 간단한 조작(straightforward manipulation)으로, 이미 앞서 설명된 바와 같이 또한 아래에 더 자세히 설명되는 바와 같이 이들이 진단 정보를 추출하는 데 사용될 수 있다면, 다차원 공간에 표현되는 성분 벡터들을 다시 얻을 수 있다.
또한, 예시적인 실시예들에서 수행된 바와 같은 평균 웨이퍼의 감산이 다수의 웨이퍼 또는 다른 제품 유닛들 상에서의 공간 측정들의 성분 벡터 분포에 엄격히 필요한 것은 아님을 주의한다. 평균 웨이퍼가 감산되지 않는 경우, 우세한 성분 벡터가 평균으로 정렬될 수 있다.
본 명세서에 개시된 모든 구현들은 여하한의 타입의 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 여하한의 파라미터의 측정에 적용될 수 있음은 말할 필요도 없다. "마크 공간" 및 "웨이퍼 공간"이라는 용어는 순전히 예시를 위해 사용되며, 이들의 숨은 개념들이 반도체 웨이퍼들 상의 정렬 마크들의 예시로 제한됨을 암시하지 않는다.
다변량 분석 결과들에 기초한 저분해능 데이터의 고분해능 구성
앞서 자세히 설명된 바와 같이, 특정 핑거프린트들과 연계된 다양한 제조 공정 외란(manufacturing process disturbance)들은 제조 공정에 영향을 줄 수 있다. 명백하게, '실시간' 제조 공정 동안, 공정 외란들/또는 대응하는 핑거프린트들을 신속히 검출하고, 및/또는 계통적인 공정 외란들을 보정 또는 상쇄시키는 것이 바람직하다. 앞서 언급된 공정 모니터링 시스템은 이러한 목적을 위한 것이다. 또한, 공정 외란들의 검출 및 보정을 위한 방법들 및 연계된 장치들에 전용화된 메트롤로지 리소스들을 감소시키고, 시간을 단축하는 것이 바람직하다.
이 섹션에 개시된 것은, 이용가능한 제조 리소스(예를 들어, 저장된 공정 데이터)를 이용하여 제조 공정 외란의 계통적 보정을 가능하게 하는 제조 공정 외란의 검출을 개선하는 방법 및 연계 장치이다. 상기 방법은, 예를 들어 본 명세서에 설명된 실시예에서의 PCA 분석과 같은 이력 측정에서 수행되는 다변량 분석의 결과를 이용한다.
공정 성능을 모니터링하고, (필요하다면) 공정 최적화를 위해 연계된 보정들을 생성하기 위해, 조밀한 측정(dense measurement)(즉, 높은 공간 분해능을 가짐)이 정규적으로 수행된다. 하지만, 높은 공간 분해능을 갖는 측정은 시간 및 리소스를 소비하므로, 적은 수의 로트 상에서만 측정이 수행되는 경향이 있다.
시간 및 희박한 공간 측정 변동을 모니터링하기 위해 각각의 웨이퍼 상에서 희박한 측정(즉, 낮은 공간 분해능을 가짐)이 수행된다. 저분해능 측정/데이터(아래에서 희박한 객체 데이터라고도 칭해짐)는, 예를 들어 노광 이전에는 측정 스테이션(MEA)에서 및/또는 노광 이후에는 하나 이상의 메트롤로지 장치(240)로 수집될 수 있다.
도 19는 도 2의 생산 설비의 성능 모니터링 함수의 원리를 제시한다. 상기 방법은 도 12의 방법에서 모니터링 단계 S5의 컨텍스트에서 구현될 수 있다. 또한, 상기 방법은, 근본 원인 분석과 같은 다른 단계들이 동일한 또는 상이한 객체 데이터를 이용하여 수행되는지 여부에 관계없이, 측정된 객체 데이터의 다변량 분석의 결과를 이용하여 적용될 수 있다. 도 19에 나타낸 바와 같이, 제조 공정이 상한(UL) 및 하한(LL)에 의해 범위가 정해지는(delimit) 제어 한계(CL) 밖으로 드리프트(drift)되는지를 결정하기 위해, 의도한 공정 성능 표시자(PI)로부터 측정이 수행된다. 이 컨텍스트에서의 측정들은 성능 데이터로서 간주될 수 있지만, 본 예시에서 이들은 수집되고 다변량 분석을 거친 객체 데이터와 동일한 파라미터와 관련된다. 수평 축은 시간을, 또는 반도체 웨이퍼들에 대한 대량 생산 공정의 컨텍스트에서는 웨이퍼 수 또는 로트 수를 나타낸다. 이미 언급된 바와 같이, 일부 웨이퍼들 또는 로트들은 높은 공간 분해능(이후 조밀한 측정이라고도 칭해짐)으로 측정되는 한편, 대부분의 모니터링 측정은 시간을 절약하기 위해 낮은 공간 분해능(희박한 측정)으로 수행될 것이다.
이제, 도 20을 참조하면, 재구성 방법의 원리가 제시되며, 이에 의해 공정 성능 모니터링 동안 희박한 측정의 효과적인 공간 분해능을 향상시키기 위해 주성분 분석(PCA)과 같은 다변량 분석 기술이 적용될 수 있다. 이는, 각각의 웨이퍼에 대해 추산된 핑거프린트들의 정확성을 개선하는 이력 기반 공간 보간(history based spatial interpolation)을 수행함에 의하여 희박한 측정의 초-분해능 재구성(super-resolution reconstruction)이라고도 지칭될 수 있다. 예를 들어, 본 웨이퍼들과 유사한 웨이퍼들로부터의 조밀한 이력 측정 데이터로부터 얻어지는 저장된 조밀한 성분 벡터들을 선형으로 조합함으로써 주어진 희박한 측정을 재구성하는 것이 제안된다. 이 섹션에 제시된 초-분해능 재구성의 예시는 PCA 기반임을 유의한다. 또한, 다른 형태의 다변량 분석이 재구성 공정에 이용될 수 있다.
도 20은 PCA 기반 재구성 방법의 일 예시를 제시한다. 특정 층 및 제품 설계에 대응하는, 다수의 과거 웨이퍼(past wafer)에 대해 조밀한(높은 공간 분해능) 측정이 행해졌음을 가정한다. 예를 들어, 도 12의 방법의 컨텍스트에서, 단계 S1 및 S5에서 이러한 측정이 취해진다. 이 측정은, 예를 들어 CD 측정, 오버레이 또는 포커스일 수 있으며, 이에 따라 파라미터가 공정 모니터링을 위해 성능 표시자(PI)로서 역할하도록 요구된다. PCA 장치(250)는 성분 벡터들 또는 "핑거프린트들"의 세트를 얻기 위해 이러한 조밀한 측정을 처리하였다. (그러므로, 측정된 파라미터는 이 분석을 위해 객체 데이터로서 고려되지만, 이는 또한 RCA 장치(252)를 위해 "성능 데이터"의 일 예시로서 위에 사용되었다. 본 예시의 컨텍스트에서, 이는 "모니터링 데이터"로도 언급될 수 있다.) 이러한 성분 벡터들은 라이브러리(268)에 저장된다. 또한, 라이브러리는 잠재적으로 상이한 층들 및 상이한 제품들로부터의 데이터에 PCA에 의해 얻어진 핑거프린트들을 포함한다. 컨텍스트 데이터(도 20에 예시되지 않음)는 데이터베이스 내의 어떤 요소들이 어떤 층들 및 제품들과 관련되는지를 식별한다.
후속하여(예를 들어, 도 12의 모니터링 단계 S5 동안에), S20에서 새로운 패터닝된 웨이퍼가 동일한 파라미터(예를 들어, CD)의 희박한 측정을 거친다. 단계 S21에서, 관련 성분 벡터들이 검색되는 것을 보장하기 위해 제품 및 층을 식별하는 컨텍스트 데이터를 이용하여 PCA 장치(250)에 의한 고분해능 측정으로부터 계산된 성분 벡터들 PCn이 라이브러리로부터 검색된다.
단계 S22에서, 조밀한 성분 벡터들(PC1, PC2..PCN)의 서브세트가 라이브러리에 저장된 것들로부터 선택될 수 있다. 예를 들어, 선택된 성분 벡터들은 등가의 순수 가우시안 무작위성(equivalent pure Gaussian randomness)의 것들을 능가(surpass)하는 계수들을 갖는 것들에만 대응할 수 있다. 이와 다르게, 분석의 결과는 노이즈가 어떤 것인지에 의해서만 바람직하지 않게 영향을 받을 수 있다. 예를 들어 기본 원인 분석이 RCA 장치(252)에 의해 형성되었다면 더 자세한 컨텍스트 데이터에 기초하여, 다른 선택 기준이 적용될 수 있다.
단계 S23에서, 초-분해능 재구성을 수행하여 희박한 모니터링 데이터의 조밀한 버전을 생성하기 위해, 주어진 희박한 측정(희박한 모니터링 데이터) 및 선택된 고분해능 성분 벡터들이 사용된다. 이는 공정 모니터링 및/또는 제어에 사용하기 위해 S16에서 전달된다. 요약하면, 웨이퍼 및 이 웨이퍼를 나타내는 희박한 모니터링 데이터에서 희박한 측정이 달성될 때, 초-분해능 재구성은 각각의 제품 및 층에 대해 얻어진 측정과 같이, 예를 들어 조밀한 측정을 나타내는 저장된 이용가능한 관련 데이터(성능 벡터들)에 기초하여 희박한 데이터의 고분해능 버전을 재구성하도록 수행될 수 있다.
초-분해능 재구성 단계 S23은, 예를 들어 CD 또는 다른 모니터링 데이터의 주어진 희박한 측정을 나타내는 다차원 벡터 M에서 시작하는 다음의 단계들에 의해 구현될 수 있다:
(a) 수신된 모니터링 데이터 M의 희박한 샘플링 방식과 흡사하도록, 선택된 성분 벡터들 (PCn)을 서브샘플링(subsample)한다. (PCn)L은 성분 벡터 PCn의 희박한 버전을 나타낼 수 있다.
(b) 희박한 모니터링 데이터를 최적으로 설명한 성분 벡터들의 선형 조합을 찾기 위해, 최소 제곱 기준(least squares criterion)에 기초하여 최적 피트를 결정한다. 수학적인 용어로, 이 작업은 측정된 벡터를 대응하는 성분 벡터 (PCn)L 상으로 투영함으로써 가중 인자 cn을 찾는 것이다.
(c) 단계 (b)에서 찾아낸 식별된 가중 인자 cn과 원래(고밀도) 성분 벡터들 (PCn)을 합산하여, 모니터링 데이터 M의 고분해능 버전을 재구성한다.
여기에 적용된 재구성 기술은 컴퓨터 시각(computer vision)과 관련된 분야들에 적용되는 것으로 알려진 PCA 기반 이미지 재구성 기술과 유사하다. 알려진 기술은 'PCA based Generalized Interpolation for Image Super-Resolution[C. V. Jiji 외, ICVGIP 2004, Proceedings of the Fourth Indian Conference on Computer Vision, Graphics & Image Processing, Kolkata, India, (2004)]'에 개시되어 있다. 하지만, Jiji 참조문헌은 전체적으로 저분해능 이미지를 대상으로 함을 유의한다. 고분해능 객체 데이터 및 고분해능 성분 벡터들의 라이브러리는 존재하지 않는다. 이력 페이스 이미지(historical face image)들의 데이터베이스의 PCA 분석에 의해 얻어진 저분해능 "고유-이미지들"의 라이브러리만이 존재한다. 주로 이미지 획득 및 전송에 적용되는 다른 업-샘플링(up-sampling)(즉, 분해능 향상) 기술들이, 예를 들어 US6184935, US20110081094, US6603804, US7019777, US20070031065에 개시되어 있다. 데이터베이스에 저장된 이미지들의 향상을 위한 방법 및 장치가, 예를 들어 US7123780에 개시되어 있다. 페이스 인식 및 재구성 기술들은, 예를 들어 US7379611, US20110305404에 개시되어 있다. 본 발명자들은 이러한 참조문헌들로부터의 교시가 여기에 개시된 바와 같은 향상된 메트롤로지를 위한 재구성 기술의 구현을 돕는 데 이용가능할 수 있음을 인식하였다.
"희박한" 및 "조밀한"이라는 용어는 상대적인 용어이며, 희박한 분해능의 절대적인 수준은 어느 용어로도 암시되지 않음을 이해할 것이다. 또한, 일 컨텍스트에서 높은 공간 분해능이라는 것은, 또 다른 목적을 위해 또는 또 다른 타입의 데이터를 위해 낮은 공간 분해능일 수도 있다.
도 7 내지 도 9를 참조하여 앞서 설명된 예시들에 대응하는 PCA 구현에 대해 재구성 방법이 설명되었지만, 재구성 방법은 또한 앞서 설명된 대안적인 구현들을 이용하도록 구성될 수도 있다.
성능 모니터링을 개선하기 위한 재구성의 적용 예시
도 21은 앞서 예시된 타입의 초-분해능 방법을 포함하는 성능 모니터링 함수를 나타내는 작업흐름도이다. 성능 모니터링 함수는, 예를 들어 도 2에 도시된 것과 같은 제조 설비에서 실시간 공정 보정 및/또는 데이터 전/후-처리를 위해 제어기에서 구현될 수 있다. 이는 예를 들어 도 12의 모니터링 단계 S5의 구현일 수 있으며, 보정 단계 S11 또는 별도의 보정 단계 내로 전달될 수 있다.
단계 S30에서, 측정 형성 모니터링 데이터(measurements forming monitoring data)가 이용가능하다. 단계 S31에서, 모니터링 데이터의 공간 분해능이 모니터링된다. 데이터가 조밀한 측정에 의해 얻어진 경우, 단계 S32에서 이 데이터는 268에 저장된 라이브러리에 객체 데이터로서 추가될 수 있다(도 12의 S6). PCA 분석은 즉시 또는 가끔(on an occasional basis) 업데이트될 수 있다. 단계 S33에서, 모니터링된 웨이퍼 또는 로트의 고분해능 핑거프린트들이 정상 성능 모니터링 공정과 동일한 방식으로 추산된다. 예를 들어, 측정의 노이즈를 감소시키기 위해 공간 및/또는 일시 평활(temporal smoothing)이 적용될 수 있다. S34에서, 대응하는 보정들이 전달되며, 제어기에 대해 이용하능하게 만들어져 후속 생산의 성능을 개선한다(도 2의 S12에 대응).
새로운 모니터링 데이터가 희박한 측정에 의해서만 얻어진 경우, 단계 S35에서 희박한 핑거프린트들이 추산된다. 다시, 이는 측정들의 무작위 노이즈의 영향을 감소시키기 위해 공간 및/또는 일시 평활을 수반할 수 있다. 단계 S36에서, 도 19에 설명된 것과 같은 제어 한계에 대해 공정 표시자(PI)가 테스트된다. 성능 표시자가 제어 한계(CL) 밖에 있는 경우, 플로우는 제어불능(out-of-control) 작업 단계 S37로 진행한다. 오차의 심각성 및 설계에 따라, 생산 공정이 중지될 수 있거나, 적어도 경보가 제어기로 보내질 수 있다. 성능 표시자가 한계 내에 있는 경우, 단계 S38에서 관련 PCA 결과들이 라이브러리(268)에 이용가능한지가 검사된다. 적절한 결과들이 이용가능한 경우, 단계 S39에서 초-분해능 구성이 희박한 모니터링 데이터에 적용된다. 이는 도 19을 참조하여 앞서 설명된 바와 같이 수행된다. 재구성 후, 모니터링 데이터의 고-분해능(조밀한) 버전이 성능 모니터링 및 보정에 사용하기 위해 단계 S33으로 전달될 수 있다. 하지만 단계 S33을 통과하기 전에, 단계 S40에서 재구성이 품질-검사된다. 단계 S39의 데이터 재구성 공정이 몇 가지 이유로 성공적이지 않다고 판단된 경우, 제어는 다시 단계 S37로 진행하여 제어불능 작업을 촉발시킨다. 단계 S38로 되돌아가, 라이브러리에서 이용가능한 PCA 결과들이 불충분한 경우, 성능 모니터링 함수는 선택적으로 S41에서 저분해능 보정들을 생성할 수 있으며, 이는 제어기로 전달될 수 있다.
충분한 크기 및 품질의 라이브러리가 성능 모니터링 함수에 접근할 수 있다고 가정하면, 방금 설명된 재구성 방법은 대규모(high-volume) 제조 공정에서 희박한 및 조밀한 측정들 간의 결점을 보완할 수 있다. S40에서의 품질 검사와 관련하여, 이는 예를 들어 성분 벡터들의 최소 제곱 피팅이 수신된 모니터링 데이터와 불량한 상관관계만을 산출하는 것일 수 있다. 이는 일부 효과가 모니터링된 웨이퍼들에 존재하고, 라이브러리에 나타내어진 PCA 분석에 사용되는 이력 객체 데이터에 나타내어지지 않았음을 나타낸다. 이러한 방식으로, 현재 측정치들이 과거 관찰치들과 상당히 비유사할 때, 예를 들어 성분 벡터 피트 잔차(component vectors fit residual)의 분산이 주어진 적용을 위한 특정 임계값을 능가하는 경우, 모니터링 공정은 경보를 보낼 수 있다. 이러한 품질 검사는, 성능 표시자 자체가 여전히 제어 한계 내에 제대로 있더라도, 문제의 조기 표시를 제공할 수 있음을 유의한다.
결론적으로, 초-분해능 재구성 방법의 출력들은 몇 가지 장점을 산출할 수 있다:
- SR 재구성 방법은 각각의 로트에 적용될 수 있는 신뢰가능한 고분해능 보정을 제공함에 따라, 임계 층들에 대한 수율을 개선한다.
- 적은 성능 손실로 메트롤로지 노력(metrology effort)이 서브-임계 층들에 대해 감소될 수 있음에 따라, 임계 공정들에 대해 더 광대한 고분해능 측정을 허용한다.
- 조기 스테이지에서 성능 변동이 검출될 수 있음에 따라, 재작업 경비를 절감하고, 조밀한 측정의 더 양호한 계획을 허용한다.
초-분해능 재구성(SR) 방법의 대안적인 및/또는 추가적인 적용 예시들은, 예를 들어 미묘한(subtle) 공정 드리프트(예를 들어, 시간에 걸친 핑거프린트 변동)의 검출이다. 현재, 핑거프린트 변동은 변동 공간 프로파일이 아닌 변동 크기를 모니터링하는 성능 표시자들에 의해 모니터링된다. 그러므로, 제조 공정에 영향을 줄 수 있는 '임계 지점'에 도달할 때까지, 작은 공정 드리프트가 검출되지 않을 수 있다. SR 방법은 핑거프린트 공간 프로파일의 작은 시간 변동을 검출하기 위해 구현될 수 있다.
대안적으로, 희박한 측정과 병렬로, SR 방법은 고분해능 측정들을 수행하는 빈도를 줄이기 위해 '상대적으로 안정한' 서브-임계 층들의 모니터링에 이용될 수 있다. 이 경우, 높은 공간 주파수의 점진적인 변화는 검출되지 않을 수 있다.
또한, SR 방법은 수율의 개선을 도울 수 있다. 예를 들어, 시간에 걸쳐 변동하는 높은 공간 주파수 핑거프린트를 제시하는 임계 층들의 경우, 각각의 로트 밀도를 측정하는 것이 바람직할 수 있다; 하지만, 이미 언급된 바와 같이 실제로는 광대한 측정이 실현가능하지 않다. 희박한 측정으로부터 조밀한 측정의 초-분해능 재구성을 이용하면, 다음 고분해능 측정이 행해질 때까지 기다릴 필요가 없기 때문에, 처리 서브-레시피에 대한 업데이트가 감소된 시간 지연으로 구현될 수 있게 한다.
SR 방법은 CD 및 오버레이와 같은 성능 파라미터들 이외의 측정에 적용될 수 있다. 또한, 리소그래피 패터닝 단계 내의 레벨링 및/또는 정렬 절차가 이의 연산 장치 및 연계된 절차 내에서 SR 방법의 구현에 유익할 수 있다. 예를 들어, 레벨링 및/또는 정렬 절차 동안, SR 방법은 공간 분해능을 향상시키고, 및/또는 '이력' 데이터에 기초하여 높이 맵 및/또는 레벨 측정의 정확성을 증가시키는 데 도움을 줄 수 있다. 실제 측정의 밀도를 감소시키는 것은 스루풋을 증가시키는 데 도움을 줄 수 있다.
또한, SR 방법은 SEM(scanning electron microscope) 측정에 대한 풀 칩 수치 시뮬레이션(full chip numerical simulation)에 요구되는 SEM 측정의 양을 감소시키는 데 도움을 줄 수 있다. 예를 들어, SEM 측정이 적은 수의 층들에 대해 수행될 수 있다. 이후, SR 방법은 후속 작업에 대한 메트롤로지 경비를 줄이기 위해 희박한 측정에 적용될 수 있다.
하드웨어 구현
여하한의 범용 데이터 처리 하드웨어(컴퓨터)가 객체 데이터, 그리고 요구된다면 성능 데이터 및 컨텍스트 데이터에 접근하는 한, 앞서 설명된 방법들의 단계들은 여하한의 범용 데이터 처리 하드웨어(컴퓨터) 내에서 자동화될 수 있다. 상기 장치는 도 1에 도시된 리소그래피 장치 제어 유닛(LACU) 또는 전체 공정 제어 시스템과 같은 기존의 프로세서들과 통합될 수 있다. 하드웨어는 처리 장치로부터 떨어져 있을 수 있으며, 심지어는 상이한 국가에 위치된다. 적합한 데이터 처리 장치(DPA)의 구성요소들이 도 22에 도시되어 있다. 상기 장치는 컴퓨터 실행가능한 코드를 포함하는 컴퓨터 프로그램 제품을 로딩하도록 배치될 수 있다. 이는, 컴퓨터 프로그램 제품이 다운로드될 때, 컴퓨터 조립체가 앞서 설명된 바와 같은 PCA 장치 및/또는 RCA 장치의 함수들을 구현할 수 있게 한다.
프로세서(1227)에 연결된 메모리(1229)는 하드 디스크(1261), ROM(Read Only Memory: 1262), EEPROM(Electrically Erasable Programmable Read Only Memory: 1263) 및 RAM(Random Access Memory: 1264)와 같은 다수의 메모리 구성요소들을 포함할 수 있다. 앞서 언급된 메모리 구성요소들이 모두 존재해야 하는 것은 아니다. 또한, 앞서 언급된 메모리 구성요소들은 물리적으로 프로세서(1227)에, 또는 서로에 근접하게 있을 필요는 없다. 이들은 떨어져 위치될 수 있다.
또한, 프로세서(1227)는 어떠한 종류의 사용자 인터페이스, 예를 들어 키보드(1265) 또는 마우스(1266)에 연결될 수 있다. 또한, 터치 스크린, 트랙 볼(track ball), 스피치 컨버터(speech converter) 또는 당업자에게 알려져 있는 다른 인터페이스들이 사용될 수도 있다.
프로세서(1227)는 판독 유닛(1267)에 연결될 수 있으며, 이는 플로피 디스크(1268) 또는 CDROM(1269)과 같은 데이터 이동 매체로부터, 예를 들어 컴퓨터 실행가능한 코드의 형태로 데이터를 판독하고, 몇몇 상황들에서는 데이터 이동 매체에 데이터를 저장하도록 배치된다. 또한, DVD 또는 당업자에게 알려져 있는 다른 데이터 이동 매체들이 사용될 수도 있다.
또한, 프로세서(1227)는 종이에 출력 데이터를 프린트하는 프린터(1270)와, 디스플레이(1271), 예를 들어 모니터 또는 LCD(Liquid Crystal Display), 또는 당업자에게 알려져 있는 여하한 다른 형태의 디스플레이에 연결될 수 있다.
프로세서(1227)는 입력/출력(I/O)을 담당하는 송신기/수신기(1273)에 의해 통신 네트워크(1272), 예를 들어 PSTN(public switched telephone network), LAN(local area network), WAN(wide area network) 등에 연결될 수 있다. 프로세서(1227)는 통신 네트워크(1272)를 통해 다른 통신 시스템들과 통신하도록 배치될 수 있다. 본 발명의 일 실시예에서, 통신 네트워크(1272)를 통해 외부 컴퓨터들(도시되지 않음), 예를 들어 작업자의 개인용 컴퓨터들이 프로세서(1227)에 로그인할 수 있다.
프로세서(1227)는 독립적인 시스템으로서, 또는 병렬로 작동하는 다수의 처리 유닛들로서 구현될 수 있으며, 각각의 처리 유닛은 더 큰 프로그램의 서브-작업들을 실행하도록 배치된다. 또한, 처리 유닛들은 수 개의 서브-처리 유닛들을 갖는 하나 이상의 메인 처리 유닛들로 나뉠 수 있다. 또한, 프로세서(1227)의 몇몇 처리 유닛들은 다른 처리 유닛들과 멀리 떨어져서 위치될 수도 있으며, 통신 네트워크(1272)를 통해 통신한다. 모듈들 간의 연결은 유선 또는 무선으로 이루어질 수 있다.
컴퓨터 시스템은 여기에 설명된 기능들을 실행하도록 배치된 아날로그 및/또는 디지털 및/또는 소프트웨어 기술을 이용하는 여하한의 신호 처리 시스템일 수 있다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 이미 언급된 바와 같이, 본 발명은 리소그래피와 상당히 별개인 산업 처리 적용들에 적용될 수 있다. 예시들은 광학 구성요소들, 자동차 제조의 생산, 구성 - 객체 데이터가 제품 상에서의 특정 공간 분포로 만들어진 측정들의 형태로 존재하는 여하한의 수의 적용들에 관한 것일 수 있다. 리소그래피의 예시에서와 마찬가지로, 다변량 분석을 거친 측정들의 세트는 상이한 제품 유닛들 및/또는 동일한 제품 유닛들을 측정하는 상이한 인스턴스들에 대해 만들어진 측정들일 수 있다. 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 타입의 리소그래피, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스의 토포그래피(topography)는 기판에 생성되는 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트로부터 이동되며, 레지스트는 레지스트가 경화된 후에 그 안에 패턴을 남긴다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
앞선 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면, 아래에 설명되는 청구항들의 기술적 사상 및 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다. 또한, 본 명세서에서 어느 하나의 실시예에 설명되거나 도시된 방법 단계들 또는 구조적 특징들이 다른 실시예들에서도 사용될 수 있음을 이해하여야 한다.

Claims (42)

  1. 산업 공정에 관련하여 사용되는 진단 장치에 있어서,
    데이터 처리 장치를 포함하고, 상기 데이터 처리 장치는:
    - 동일한 산업 공정을 공칭적으로(nominally) 거친 제품 유닛(product unit)들의 세트에 대한 객체 데이터(object data)를 수신하는 단계 - 각각의 제품 유닛에 대한 상기 객체 데이터는 상기 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 상기 제품 유닛에 대해 측정된 하나 이상의 파라미터들을 나타냄 -;
    - 상기 제품 유닛들의 각각에 대한 상기 객체 데이터가 벡터로서 나타내어질 수 있는 다차원 공간을 정의하는 단계;
    - 상기 객체 데이터에 대해 다변량 분석(multivariate analysis)을 수행하여, 상기 다차원 공간에서 하나 이상의 성분 벡터(component vector)들을 얻는 단계; 및
    - 상기 하나 이상의 성분 벡터들로 투영될 때, 식별된 하나 이상의 제품 유닛들의 각각의 벡터의 위치에 기초하여 상기 제품 유닛들의 세트 중 식별된 하나 이상의 특정 제품 유닛들을 적어도 부분적으로 이용하여 상기 산업 공정에 관한 진단 정보를 추출하는 단계를 수행하도록 프로그램되는 진단 장치.
  2. 제 1 항에 있어서,
    상기 객체 데이터로서 사용하기 위해, 오버레이, 임계 치수, 측벽 각도, 웨이퍼 품질, 포커스 중 하나 이상의 공간적으로 분포되는 측정들을 수신하도록 배치되는 진단 장치.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 성분 벡터들 중 선택된 하나의 성분 벡터 상으로 투영될 때, 각각의 벡터가 범주 밖의 위치(outlying position)를 점유한 상기 식별된 하나 이상의 제품 유닛들에 기초하여 진단 정보를 추출하도록 배치되는 진단 장치.
  5. 제 1 항에 있어서,
    각각의 개별 제품 유닛에 적용된 바에 따라 상기 산업 공정의 하나 이상의 파라미터들을 나타내는 컨텍스트 데이터(context data)를 수신하도록 더 배치되고, 상기 컨텍스트 데이터를 이용하여 진단 정보를 추출하도록 더 프로그램되는 진단 장치.
  6. 제 5 항에 있어서,
    상기 컨텍스트 데이터의 하나 이상의 파라미터들 및 상기 성분 벡터들에 기초하여 관심 대상으로서 제품 유닛들의 식별 간의 상관관계를 식별함으로써 적어도 부분적으로 상기 진단 정보를 추출하도록 프로그램되는 진단 장치.
  7. 제 5 항 또는 제 6 항에 있어서,
    상기 컨텍스트 데이터로부터 선택된 파라미터들로 제품 유닛 분포의 1-차원 또는 다-차원 플롯(one- or more-dimensional plot)들을 디스플레이함으로써 진단 정보를 추출하도록 프로그램되고, 상기 제품 유닛들은 관심 대상으로서 지정된 제품 유닛들이 다른 제품 유닛들과 시각적으로 구별될 수 있는 방식으로 상기 플롯들에 나타내어지는 진단 장치.
  8. 제 1 항에 있어서,
    상기 산업 공정이 상이한 개별 제품 유닛들 상에서 상이한 개별 처리 장치들에 의해 하나 이상의 리소그래피, 물리적 및/또는 화학적 작업들을 수행하는 것을 포함하는 경우에 사용하도록 구성되고, 컨텍스트 데이터는 주어진 작업에 사용되는 개별 처리 장치를 식별하는 적어도 하나의 파라미터를 포함하는 진단 장치.
  9. 제 1 항에 있어서,
    상기 진단 정보를 추출하는 단계는:
    - 상기 제품 유닛들의 세트와 동일한 산업 공정을 공칭적으로 거친 하나 이상의 추가 제품 유닛들에 대한 희박한 객체 데이터(sparse object data)를 수신하는 단계 - 상기 추가 제품 유닛(들)에 대한 상기 희박한 객체 데이터는 상기 제품 유닛들의 세트에 대해 수신된 측정들보다 낮은 밀도로 상기 추가 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 상기 추가 제품 유닛(들)에 대해 측정된 상기 하나 이상의 파라미터들을 나타냄 -;
    - 적어도 상기 다변량 분석에 의해 식별되는 상기 성분 벡터들의 서브세트를 기준으로 하여 상기 희박한 객체 데이터를 분석하는 단계; 및
    - 상기 분석 단계의 결과에 따라 상기 성분 벡터들과 상기 희박한 객체 데이터를 조합하여, 상기 희박한 객체 데이터보다 높은 밀도로 상기 추가 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 상기 추가 제품 유닛(들)에 대해 측정된 상기 하나 이상의 파라미터들을 나타내는 객체 데이터를 재구성하는 단계를 포함하는 진단 장치.
  10. 제 9 항에 있어서,
    상기 희박한 객체 데이터를 분석하는 단계는 상기 성분 벡터들의 희박한 버전(sparse version)들을 기준으로 하여 수행되고, 성분 벡터의 각각의 희박한 버전은 상기 희박한 객체 데이터의 공간 분포에 따라 상기 성분 벡터를 서브-샘플링(sub-sample)함으로써 생성되는 진단 장치.
  11. 제 1 항에 있어서,
    상기 산업 공정을 제어하는 데 사용되는 보정 데이터를 생성하도록 더 프로그램되는 진단 장치.
  12. 제 9 항에 있어서,
    상기 추가 제품 유닛들에 적용된 바에 따라 산업 공정의 파라미터들을 나타내는 추가 컨텍스트 데이터와 컨텍스트 기준(context criteria)을 비교함으로써, 어떤 제품 유닛들에 보정이 적용되어야 하는지를 결정하는 데 사용되는 상기 컨텍스트 기준을 생성하도록 더 프로그램되는 진단 장치.
  13. 제 11 항에 있어서,
    상기 산업 공정이 리소그래피 패터닝 작업들 및 물리적 및/또는 화학적 작업들의 혼합을 포함하는 경우에 사용되도록 구성되고, 리소그래피 패터닝 작업에서 보정들을 적용하기 위해 상기 보정 데이터를 생성하도록 프로그램되는 진단 장치.
  14. 제 1 항에 있어서,
    상기 추출된 진단 정보에 기초하여 보정들을 적용함으로써 리소그래피 장치를 제어하도록 배치된 제어기를 더 포함하는 진단 장치.
  15. 산업 공정과 관련된 진단 정보를 얻는 방법에 있어서,
    - 동일한 산업 공정을 공칭적으로 거친 제품 유닛들의 세트에 대한 객체 데이터를 수신하는 단계 - 각각의 제품 유닛에 대한 상기 객체 데이터는 상기 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 상기 제품 유닛에 대해 측정된 하나 이상의 파라미터들을 나타냄 -;
    - 상기 제품 유닛들의 각각에 대한 상기 객체 데이터가 벡터로서 나타내어질 수 있는 다차원 공간을 정의하는 단계;
    - 상기 객체 데이터에 대해 다변량 분석을 수행하여, 상기 다차원 공간에서 하나 이상의 성분 벡터들을 얻는 단계; 및
    - 상기 성분 벡터들을 이용하고, 상기 하나 이상의 성분 벡터들로 투영될 때식별된 하나 이상의 제품 유닛들의 각각의 벡터의 위치에 기초하여 상기 제품 유닛들의 세트 중 식별된 하나 이상의 특정 제품 유닛들을 적어도 부분적으로 이용하여, 상기 산업 공정에 관한 진단 정보를 추출하는 단계를 포함하는 진단 정보를 얻는 방법.
  16. 제 15 항에 있어서,
    상기 산업 공정은 기판들의 형태로 제품 유닛들에 대해 수행되는 하나 이상의 리소그래피 처리 단계들의 시퀀스를 포함하고, 각각의 리소그래피 처리 단계는 하나 이상의 리소그래피 패터닝 작업들에 후속하여 하나 이상의 물리적 및/또는 화학적 처리 작업들을 포함하는 진단 정보를 얻는 방법.
  17. 제 15 항에 있어서,
    추가 제품 유닛들에 대해 수행될 때 상기 산업 공정을 제어하는 데 사용되는 보정 데이터의 하나 이상의 세트들을 생성하는 단계를 더 포함하는 진단 정보를 얻는 방법.
  18. 제 15 항에 있어서,
    상기 진단 정보를 추출하는 단계는:
    - 상기 제품 유닛들의 세트와 동일한 산업 공정을 공칭적으로 거친 하나 이상의 추가 제품 유닛들에 대한 희박한 객체 데이터를 수신하는 단계 - 상기 추가 제품 유닛(들)에 대한 상기 희박한 객체 데이터는 상기 제품 유닛들의 세트에 대해 수신된 측정들보다 낮은 밀도로 상기 추가 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 상기 추가 제품 유닛(들)에 대해 측정된 상기 하나 이상의 파라미터들을 나타냄 -;
    - 적어도 상기 다변량 분석에 의해 식별되는 상기 성분 벡터들의 서브세트를 기준으로 하여 상기 희박한 객체 데이터를 분석하는 단계; 및
    - 상기 분석 단계의 결과에 따라 상기 성분 벡터들과 상기 희박한 객체 데이터를 조합하여, 상기 희박한 객체 데이터보다 높은 밀도로 상기 추가 제품 유닛에 걸쳐 공간적으로 분포되는 지점들에서 추가 제품 유닛(들)에 대해 측정된 상기 하나 이상의 파라미터들을 나타내는 객체 데이터를 재구성하는 단계를 포함하는 진단 정보를 얻는 방법.
  19. 리소그래피 장치를 제어하는 방법에 있어서,
    제 15 항에 따른 방법에 의해 객체 데이터로부터 추출된 진단 정보에 기초하여 보정들이 적용되는 리소그래피 장치를 제어하는 방법.
  20. 범용 데이터 처리 장치가 제 1 항에 따른 진단 장치를 구현하거나, 제 15 항에 따른 방법의 단계들을 수행하도록 유도하는 기계 판독가능한 명령어들을 포함하는 프로그램을 기록한 컴퓨터로 읽을 수 있는 매체.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
KR1020167011583A 2013-10-02 2014-09-05 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치 KR101900340B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361885977P 2013-10-02 2013-10-02
US61/885,977 2013-10-02
PCT/EP2014/068932 WO2015049087A1 (en) 2013-10-02 2014-09-05 Methods & apparatus for obtaining diagnostic information relating to an industrial process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020187026381A Division KR102124111B1 (ko) 2013-10-02 2014-09-05 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20160067146A KR20160067146A (ko) 2016-06-13
KR101900340B1 true KR101900340B1 (ko) 2018-09-20

Family

ID=51494286

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020167011583A KR101900340B1 (ko) 2013-10-02 2014-09-05 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
KR1020207016831A KR102242414B1 (ko) 2013-10-02 2014-09-05 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
KR1020187026381A KR102124111B1 (ko) 2013-10-02 2014-09-05 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020207016831A KR102242414B1 (ko) 2013-10-02 2014-09-05 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
KR1020187026381A KR102124111B1 (ko) 2013-10-02 2014-09-05 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치

Country Status (6)

Country Link
US (6) US9946165B2 (ko)
KR (3) KR101900340B1 (ko)
CN (1) CN105765461B (ko)
NL (1) NL2013417A (ko)
TW (1) TWI582878B (ko)
WO (1) WO2015049087A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180018810A (ko) * 2015-07-20 2018-02-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치를 제어하는 방법, 리소그래피 장치 및 디바이스 제조 방법
KR20180018805A (ko) * 2015-07-16 2018-02-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법
KR20190089495A (ko) * 2018-01-23 2019-07-31 윤형열 패턴 형성 장치 및 방법

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105765461B (zh) 2013-10-02 2018-01-05 Asml荷兰有限公司 用于获得与工业过程有关的诊断信息的方法和设备
US9715724B2 (en) * 2014-07-29 2017-07-25 Applied Materials Israel Ltd. Registration of CAD data with SEM images
JP6547275B2 (ja) * 2014-10-29 2019-07-24 株式会社リコー 情報処理システム、情報処理装置、情報処理方法、及びプログラム
KR101991498B1 (ko) 2014-12-12 2019-06-20 에이에스엠엘 네델란즈 비.브이. 기판 모델 파라미터를 계산하고 리소그래피 처리를 제어하기 위한 방법 및 장치
US11569138B2 (en) 2015-06-16 2023-01-31 Kla Corporation System and method for monitoring parameters of a semiconductor factory automation system
NL2017060A (en) 2015-07-13 2017-01-17 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP6584512B2 (ja) * 2015-09-02 2019-10-02 三菱電機株式会社 シミュレーション装置及びシミュレーションプログラム
US10331040B2 (en) 2015-10-08 2019-06-25 Asml Netherlands B.V. Method of controlling a lithographic apparatus and device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
CN108369412B (zh) * 2015-10-08 2020-10-16 Asml荷兰有限公司 用于控制工业过程的方法和设备
WO2017102264A1 (en) * 2015-12-17 2017-06-22 Asml Netherlands B.V. Source separation from metrology data
NL2017857A (en) 2015-12-18 2017-06-26 Asml Netherlands Bv Process flagging and cluster detection without requiring reconstruction
TWI677772B (zh) * 2016-01-21 2019-11-21 聯華電子股份有限公司 先進製程控制方法
WO2017144379A1 (en) * 2016-02-22 2017-08-31 Asml Netherlands B.V. Separation of contributions to metrology data
WO2017146785A1 (en) 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
WO2017194289A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step and metrology apparatus
US10983440B2 (en) * 2016-05-23 2021-04-20 Asml Netherlands B.V. Selection of substrate measurement recipes
EP3279737A1 (en) 2016-08-05 2018-02-07 ASML Netherlands B.V. Diagnostic system for an industrial process
EP3312672A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods of determining corrections for a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
EP3312693A1 (en) 2016-10-21 2018-04-25 ASML Netherlands B.V. Methods & apparatus for controlling an industrial process
EP3529667B1 (en) 2016-10-21 2021-05-19 ASML Netherlands B.V. Methods of determining corrections for a patterning process
EP3321740A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Determining an optimal operational parameter setting of a metrology system
EP3352013A1 (en) * 2017-01-23 2018-07-25 ASML Netherlands B.V. Generating predicted data for control or monitoring of a production process
NL2020344A (en) * 2017-02-03 2018-08-14 Asml Netherlands Bv Exposure apparatus
EP3364247A1 (en) * 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
CN110383177B (zh) 2017-02-22 2021-10-29 Asml荷兰有限公司 计算量测法
CN110622079B (zh) * 2017-03-06 2022-09-02 霍尼韦尔有限公司 用于设计具有用于多阵列交叉方向(cd)幅材制造或处理系统或其他系统的空间稳健性的基于模型的控制的方法和装置
US10890882B2 (en) 2017-03-06 2021-01-12 Honeywell International Inc. Method and apparatus for designing model-based control having spatial robustness for multiple array cross-direction (CD) web manufacturing or processing systems or other systems
EP3382606A1 (en) 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimizing an apparatus for multi-stage processing of product units
CN106933211B (zh) * 2017-04-18 2019-04-09 中南大学 一种识别工业过程动态调整区间的方法和装置
EP3392711A1 (en) * 2017-04-19 2018-10-24 ASML Netherlands B.V. Maintaining a set of process fingerprints
JP2020519932A (ja) 2017-04-28 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 製品ユニットの製造プロセスのシーケンスの最適化
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
KR102296942B1 (ko) 2017-05-05 2021-09-01 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 프로세스의 수율의 예측 방법
WO2018215177A1 (en) * 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
CN113376976A (zh) * 2017-06-22 2021-09-10 Asml荷兰有限公司 用于确定对指纹的贡献的方法
CN110799907B (zh) * 2017-06-26 2021-12-28 Asml荷兰有限公司 确定变形的方法
CN107515596B (zh) * 2017-07-25 2020-05-05 北京航空航天大学 一种基于图像数据变窗口缺陷监控的统计过程控制方法
JP6959831B2 (ja) * 2017-08-31 2021-11-05 株式会社日立製作所 計算機、処理の制御パラメータの決定方法、代用試料、計測システム、及び計測方法
EP3627228A1 (en) 2017-09-28 2020-03-25 ASML Netherlands B.V. Lithographic method
US11656279B2 (en) 2017-10-16 2023-05-23 Hitachi Energy Switzerland Ag Method for monitoring circuit breaker and apparatus and internet of things using the same
JP7209462B2 (ja) * 2017-10-20 2023-01-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
TWI651687B (zh) 2017-11-24 2019-02-21 財團法人工業技術研究院 三維模型建構方法及其系統
DE112019000022T5 (de) * 2018-01-31 2019-10-24 Asml Netherlands B.V. Verfahren zum Kennzeichnen von Substraten auf der Basis von Prozessparametern
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US10509328B2 (en) * 2018-04-27 2019-12-17 Applied Materials, Inc. Fabrication and use of dose maps and feature size maps during substrate processing
EP3579051A1 (en) 2018-06-07 2019-12-11 ASML Netherlands B.V. Generation of sampling scheme
TWI729334B (zh) 2018-06-07 2021-06-01 荷蘭商Asml荷蘭公司 用於判定器件之控制方案的方法、電腦程式和系統及用於判定多個器件處理之基板的方法
EP3850454A4 (en) * 2018-09-10 2022-06-15 AVEVA Software, LLC DYNAMIC SUMMARY OF SYSTEM AND PROCESS DATA PROCESS
KR102162597B1 (ko) * 2018-09-28 2020-10-08 세메스 주식회사 데이터 분석 장치 및 방법
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
EP3647873A1 (en) * 2018-11-02 2020-05-06 ASML Netherlands B.V. Method to characterize post-processing data in terms of individual contributions from processing stations
WO2020094325A1 (en) 2018-11-07 2020-05-14 Asml Netherlands B.V. Determining a correction to a process
WO2020114686A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
WO2020114829A1 (en) * 2018-12-05 2020-06-11 Asml Holding N.V. Adaptive alignment
US11328964B2 (en) 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
JP7171468B2 (ja) * 2019-02-20 2022-11-15 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、物品の製造方法、物品の製造システム、及び出力方法
CN111600735B (zh) * 2019-02-21 2021-08-03 烽火通信科技股份有限公司 一种样本数据的处理方法、系统及装置
US11442359B2 (en) * 2019-03-11 2022-09-13 Canon Kabushiki Kaisha Method of separating a template from a shaped film on a substrate
CN110244684A (zh) * 2019-04-24 2019-09-17 四川中鼎智能技术有限公司 基于空压机储气罐压力数据关联的诊断控制方法、系统、存储介质和终端
EP3973358B1 (en) * 2019-05-22 2023-07-05 ASML Netherlands B.V. Method for determining a sampling scheme, a semiconductor substrate measurement apparatus, a lithographic apparatus
CN110501421A (zh) * 2019-07-24 2019-11-26 武汉大学 一种基于机械臂的轨道仿形探伤方法
JP7173937B2 (ja) * 2019-08-08 2022-11-16 株式会社日立ハイテク 荷電粒子線装置
JP7159128B2 (ja) 2019-08-08 2022-10-24 株式会社日立ハイテク 荷電粒子線装置
JP7189103B2 (ja) 2019-08-30 2022-12-13 株式会社日立ハイテク 荷電粒子線装置
WO2021088027A1 (en) * 2019-11-08 2021-05-14 Yangtze Memory Technologies Co., Ltd. Automatic assessment method and assessment system thereof for yield improvement
JP7360925B2 (ja) * 2019-12-16 2023-10-13 株式会社日立製作所 分析システム
WO2021229030A1 (en) * 2020-05-14 2021-11-18 Asml Netherlands B.V. Method for predicting stochastic contributors
WO2021228811A1 (en) 2020-05-14 2021-11-18 Asml Netherlands B.V. Method of wafer alignment using at resolution metrology on product features
EP3910418A1 (en) * 2020-05-14 2021-11-17 ASML Netherlands B.V. Method for direct decomposition of stochastic contributors
CN111580350B (zh) * 2020-05-28 2023-04-07 上海华力集成电路制造有限公司 晶圆迭加异常补偿方法及晶圆迭加异常信息量测方法
WO2021259559A1 (en) * 2020-06-24 2021-12-30 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN114065687A (zh) * 2020-08-07 2022-02-18 奥特斯奥地利科技与系统技术有限公司 基于人工智能确定用于制造部件承载件的行动规划
JP2022054250A (ja) * 2020-09-25 2022-04-06 キヤノン株式会社 サンプルショット領域のセットを決定する方法、計測値を得る方法、情報処理装置、リソグラフィ装置、プログラム、および物品製造方法
KR20220099005A (ko) * 2021-01-05 2022-07-12 삼성전자주식회사 반도체 소자 제조 방법
EP4036646A1 (en) * 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
EP4057069A1 (en) * 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
EP4105719A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Causal convolution network for process control
EP4334782A1 (en) 2021-05-06 2024-03-13 ASML Netherlands B.V. Causal convolution network for process control
EP4116888A1 (en) * 2021-07-07 2023-01-11 ASML Netherlands B.V. Computer implemented method for diagnosing a system comprising a plurality of modules
US11854854B2 (en) * 2021-07-23 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for calibrating alignment of wafer and lithography system
WO2023036521A1 (en) * 2021-09-08 2023-03-16 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
US11789427B2 (en) * 2021-10-26 2023-10-17 Applied Materials, Inc. Value-independent situation identification and matching
CN113985711B (zh) * 2021-10-28 2024-02-02 无锡卓海科技股份有限公司 一种套刻测量装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100640663B1 (ko) 2005-08-12 2006-11-01 삼성전자주식회사 상수 및 동일 패턴을 갖는 파라미터들을 다변량 모델링하는방법 및 장치 그리고 이를 이용한 반도체 제조방법
JP2013138121A (ja) * 2011-12-28 2013-07-11 Hitachi High-Technologies Corp 半導体製造装置

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0901734B1 (en) 1997-03-12 2004-02-18 Matsushita Electric Industrial Co., Ltd. Mpeg decoder providing multiple standard output signals
US6603804B1 (en) 1999-10-01 2003-08-05 Agere Systems Inc. Upsampling filter having one-bit multipliers for multiple spread-data streams
US6456899B1 (en) 1999-12-07 2002-09-24 Ut-Battelle, Llc Context-based automated defect classification system using multiple morphological masks
US7019777B2 (en) 2000-04-21 2006-03-28 Flight Landata, Inc. Multispectral imaging system with spatial resolution enhancement
US7123780B2 (en) 2001-12-11 2006-10-17 Sony Corporation Resolution enhancement for images stored in a database
AU2002364719A1 (en) * 2001-12-31 2003-07-24 Tokyo Electron Limited Method of fault detection for material process system
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US6952657B2 (en) 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8014991B2 (en) 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
WO2005054968A1 (en) * 2003-11-26 2005-06-16 Tokyo Electron Limited Intelligent system for detection of process status, process fault and preventive maintenance
US7198964B1 (en) * 2004-02-03 2007-04-03 Advanced Micro Devices, Inc. Method and apparatus for detecting faults using principal component analysis parameter groupings
US7379611B2 (en) 2004-04-01 2008-05-27 Microsoft Corporation Generic image hallucination
US7477960B2 (en) 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
US8638862B2 (en) 2005-03-18 2014-01-28 Sharp Laboratories Of America, Inc. Methods and systems for upsampling filter design
US7467064B2 (en) * 2006-02-07 2008-12-16 Timbre Technologies, Inc. Transforming metrology data from a semiconductor treatment system using multivariate analysis
US7808613B2 (en) 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US7667858B2 (en) 2007-01-12 2010-02-23 Tokyo Electron Limited Automated process control using optical metrology and a correlation between profile models and key profile shape variables
US8236579B2 (en) 2007-03-14 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and systems for lithography alignment
US7372583B1 (en) 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US8175831B2 (en) 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
KR100928205B1 (ko) * 2007-06-05 2009-11-25 삼성전자주식회사 반도체 제조설비 관리시스템 및 그의 통계적 공정 관리방법
US7460237B1 (en) * 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP5000420B2 (ja) 2007-08-06 2012-08-15 日本電波工業株式会社 Pllシンセサイザー回路
US7627392B2 (en) 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7636649B2 (en) 2007-09-21 2009-12-22 Tokyo Electron Limited Automated process control of a fabrication tool using a dispersion function relating process parameter to dispersion
US20090234687A1 (en) 2008-03-17 2009-09-17 Tokyo Electron Limited Method of designing an optical metrology system optimized for operating time budget
US20090240537A1 (en) 2008-03-18 2009-09-24 Tokyo Electron Limited Apparatus for designing an optical metrology system optimized for operating time budget
US7589845B1 (en) 2008-03-27 2009-09-15 Tokyo Electron Limited Process control using an optical metrology system optimized with signal criteria
US7734437B2 (en) 2008-03-27 2010-06-08 Tokyo Electron Limited Apparatus for designing an optical metrology system optimized with signal criteria
US7742889B2 (en) 2008-03-27 2010-06-22 Tokyo Electron Limited Designing an optical metrology system optimized with signal criteria
CN102037489B (zh) 2008-05-21 2013-08-21 Tp视觉控股有限公司 图像分辨率增强
EP2286447A2 (en) 2008-05-21 2011-02-23 KLA-Tencor Corporation Substrate matrix to decouple tool and process effects
US7761178B2 (en) 2008-06-18 2010-07-20 Tokyo Electron Limited Automated process control using an optical metrology system optimized with design goals
US7761250B2 (en) 2008-06-18 2010-07-20 Tokyo Electron Limited Optical metrology system optimized with design goals
US7595869B1 (en) 2008-06-18 2009-09-29 Tokyo Electron Limited Optical metrology system optimized with a plurality of design goals
US8494798B2 (en) 2008-09-02 2013-07-23 Mks Instruments, Inc. Automated model building and batch model building for a manufacturing process, process monitoring, and fault detection
US8260449B2 (en) 2008-11-06 2012-09-04 Micron Technology, Inc. Photolithography systems and associated methods of overlay error correction
CN101751317B (zh) * 2008-12-12 2013-11-20 上海芯豪微电子有限公司 多运算单元/多核/众核系统的自测试自修复机制与结构
WO2010075166A1 (en) 2008-12-23 2010-07-01 Shell Oil Company System and method for monitoring an industrial production process
US7961306B2 (en) 2009-03-30 2011-06-14 Tokyo Electron Limited Optimizing sensitivity of optical metrology measurements
US8768665B2 (en) 2010-01-08 2014-07-01 Kla-Tencor Technologies Corporation Site based quantification of substrate topography and its relation to lithography defocus and overlay
US9620426B2 (en) 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
US8289527B2 (en) 2010-04-01 2012-10-16 Tokyo Electron Limited Optimization of ray tracing and beam propagation parameters
US9103664B2 (en) 2010-04-01 2015-08-11 Tokyo Electron Limited Automated process control using an adjusted metrology output signal
TWI419059B (zh) 2010-06-14 2013-12-11 Ind Tech Res Inst 以樣本為基礎之人臉超解析度方法與系統
US8173450B1 (en) 2011-02-14 2012-05-08 Tokyo Electron Limited Method of designing an etch stage measurement system
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
US8193007B1 (en) 2011-02-17 2012-06-05 Tokyo Electron Limited Etch process control using optical metrology and sensor devices
NL2008168A (en) 2011-02-25 2012-08-28 Asml Netherlands Bv Method of calculating model parameters of a substrate, a lithographic apparatus and an apparatus for controlling lithographic processing by a lithographic apparatus.
CN102361014B (zh) 2011-10-20 2013-08-28 上海大学 大规模半导体制造过程的状态监控与故障诊断方法
US20130110477A1 (en) * 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
CN102435629B (zh) 2011-11-28 2015-01-21 上海华力微电子有限公司 扫描电子显微镜的检测方法
AT512210B1 (de) 2011-12-02 2015-09-15 Haidlmair Holding Gmbh Spritzgiesswerkzeug, insbesondere backenwerkzeug
US8838422B2 (en) 2011-12-11 2014-09-16 Tokyo Electron Limited Process control using ray tracing-based libraries and machine learning systems
US9002498B2 (en) 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
US10769320B2 (en) * 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9029810B2 (en) 2013-05-29 2015-05-12 Kla-Tencor Corporation Using wafer geometry to improve scanner correction effectiveness for overlay control
CN105765461B (zh) * 2013-10-02 2018-01-05 Asml荷兰有限公司 用于获得与工业过程有关的诊断信息的方法和设备

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100640663B1 (ko) 2005-08-12 2006-11-01 삼성전자주식회사 상수 및 동일 패턴을 갖는 파라미터들을 다변량 모델링하는방법 및 장치 그리고 이를 이용한 반도체 제조방법
JP2013138121A (ja) * 2011-12-28 2013-07-11 Hitachi High-Technologies Corp 半導体製造装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180018805A (ko) * 2015-07-16 2018-02-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법
KR102083234B1 (ko) 2015-07-16 2020-03-02 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법
KR20180018810A (ko) * 2015-07-20 2018-02-21 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치를 제어하는 방법, 리소그래피 장치 및 디바이스 제조 방법
KR102037994B1 (ko) 2015-07-20 2019-10-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치를 제어하는 방법, 리소그래피 장치 및 디바이스 제조 방법
KR20190089495A (ko) * 2018-01-23 2019-07-31 윤형열 패턴 형성 장치 및 방법
KR102074974B1 (ko) 2018-01-23 2020-02-07 윤형열 패턴 형성 장치 및 방법

Also Published As

Publication number Publication date
KR20160067146A (ko) 2016-06-13
TW201516598A (zh) 2015-05-01
CN105765461A (zh) 2016-07-13
US10642162B2 (en) 2020-05-05
US10274834B2 (en) 2019-04-30
KR20200073294A (ko) 2020-06-23
NL2013417A (en) 2015-04-07
KR20180104187A (ko) 2018-09-19
US20200264520A1 (en) 2020-08-20
WO2015049087A1 (en) 2015-04-09
KR102124111B1 (ko) 2020-06-18
US20190278188A1 (en) 2019-09-12
US11385550B2 (en) 2022-07-12
US20180253015A1 (en) 2018-09-06
US20160246185A1 (en) 2016-08-25
KR102242414B1 (ko) 2021-04-21
US11940740B2 (en) 2024-03-26
US20220326623A1 (en) 2022-10-13
TWI582878B (zh) 2017-05-11
CN105765461B (zh) 2018-01-05
US9946165B2 (en) 2018-04-17
US20240019788A1 (en) 2024-01-18

Similar Documents

Publication Publication Date Title
KR101900340B1 (ko) 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
TWI760909B (zh) 對效能資料集進行順位之方法及相關聯電腦程式產品
CN109154781B (zh) 获得测量的方法、用于执行过程步骤的设备和计量设备
TWI638242B (zh) 供關於一工業製程使用之診斷系統及獲得診斷資訊之方法
CN110546574B (zh) 维护工艺指印集合
US20240046022A1 (en) Methods for sample scheme generation and optimization

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent