KR102137848B1 - 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화 - Google Patents

스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화 Download PDF

Info

Publication number
KR102137848B1
KR102137848B1 KR1020147034326A KR20147034326A KR102137848B1 KR 102137848 B1 KR102137848 B1 KR 102137848B1 KR 1020147034326 A KR1020147034326 A KR 1020147034326A KR 20147034326 A KR20147034326 A KR 20147034326A KR 102137848 B1 KR102137848 B1 KR 102137848B1
Authority
KR
South Korea
Prior art keywords
measurement
amount
parameters
target structure
model
Prior art date
Application number
KR1020147034326A
Other languages
English (en)
Other versions
KR20150018806A (ko
Inventor
스틸리안 이바노프 판데프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20150018806A publication Critical patent/KR20150018806A/ko
Application granted granted Critical
Publication of KR102137848B1 publication Critical patent/KR102137848B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

만족스런 측정 결과를 달성하기 위해 요구되는 측정 기술들 및 머신 파라미터들의 범위들의 세트를 감소시킴으로써 최적화된 측정 레시피가 결정된다. 측정 기술들 및 머신 파라미터들의 범위들의 세트의 감소는 초기 측정 모델과 연관되는 이용 가능한 프로세스 변동 정보 및 스펙트럼 감도 정보에 기초한다. 프로세스 변동 정보 및 스펙트럼 감도 정보는 더 적은 플로팅 파라미터들 및 파라미터들 간의 더 적은 상관을 갖는 제 2 측정 모델을 결정하는데 이용된다. 후속 측정 분석은 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트에 대응하는 측정 데이터의 세트 및 제 2 억제된 모델을 이용하여 수행된다. 후속 측정 분석의 결과들은, 추정된 파라미터 값들과 기준 측정으로부터 유도된 파라미터 값들 간의 차이가 미리 결정된 문턱값 내에 있는지를 결정하기 위해 기준 측정 결과들에 비교된다.

Description

스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화{MEASUREMENT RECIPE OPTIMIZATION BASED ON SPECTRAL SENSITIVITY AND PROCESS VARIATION}
관련 출원들에 대한 상호참조
본 특허 출원은 2012년 5월 8일 출원되고, 발명의 명칭이 "Method For Measuring Structures By Using Signal With Insufficient Information Content"인 미국 가특허 출원 번호 제61/644,037호로부터 35 U.S.C.§119 하에서 우선권을 주장하며, 상기 가특허의 청구 대상은 그 전체가 인용에 의해 본원에 포함된다.
기술 분야
설명되는 실시예들은 계측(metrology) 시스템들 및 방법들에 관한 것으로서, 보다 구체적으로는, 구조적 파라미터들의 개선된 측정을 위한 방법들 및 시스템들에 관한 것이다.
로직 및 메모리 디바이스들과 같은 반도체 디바이스들은 통상적으로 견본(specimen)에 적용되는 프로세싱 단계들의 시퀀스에 의해 제조된다. 반도체 디바이스들의 다양한 피처들 및 다수의 구조적 레벨들이 이들 프로세싱 단계들에 의해 형성된다. 예를 들어, 다른 것들 중에서도, 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제도 프로세스이다. 반도체 제조 프로세스의 부가적인 예들은 화학-기계적 폴리싱, 에칭, 증착 및 이온 주입을 포함(그러나 이들로 제한되지 않음)한다. 다수의 반도체 디바이스들은 단일 반도체 웨이퍼 상에서 제조되고 이어서 개별 반도체 디바이스들로 분리된다.
계측 프로세스들은 더 높은 수율을 조장하기 위해 웨이퍼들 상에서 결함들을 검출하도록 반도체 제조 프로세스 동안 다양한 단계들에서 이용된다. 광학 계측 기법들은 샘플 파손의 위험 없이 높은 쓰루풋에 대한 잠재성을 제공한다. 산란 측정(scatterometry) 및 반사 측정(reflectometry) 구현들 및 연관된 분석 알고리즘들을 포함하는 다수의 광학 계측 기반 기법들은 임계 치수들, 막 두께들, 합성 및 나노스케일 구조들의 다른 파라미터들을 특성화하기 위해 흔히 이용된다.
종래에, 광학 계측은 박막들 및/또는 반복되는 주기적인 구조들로 구성된 타겟들(targets) 상에서 수행된다. 디바이스 제조 동안, 이들 막들 및 주기적인 구조들은 통상적으로 실제 디바이스 지오메트리 및 물질 구조 또는 중간 설계를 표현한다. 디바이스들(예를 들어, 로직 및 메모리 디바이스들)이 더 작은 나노미터-스케일 치수들로 이동함에 따라, 특성화는 더 어려워지고 있다. 복합 3-차원 지오메트리 및 다양한 물리적 특성들을 갖는 물질들을 포함하는 디바이스들은 특성화 어려움에 기여한다.
예를 들어, 현대의 메모리 구조들은 종종, 광학 방사선이 하부 층들까지 침투하기 어렵게 하는 높은 종횡비의 3-차원 구조들이다. 또한, 복합 구조들(예를 들어, FinFET들)을 특성화하는데 요구되는 증가하는 수의 파라미터들은 증가하는 파라미터 상관을 야기한다. 그 결과, 타겟을 특성화하는 파라미터들은 종종 이용 가능한 특정들을 이용하여 신뢰 가능하게 디커플링(decouple)되지 않을 수 있다. 다른 예에서, 불투명한 고-k 물질들이 현대의 반도체 구조들에서 점점 더 이용되고 있다. 광학 방사선(optical radiation)은 종종 이들 물질들로 구성된 층들을 관통할 수 없을 수 있다. 그 결과, 측정들은 점점더 어려워지고 있다.
이들 과제들에 응답하여, 보다 복합적인 광학 툴들이 개발되었다. 예를 들어, 복합적인 구조들은 현재 다수의 기술들(예를 들어, 분광 타원해석(spectroscopic ellipsometry; SE), 자외선 반사측정(ultra-violet reflectometry; UVR), 2-차원 빔 프로파일 반사측정(two-dimensional beam profile reflectometry; 2D-BPR) 등)을 이용하여 측정된다. 또한, 측정들은 넓은 범위의 몇 개의 머신 파라미터들(예를 들어, 파장, 방위각 및 입사각 등) 상에서 그리고 종종 동시에 수행된다. 그 결과, 측정 레시피들을 비롯해서, 측정 시간, 계산 시간 및 신뢰 가능한 결과들을 생성하기 위한 전반적인 시간은 상당히 증가한다. 또한, 큰 파장 범위들 상에서 광 세기의 확산은 임의의 특정한 파장의 조명 세기를 감소시키고 그 파장에서 수행되는 측정들의 신호 불확실성을 증가시킨다.
미래의 계측 애플리케이션들은 점점 작아지는 분해능 요건들, 다중-파라미터 상관, 점점 복잡해지는 지오메트리 구조들 및 불투명한 물질들의 증가하는 사용으로 인해 계측에 대한 과제들을 제시한다. 따라서, 개선된 측정들을 위한 방법들 및 시스템들이 요구된다.
상이한 반도체 제조 프로세스들과 연관된 구조적 및 물질 특성들을 측정하도록 구성된 계측 시스템들에 대한 최적화된 측정 레시피들(optimized measurement recipes)을 생성하기 위한 방법들 및 시스템들이 제시된다.
일 양상에서, 만족스런 측정 결과를 달성하기 위해 요구되는 측정 기술들 및 머신 파라미터들의 범위들의 세트를 감소시킴으로써 최적화된 측정 레시피가 결정된다. 측정 기술들 및 머신 파라미터들의 범위들의 세트의 감소는 초기 측정 모델과 연관되는 이용 가능한 프로세스 변동 정보 및 스펙트럼 감도 정보에 기초한다.
프로세스 변동 정보 및 스펙트럼 감도 정보는 더 적은 플로팅 파라미터들 및 파라미터들 간의 더 적은 상관을 갖는 제 2 측정 모델을 결정하는데 이용된다. 이러한 방식으로, 제 2 모델은 예상된 신호 응답들 및 프로세스 변동들의 부공간 내에서 유효하게 억제된다.
후속 측정 분석은 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트에 대응하는 측정 데이터의 세트 및 제 2의 억제된 모델을 이용하여 수행된다. 후속 측정 분석의 결과들은, 추정된 파라미터 값들과 기준 측정으로부터 유도된 파라미터 값들 간의 차이가 미리 결정된 문턱값 내에 있는지를 결정하기 위해 기준 측정 결과들에 비교된다. 만약 그렇다면, 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트에 기초한 최적화된 측정 레시피가 달성된다. 만약 그렇지 않다면, 다른 측정 분석이 측정 기술들 및 머신 파라미터들의 범위들의 상이한 감소된 세트에 대응하는 측정 데이터의 다른 세트 및 제 2의 억제된 모델을 이용하여 수행된다. 재차, 추정된 파라미터 값들과 기준 측정으로부터 유도된 파라미터 값들 간의 차이가 미리 결정된 문턱값 내에 있는지를 결정하기 위해 결과들이 기준 측정 결과들에 비교된다. 이 프로세스는 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트에 기초한 최적화된 측정 레시피가 달성될 때까지 반복된다.
최적화된 측정 레시피에 기초하여 수행되는 측정들은, 이용되는 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트로 인해 더 빨리 수행된다. 또한, 라이브러리 생성, 회귀 및 분석을 위한 계산 시간이 또한 감소된다.
위의 내용은 요약이며, 따라서, 필요에 의해, 단순화들, 일반화들 및 세부사항들의 생략들을 포함하고; 결과적으로, 당업자들은 이 요약이 단지 예시적이며, 어떠한 방식으로도 제한적인 것이 아니란 것을 인지할 것이다. 본 명세서에서 설명되는 디바이스들 및/또는 프로세스들의 다른 양상들, 신규한 피처들 및 이점들은 본 명세서에서 제시되는 비-제한적인 상세한 설명에서 자명하게 될 것이다.
도 1은 본 명세서에서 설명되는 방법들에 따라 프로세스 변동 정보 및 스펙트럼 감도 정보에 기초하여 측정 레시피를 최적화하도록 구성된 계측 시스템(100)을 예시하는 도면이다.
도 2는 프로세스 변동 정보 및 스펙트럼 감도 정보에 기초하여 측정 레시피를 최적화하는 예시적인 방법(300)을 예시하는 흐름도이다.
본 발명의 배경 예들 및 몇몇 실시예들에 대한 참조가 이제 상세히 이루어질 것이며, 이들의 예들은 첨부 도면들에서 예시된다. 측정 레시피들을 최적화하기 위한 방법들 및 시스템들이 제시된다. 최적화된 레시피들을 이용하는 시스템들은 상이한 반도체 제조 프로세스들과 연관되는 구조적 및 물질 특성들(예를 들어, 물질 합성, 구조들 및 막들의 치수 특성들 등)을 측정하는데 이용된다.
일반적으로, 본 명세서에서 논의되는 광학 계측 기법들은 검사 중인 견본의 물리적 특성들을 측정하는 간접적인 방법들이다. 대부분의 경우들에서, 측정된 값들은 견본의 물리적 성질들을 직접 결정하는데 이용될 수 없다. 공칭 측정 프로세스(nominal measurement process)는 구조(예를 들어, 막 두께, 임계 치수들 등) 및 머신(machine)(예를 들어, 파장, 입사각, 편광각 등)의 파라미터화로 구성된다. 측정된 값들을 예측하도록 시도하는 측정 모델이 생성된다. 모델은 머신(Pmachine) 및 견본(Pspecimen)과 연관된 파라미터들을 포함한다.
머신 파라미터들은 계측 툴 그 자체를 특성화하는데 이용되는 파라미터들이다. 예시적인 머신 파라미터들은 입사각(angle of incidence; AOI), 분석기 각도(A0), 편광자 각도(P0), 조명 파장, 개구수(NA) 등을 포함한다. 견본 파라미터들은 견본을 특성화하는데 이용되는 파라미터들이다. 박막 견본에 대해, 예시적인 견본 파라미터들은 굴절률, 유전 함수 텐서(dielectric function tensor), 모든 층들의 공칭 층 두께, 층 시퀀스 등을 포함한다. 측정 목적들을 위해, 머신 파라미터들은 알려진 파라미터로서 취급되고, 견본 파라미터들, 또는 견본 파라미터들의 서브세트는 미지(unknown)의 플로팅 파라미터들로서 취급된다. 플로팅 파라미터들은 이론적 예측들과 실험적 데이터 간의 최상의 맞춤(best fit)을 생성하는 반복 프로세스(예를 들어, 회귀(regression), 라이브러리 매칭 등)에 의해 해결된다. 미지의 견본 파라미터들(Pspecimen)은 변동되고, 모델 출력 값들은, 모델 출력 값들과 실험적으로 측정된 값들 간의 근접 매치를 발생시키는 견본 파라미터 값들의 세트가 결정될 때까지 계산된다.
통상적으로, 후속 분석에서 플로팅되는 견본 파라미터들의 서브세트는 각각의 파라미터의 변동에 대한 측정 모델의 감도를 평가함으로써 결정된다. 몇몇 예들에서, 고정된 에러 분석은 플로팅할 파라미터들의 적합한 세트를 결정하도록 수행된다. 그러나 다수의 경우들에서, 견본 파라미터들은 강하게(highly) 상관된다. 이는 모델 불안정(model instability)을 야기할 수 있다. 몇몇 경우들에서, 이는 특정한 견본 파라미터들을 고침(fixing)으로써 해결된다. 그러나 이는 종종 잔여 파라미터들의 추정에 있어 상당한 에러들을 초래한다. 다수의 경우들에서, 모델 파라미터들 간의 높은 정도의 상관은, 각각이 광범위한 머신 파라미터들(예를 들어, 넓은 파장 범위들, 다수의 방위각들 및 다수의 입사각) 상에서, 그리고 심지어 모델들이 실패할 수 있는 조건들 하에서 수행되는 다수의 측정 기술들의 이용을 요구한다. 광범위한 머신 파라미터들에 상에서 각각 수행되는 다수의 측정 기술들을 이용하는 측정 레시피가 만족스런 측정 결과들을 야기하는 경우조차도, 쓰루풋 시간(throughput time)의 견지에서 비용은 바람직하지 않을 수 있다.
일 양상에서, 최적화된 측정 레시피(예를 들어, 측정 기술들 및 머신 파라미터들의 범위들의 세트)는 만족스런 측정 결과를 달성하는데 요구되는 측정 기술들 및 머신 파라미터들의 범위들의 세트를 감소시킴으로써 결정된다. 측정 기술들 및 머신 파라미터들의 범위들의 세트의 감소는 초기 측정 모델과 연관되는 이용 가능한 프로세스 변동 정보 및 스펙트럼 감도 정보에 기초한다. 보다 구체적으로, 프로세스 변동 정보 및 스펙트럼 감도 정보는 더 적은 플로팅 파라미터들 및 파라미터들 간의 더 적은 상관을 갖는 제 2 측정 모델을 결정하는데 이용된다. 이러한 방식으로, 제 2 모델은 예상된 신호 응답들 및 프로세스 변동들의 부공간(subspace) 내에서 유효하게 억제된다.
후속 측정 분석은 제 2의 억제된 모델 및 측정 기술들 및 머신 파라미터들의 범위들 감소된 세트에 대응하는 측정 데이터의 세트를 이용하여 수행된다. 후속 측정 분석의 결과들은, 추정된 파라미터 값들과 기준 측정으로부터 유도된 파라미터 값들 간의 차이가 미리 결정된 문턱값 내에 있는지를 결정하기 위해 기준 측정 결과들에 비교된다. 만약 그렇다면, 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트에 기초한 최적화된 측정 레시피가 달성된다. 만약 아니라면, 다른 측정 분석이 제 2 억제된 모델 및 측정 기술들 및 머신 파라미터들의 범위들의 상이한 감소된 세트에 대응하는 측정 데이터의 다른 세트를 이용하여 수행된다. 재차, 결과들은 추정된 파라미터 값들과 기준 측정으로부터 유도된 파라미터 값들 간의 차이가 미리 결정된 문턱값 내에 있는지를 결정하기 위해 기준 측정 결과들에 비교된다. 이 프로세스는 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트에 기초하여 최적화된 측정 레시피가 달성될 때까지 반복된다.
최적화된 측정 레시피에 기초하여 수행되는 측정들은, 이용되는 측정 기술들 및 머신 파라미터들의 범위들의 감소된 세트로 인해 더 빨리 수행된다. 또한, 라이브러리 생성, 회귀(regression) 및 분석을 위한 계산 시간이 또한 감소된다.
몇몇 예들에서, 정확도, 정밀도 및 모델 안정성이 이용 가능한 프로세스 변동 정보 및 스펙트럼 감도 정보에 대응하는 억제된 해결 공간 내에서 개선된다. 또한, 몇몇 예들에서, 제한된 파장 범위들 내의 고강도 광 소스를 이용하는 측정 시스템들은 최적화된 측정 레시피에 의해 가능하게 된다.
도 1은 본 명세서에서 제시되는 예시적인 방법들에 따라 견본의 특성들을 측정하기 위한 시스템(100)을 예시한다. 도 1에서 도시된 바와 같이, 시스템(100)은 견본(201)의 하나 이상의 구조들의 분광 타원해석 측정들(spectroscopic ellipsometry measurements) 및 2D-BPR 측정들을 수행하는데 이용될 수 있다. 이 양상에서, 시스템(100)은 조명기(102) 및 분광계(104)를 장착한 분광 타원계를 포함할 수 있다. 시스템(100)의 조명기(102)는 선택된 파장 범위(예를 들어, 150-850nm)의 조명을 생성하고 견본(201)의 표면 상에 배치되는 구조에 지향하도록 구성된다. 결국, 분광계(104)는 견본(201)의 표면으로부터 반사되는 조명을 수신하도록 구성된다. 조명기(102)로부터 나오는 광은 편광 조명 빔(106)을 생성하기 위해 편광 상태 생성기(107)를 이용하여 편광된다는 것이 또한 주의된다. 견본(201) 상에 배치된 구조에 의해 반사된 방사선은 편광 상태 분석기(109)를 통하고 분광계(104)에 전달된다. 수집 빔(108)에서 분광계(104)에 의해 수신되는 방사선은 편광 상태에 관해 분석되어, 분석기에 의해 전달되는 방사선의 분광계에 의한 스펙트럼 분석을 허용한다. 이들 스펙트럼들(111)은 측정된 구조의 분석을 위해 컴퓨팅 시스템(130)에 전달된다.
도 1에서 도시된 바와 같이, 시스템(100)은 또한 검사 중인 견본으로부터 회절된 광을 검출하고, 구조적 불규칙성들을 표시하는 검출된 신호들을 분석하도록 구성된 2D-BPR 시스템을 포함한다. 2D-BPR 시스템은 조명 광(204)을 전달하는 조명기(202)를 포함한다. 조명 광(204)은 빔 분할기(205)에 의해 고-NA 대물 렌즈(206) 쪽으로 지향된다. 바람직한 실시예에서, 대물 렌즈(206)는 대략 0.9의 개구수(numerical aperture)를 갖는다. 그러나 몇몇 다른 실시예들에서, 대물 렌즈(206)는 0.9 미만의 NA를 가질 수 있다. 또 다른 몇몇 실시예들에서, 대물 렌즈(206)는 0.9보다 큰 NA를 가질 수 있다. 예를 들어, 액침계(immersion system)에서 동작하는 대물 렌즈(206)는 0.9보다 큰 NA를 가질 수 있다. 대물 렌즈(206)는 동시에, 조명 영역(즉, 조명 스팟)(207) 위의 견본(201)을 조명하고 광범위한 각도들 상에서 견본(201)으로부터 회절된 광을 수집한다. 시야 조리개들(field stops) 및 아포다이저들(apodizers)(도시되지 않음)은 조명 및 수집 빔의 공간적 특성들을 제어하는데 이용될 수 있다. 수집된 광(208)은 빔 분할기(205)를 통해 검출기(203)로 지향된다. 도시된 실시예에서, 검출기(203)는 전하 커플링 디바이스들(CCD)의 2차원 어레이이다. 검출기(203)는 수집된 광(208)을 검출하고 조명 영역(207)의 눈동자 이미지(pupil image)를 표시하는 출력 신호들(209)을 생성한다.
몇몇 실시예들에서, 조명 광(204)은 몇 개의 파장 채널을 포함한다. 예를 들어, 조명 광(204)은 다수의 상이한 레이저들에 의해 제공될 수 있다. 몇몇 실시예들에서, 2D-BPR 시스템은 편광들(도시되지 않음)을 수집하고 이들 간의 상대적 위상 차이를 측정하기 위해 상이한 편광 및 파장판을 갖는 상이한 광학 채널들을 포함한다. 몇몇 실시예들에서, 조명기(202)는 다수의 파장들(예를 들어, 수퍼-연속체 레이저(super-continuum laser source), 소스 램프 소스, 또는 레이저 지속 플라즈마(laser sustained plasma)와 같은 레이저-구동 광 소스)을 동시에 제공하도록 구성된다.
도 1에서 도시된 바와 같이, 시스템(100)은 다수의 측정 기술들(즉, SE 및 2D-BPR)을 포함한다. 그러나 일반적으로, 시스템(100)은 임의의 수의 상이한 측정 기술들을 포함할 수 있다. 비-제한적인 예로서, 시스템(100)은 (뮐러 행렬 타원해석을 포함하는) 분광 타원계, 분광 반사계, 분광 산란계, 오버레이 산란계, 각도 용해된 빔 프로파일 반사계, 편광 용해된 빔 프로파일 반사계, 빔 프로파일 타원계, 임의의 단일 또는 다수의 파장 타원계, 또는 이들의 임의의 결합으로서 구성될 수 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 본 명세서에서 설명되는 방법들에 따라 분석되는 측정 데이터는 다수의 기술들을 통합하는 1개의 툴 보단 오히려, 다수의 툴들로부터 수집될 수 있다.
추가의 실시예에서, 시스템(100)은 본 명세서에서 설명된 방법들에 따라, 만족스런 측정 결과들을 달성하는데 요구되는 측정 기술들 및 머신 파라미터들의 범위들의 세트를 감소시킴으로써 최적화된 측정 레시피를 생성하는데 이용되는 하나 이상의 컴퓨팅 시스템들(130)을 포함할 수 있다. 일 실시예에서, 하나 이상의 컴퓨팅 시스템들(130)은 분광계(104) 및 검출기(203)에 통신 가능하게 커플링된다. 하나 이상의 컴퓨팅 시스템들(130)은 견본(201)의 구조의 측정들과 연관되는 측정 데이터(111 및 209)를 수신하도록 구성된다.
또한, 몇몇 실시예들에서, 하나 이상의 컴퓨팅 시스템들(130)은 또한 기준 측정 소스(120)에 의한 견본(201)의 측정과 연관되는 기준 측정 데이터(121)의 세트를 수신하도록 구성된다. 몇몇 예들에서, 기준 측정 데이터(121)는 메모리(즉, 메모리(132))에 저장되고 컴퓨팅 시스템(130)에 의해 검색된다. 몇몇 실시예들에서, 기준 측정 소스(120)는 타겟 구조의 매우 정확한 측정들이 가능한 다른 계측 툴(예를 들어, TEM, SEM, X-광선 산란계 등)이다.
추가의 실시예에서, 하나 이상의 컴퓨팅 시스템들(130)은 실시간 임계 디멘셔닝(Real Time Critical Dimensioning; RTCD)을 이용하여 실시간으로 모델 파라미터들에 액세스하도록 구성되거나, 또는 이것은 본 명세서에서 설명된 방법들에 따라 최적화된 측정 레시피를 결정하기 위해 미리-계산된 모델들의 라이브러리들에 액세스할 수 있다.
본 개시 전체에 걸쳐서 설명되는 다양한 단계들은 단일 컴퓨터 시스템(130) 또는 대안적으로 다수의 컴퓨터 시스템(130)에 의해 수행될 수 있다는 것이 인지되어야 한다. 또한, 분광 타원계(104)와 같은 시스템(100)의 상이한 서브시스템들은 본 명세서에서 설명된 단계들의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 그러므로 상술된 설명은 본 발명에 관한 제한으로서 해석되는 것이 아니라 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템들(130)은 본 명세서에서 설명된 예시적인 방법들 중 임의의 것의 임의의 엘리먼트를 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(130)은 당 분야에 알려진 임의의 방식으로 분광계(104) 및 검출기(203)에 통신 가능하게 커플링될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템들(130)은 각각 분광계(104) 및 검출기(203)에 연관된 컴퓨팅 시스템들에 커플링될 수 있다. 다른 예에서, 분광계(104) 및 검출기(203) 중 임의의 것은 컴퓨터 시스템(130)에 커플링되는 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템(예를 들어, 분광계(104), 검출기(203) 등)로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 간의 데이터 링크로서 역할할 수 있다.
통합된 계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예를 들어, 측정 결과들, 모델링 입력들, 모델링 결과들 등)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 다른 시스템들(예를 들어, 메모리 온-보드 계측 시스템(100), 외부 메모리, 기준 측정 소스(120) 또는 다른 외부 시스템들) 간의 데이터 링크로서 역할할 수 있다. 예를 들어, 컴퓨팅 시스템들(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 분광계(104)를 이용하여 획득된 스펙트럼 결과들은 영구 또는 반-영구 메모리 디바이스(예를 들어, 메모리(132) 또는 외부 메모리)에 저장될 수 있다. 이것에 관하여, 스펙트럼 결과들은 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(import)될 수 있다. 또한, 컴퓨터 시스템(130)은 전송 매체를 통해 다른 시스템들로 데이터를 송신할 수 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정된 머신 파라미터 값들 및 측정 기술들의 감소된 세트를 포함하는 최적화된 측정 레시피는 (예를 들어, 출력 신호(140)를 통해) 외부 메모리로 전달되고 저장될 수 있다. 이것에 관하여, 측정 결과들은 다른 시스템에 익스포트(export)될 수 있다.
컴퓨팅 시스템들(130)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당 분야에 알려진 임의의 다른 디바이스를 포함(그러나 이들로 제한되지 않음)할 수 있다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터의 명령들을 실행하는 하나 이상의 프로세서들을 갖는 임의의 디바이스를 포함하도록 넓게 정의될 수 있다.
본 명세서에서 설명되는 것과 같은 방법들을 구현하는 프로그램 명령들(134)은 유선, 케이블 또는 무선 전송 링크와 같은 전송 매체 상에서 전송될 수 있다. 예를 들어, 도 1에서 예시된 바와 같이, 메모리(132)에 저장된 프로그램 명령들(134)은 버스(133) 상에서 프로세서(131)에 전송된다. 프로그램 명령들(134)은 컴퓨터 판독 가능한 매체(예를 들어, 메모리(132))에 저장된다. 예시적인 컴퓨터-판독 가능한 매체들은 판독-전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크 또는 자기 테이프를 포함한다.
도 2는 본 발명의 계측 시스템(100)에 의해 구현하기에 적합한 방법(300)을 예시한다. 일 양상에서, 방법(300)의 데이터 프로세싱 블록들은 컴퓨팅 시스템들(130)의 하나 이상의 프로세서들에 의해 실행되는 미리-프로그래밍된 알고리즘을 통해 수행될 수 있다. 다음의 설명이 계측 시스템(100)의 맥락에서 제시되지만, 계측 시스템(100)의 특정한 구조적 양상들은 제한들을 표현하는 것이 아니고, 단지 예시적인 것으로만 해석되어야 한다는 것이 여기서 인지된다.
블록(301)에서, 타겟 구조의 측정들과 연관되는 제 1 양의 측정 데이터가 수신된다. 측정 데이터는 측정 기술들 및 머신 파라미터 값들의 세트를 이용하여 수행되는 타겟 구조의 측정들에 기초한다. 측정 기술들 및 머신 파라미터 값들의 세트는 적어도 하나의 측정 기술 및 각각의 측정 기술과 연관되는 머신 파라미터 값들을 포함한다. 일반적으로 측정 기술들 및 머신 파라미터 값들의 세트는, 측정 범위가 면밀하지만, 데이터 수집 및 분석을 위한 바람직하지 않은 양의 시간을 요구한다는 면에서, 측정 기술들 및 머신 파라미터 값들의 확장된 세트이다.
일 예에서, 제 1 양의 측정 데이터는 도 1에서 예시된 2D-BPR 계측 서브시스템에 의해 광범위한 파장들에 걸쳐서 측정된 측정 데이터(209)를 포함한다. 또한, 제 1 양의 측정 데이터는 도 1에서 예시된 SE 계측 서브시스템에 의해 광범위한 파장들 및 편광 각도들에 걸쳐서 측정된 측정 데이터(111)를 포함한다. 일반적으로, 제 1 양의 측정 데이터는 광범위한 데이터를 포함한다. 루틴 측정 레시피(routine measurement recipe)의 부분으로서 이 양의 데이터의 수집은 데이터 수집 및 분석을 위해 요구되는 시간의 양으로 인해 바람직하지 않을 수 있다.
블록(302)에서, 타겟 구조에 대한 제 1 측정 모델이 결정된다. 측정 모델은 파라미터들의 제 1 세트를 포함한다. 파라미터들은 지오메트리 파라미터들, 물질 파라미터들 및 다른 파라미터들을 포함할 수 있다. 이러한 측정 모델들은, 캘리포니아, 산 호세 소재의 KLA-Tencor, Corp로부터 입수 가능한 AcuShapeTM과 같은 모델링 및 라이브러리-생성 패키지를 이용하여 컴퓨팅 시스템들(130)에 의해 생성될 수 있다.
블록(303)에서, 타겟 데이터와 연관된 일정 양의 프로세스 변동 데이터가 수신된다. 몇몇 실시예들에서, 프로세스 변동 데이터는 프로세스 흐름에서 물리적으로 측정된 데이터(예를 들어, 반도체 웨이퍼 제조 프로세스 흐름 내의 임의의 지점에서 행해진 측정들)와 같은 실제 프로세스 데이터로부터 생성된다. 몇몇 예들에서, 프로세스 변동 데이터는 기준 측정 소스(120)에 의해 수행되는 측정들에 기초하여 생성될 수 있다. 몇몇 다른 예들에서, 프로세스 변동 데이터는 제 1 측정 모델을 이용하지만 측정 기술들 및 머신 파라미터 값들의 상이한 세트를 이용하여 수집된 측정 데이터에 대해 맞춤되는, 시스템(100)에 의해 수행된 측정들에 기초하여 생성될 수 있다.
몇몇 다른 실시예들에서, 프로세스 변동 데이터는 모델링 기반이다. 예를 들어, 프로세스 시뮬레이터(예를 들어, 캘리포니아, 산 호세 소재의 KLA-Tencor, Corp로부터 이용 가능한 PROLITHTM 시뮬레이터)는 프로세스 변동 데이터를 생성하기 위해 프로세스 윈도우 내의 변동들에 기초하여 타겟 구조의 변화들을 시뮬레이팅하는데 이용된다.
몇몇 다른 예들에서, 프로세스 변동 데이터는 사용자에 의해 정의된다. 예를 들어, 프로세스 변동 데이터는 파라미터들 간의 관계들을 정의하는 수학식들에 의해 설명될 수 있다. 다른 예에서, 프로세스 변동 데이터는 파라미터들 간의 관계를 정의하는 하나 이상의 상관 매트릭스들에 의해 설명될 수 있다. 다른 예에서, 프로세스 변동 데이터는 예상된 프로파일들의 세트를 선택함으로써 정의될 수 있다.
프로세스 변동 데이터는 제 1 측정 모델의 파라미터들에 관한 실제 물리적 제약들(예를 들어, 모델 파라미터들의 변동 범위들)을 정의하거나 정의하는데 이용된다. 예시적인 파라미터들은 최저 임계 치수(bottom critical dimension; BCD), 최상 임계 치수(top critical dimension; TCD), 중간 임계 치수(middle critical dimension; MCD), 측벽 각도(sidewall angle; SWA) 등을 포함한다.
프로세스 변동에 기초한 모델 최적화를 위한 예시적인 방법들 및 시스템들은 미국 특허 공개 번호 제2013/0110477 A1호 하에서 2013년 5월 2일 공개되고 KLA-Tencor Corporation에게 양도된 미국 특허 출원 번호 제13/286,079호에서 설명되며, 상기 미국 특허 전체가 인용에 의해 본원에 포함된다.
블록(304)에서, 파라미터들의 제 1 세트의 변동에 대한 제 1 측정 모델의 스펙트럼 감도가 결정된다. 예를 들어, 제 1 측정 모델의 스펙트럼 감도는 모델 파라미터들의 동요(perturbation)를 포함하는 유한 차분법(finite difference method)에 의해 결정될 수 있다. 몇몇 예들에서, 제 1 측정 모델의 스펙트럼 감도는 측정 기술들 및 머신 파라미터 값들의 세트를 이용하여 수행되는 타겟 구조의 측정들과 연관되는 각각의 머신 파라미터 값에 대해 컴퓨팅 시스템(130)에 의해 평가된다. 몇몇 다른 예들에서, 제 1 측정 모델의 스펙트럼 감도는 측정 기술들 및 머신 파라미터 값들의 세트를 이용하여 수행되는 타겟 구조의 측정들과 연관되는 머신 파라미터 값들의 서브세트에 대해 평가된다.
스펙트럼 감도에 기초한 모델 최적화를 위한 예시적인 방법들 및 시스템들은 KLA-Tencor Corp.에 양도된 미국 특허 출원 번호 제13/781,474호에서 설명되며, 상기 미국 특허 전체가 인용에 의해 본원에 포함된다.
블록(305)에서, 제 1 측정 모델은 파라미터들의 제 2 세트를 포함하는 구조의 제 2 측정 모델로 변형된다. 제 2 모델로의 제 1 모델의 변형은 제 1 측정 모델의 스펙트럼 감도 및 프로세스 변동 데이터의 양에 기초한다. 또한, 파라미터들의 제 2 세트는 파라미터들의 제 1 세트와 상이하다. 또한, 파라미터들의 제 2 세트는 파라미터들의 제 1 세트보다 더 적은 파라미터들을 포함한다. 파라미터들의 수의 감소 및 파라미터들의 제 1 세트에 비해 파라미터들의 제 2 세트 간의 감소된 상관은 증가된 모델 안정성 및 계산 속도에 기여한다.
스펙트럼 감도 정보 및 프로세스 변동 정보는 변형에 의해 파라미터 상관을 최소화하는 새로운 파라미터화(즉, 파라미터들의 제 2 세트)를 결정하는데 이용된다. 일반적으로, 이들 동작들은 상이한 머신 파라미터들(예를 들어, 파장, 편광각 코히어런스의 상태(state of coherence) 등)의 함수로서 측정되는 신호들과 함께 작동하는 것을 포함한다. 몇몇 예들에서, 주요 컴포넌트 분석(principal components analysis; PCA)이 제 2 모델을 생성하는데 이용된다. 공칭 값들 및 범위들은 파라미터들의 제 2 세트에 대해 정의된다. 이러한 방식으로, 파라미터들의 제 1 세트는 파라미터들의 제 2 세트의 함수로서 억제된다.
블록(306)에서, 타겟 구조의 측정들과 연관되는 제 2 양의 측정 데이터가 결정된다. 제 2 양의 측정 데이터는 측정 기술들 및 머신 파라미터 값들의 감소된 세트를 이용하여 수행되는 측정들과 연관된다. 즉, 제 2 양의 측정 데이터는 원래의 세트에 포함된 측정 기술들 및 머신 파라미터 값들의 서브세트와 연관되는 측정 데이터를 포함한다. 몇몇 예들에서, 제 2 양의 측정 데이터는 예를 들어, 컴퓨팅 시스템(130)에 의해 원래 수신된 측정 데이터로부터 추출된다. 몇몇 다른 예들에서, 제 2 양의 측정 데이터는 측정 기술들 및 머신 파라미터 값들의 감소된 세트를 이용하여 시스템(100)에 의해 수행된 측정들에 기초하여 수신된다.
몇몇 예들에서, 측정 기술들 및 머신 파라미터 값들의 감소된 세트의 선택은 이용 가능한 기술들 및 실제 제한들(예를 들어, 계산 시간, 큰 신호 대 잡음비를 갖지만 파장 범위가 제한되는 측정 기술들 등)에 기초한다. 몇몇 예들에서, 측정 기술들 및 머신 파라미터 값들의 감소된 세트의 선택은 이용 가능한 측정 기술들 및 머신 파라미터 값들의 공간을 통한 알고리즘 검색(algorithmic search)에 기초한다.
블록(307)에서, 타겟 구조의 기준 측정과 제 2 측정 모델에 관한 제 2 양의 측정 데이터의 회귀(regression)의 결과 간의 차이가 결정된다. 제 2 측정 모델에 관한 제 2 양의 측정 데이터의 회귀는 측정 기술들 및 머신 파라미터 값들의 감소된 세트를 이용한 측정들에 기초하여 타겟 구조의 구조적 파라미터 값들의 추정을 제공한다. 이 추정의 품질은 측정 기술들 및 머신 파라미터 값들의 감소된 세트를 이용하여 수행된 측정들이 충분히 정확하다는 것을 보장하도록 기준 측정(예를 들어, 기준 측정 데이터(121))에 대해 검사된다. 몇몇 예들에서, 기준 측정은 다른 측정 기술(예를 들어, TEM, SEM, X-광선 산란계 등)에 의해 수행된 타겟 구조의 측정일 수 있다. 몇몇 다른 예들에서, 기준 측정은 원래 수신된 측정 데이터(예를 들어, 측정 데이터(111 및 209))에 관한 제 2 모델의 회귀일 수 있다. 몇몇 다른 예들에서, 기준 측정은 제 2 양의 측정 데이터(예를 들어, 측정 데이터(111 및 209)의 세브세트)일 수 있다. 이 예에서, 제 2 측정 모델에 관한 제 2 양의 측정 데이터의 회귀의 에러들은 측정 정확도의 표시자이다.
블록(308)에서, 측정 기술들 및 머신 파라미터 값들의 감소된 세트는 메모리에 저장된다. 일 예에서, 측정 기술들 및 머신 파라미터 값들의 감소된 세트는 타겟 구조에 대한 최적화된 측정 레시피의 부분으로서 저장된다. 측정 기술들 및 머신 파라미터 값들의 감소된 세트는 온-보드 측정 시스템(100) 상에, 예를 들어, 메모리(132)에 저장될 수 있거나, (예를 들어, 출력 신호(140)를 통해) 외부 메모리 디바이스에 전달될 수 있다.
추가의 실시예에서, 최적화된 측정 레시피는 차이가 문턱값 미만인 경우 제공된다. 최적화된 측정 레시피는 측정 기술들 및 머신 파라미터 값들의 감소된 세트를 포함한다. 문턱값은 타겟 구조의 기준 측정과 제 2 측정 모델에 관한 제 2 양의 측정 데이터의 회귀의 결과 간의 차이들을 특징화하는데 유용한 임의의 메트릭일 수 있다.
그러나 차이가 문턱값보다 큰 경우, 측정 기술들 및 머신 파라미터 값들의 제 2 감소된 세트와 연관된 제 3 양의 측정 데이터가 결정된다. 제 2 측정 모델에 관한 제 3 양의 측정 데이터의 회귀는 측정 기술들 및 머신 파라미터 값들의 제 2 감소된 세트를 이용한 측정들에 기초하여 타겟 구조의 구조적 파라미터 값들의 추정을 제공한다. 측정 기술들 및 머신 파라미터 값들의 이러한 제 2 감소된 세트는 이어서 측정 기술들 및 머신 파라미터 값들의 제안된 세트가 만족스런 측정 결과를 전달하는지를 결정하기 위해, 아래에서 설명되는 바와 같이 기준 측정들에 대해 시험될 수 있다. 이러한 반복은 측정 기술들 및 머신 파라미터 값들의 만족스런 세트가 식별될 때까지 지속될 수 있다.
위에서 논의된 바와 같이, 측정 기술들 및 머신 파라미터 값들의 수의 감소는 쓰루풋 및 파라미터 추정 정확도의 바람직한 개선들을 제공할 수 있다. 그러나 측정 기술들 및 머신 파라미터 값들의 수의 감소 외에도, 재파라미터화된 모델의 자유도의 수의 감소는 또한 유사한 이익들을 제공할 수 있다. 따라서 제 2 모델로의 제 1 모델의 변환은 파라미터들의 수를 감소시키기 위해 반복적인 방식으로 재방문(revisit)될 수 있다.
일반적으로, 본 명세서에서 설명되는 시스템들 및 방법들은 오프-라인 또는 온-툴 측정을 위한 최적화된 측정 레시피를 준비하는 프로세스의 부분으로서 구현될 수 있다. 또한, 양자의 측정 모델들 및 임의의 재파라미터화된 측정 모델은 하나 이상의 타겟 구조들을 설명할 수 있다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수"는 구조의 임의의 임계 치수(예를 들어, 최저 임계 치수, 중간 임계 치수, 최상 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조들 간의 임계 치수(예를 들어, 2개의 구조들 간의 거리) 및 2개 이상의 구조들 간의 변위(예를 들어, 오버레이하는 격자 구조들 간의 오버레이 변위(overlay displacement) 등)를 포함할 수 있다. 구조들은 3차원 구조들, 패터닝된 구조들, 오버레이 구조들 등을 포함할 수 있다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본 명세서에서 설명된 바와 같이, 용어 "계측 시스템"은, 임계 치수 계측, 오버레이 계측, 포커스/정량 계측, 및 합성 계측과 같은 측정 애플리케이션들을 비롯해서, 임의의 양상에서 견본을 특성화하기 위해 적어도 부분적으로 이용되는 임의의 시스템을 포함한다. 그러나 당 분야의 이러한 용어들은 본 명세서에서 설명된 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 또한, 계측 시스템(100)은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 후방측 검사 출, 매크로-검사(macro-inspection) 툴, 또는 다중모드 검사 툴(하나 이상의 플랫폼들로부터의 데이터를 동시에 포함함), 및 임계 치수 데이터에 기초하여 시스템 파라미터들의 교정으로부터 혜택을 받는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
다양한 실시예들이 견본을 프로세싱하는데 이용될 수 있는 반도체 프로세싱 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)을 위해 본 명세서에서 설명된다. 용어 "견본"은 당 분야에 알려진 수단에 의해 프로세싱(예를 들어, 결함들을 위해 검사되거나 인쇄됨)될 수 있는 웨이퍼, 레티클, 또는 임의의 다른 샘플을 지칭하도록 본 명세서에서 이용된다.
본 명세서에서 이용된 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 물질로 형성되는 기판들을 지칭한다. 예들은 나노결정질 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만 이들로 제한되지 않는다. 이러한 기판들은 반도체 제조 설비에서 흔히 발견되고 및/또는 프로세싱될 수 있다. 몇몇 경우들에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 물질들의 하나 이상의 층들을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층들은 "패터닝"되거나 "패터닝되지 않을" 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처들을 갖는 복수의 다이들을 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 단계의 레티클 또는 반도체 제조 설비에서 이용하기 위해 릴리즈되거나 릴리즈되지 않을 수 있는 완료된 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로 실질적으로 불투명한 영역들이 형성되어 있고 패턴으로 구성되는 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어, 비정질 SiO2와 같은 유리 물질을 포함할 수 있다. 레티클은, 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 프로세스의 노출 단계 동안 레지스트-커버 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층들은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 각각이 반복 가능한 패턴 피처들을 갖는 복수의 다이들을 포함할 수 있다. 이러한 물질층들의 형성 및 프로세싱은 궁극적으로 디바이스들이 완료되게 할 수 있다. 다수의 상이한 타입들의 디바이스들이 웨이퍼 상에 형성될 수 있고, 본 명세서에서 이용된 바와 같은 웨이퍼란 용어는 당 분야에 알려진 임의의 타입의 디바이스가 제조되고 있는 웨이퍼를 포함하도록 의도된다.
하나 이상의 예시적인 실시예들에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 결합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능들은 컴퓨터-판독 가능한 매체 상에 하나 이상의 명령들 또는 코드로서 저장되거나 이를 통해 전송될 수 있다. 컴퓨터-판독 가능한 매체들은 한 장소에서 다른 장소로 컴퓨터 프로그램의 이전을 용이하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체들 및 통신 매체 둘 다를 포함한다. 저장 매체들은 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체들일 수 있다. 제한이 아닌 예로서, 이러한 컴퓨터-판독 가능한 매체들은 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장소, 자기 디스크 저장 또는 다른 자기 저장 디바이스들, 또는 범용 또는 특수-목적 컴퓨터, 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있고 명령들 또는 데이터 구조들의 형태로 원하는 프로그램 코드 수단을 전달 또는 저장하는데 이용될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터-판독 가능한 매체로 적절히 불린다. 예를 들어, 소프트웨어가 동축 케이블, 광섬유 케이블, 꼬임쌍선, 디지털 가입자 라인(DSL), 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술을 이용하여 웹 사이트, 서버 또는 다른 원격 소스로부터 전송되는 경우, 동축 케이블, 광섬유 케이블, 꼬임쌍선, 디지털 가입자 라인(DSL), 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술이 매체의 정의에 포함된다. 본 명세서에서 이용되는 바와 같은 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(disc)(CD), 레이저 디스크(disc), 광학 디스크(disc), 디지털 다용도 디스크(disc)(DVD), 플로피 디스크(disk) 및 블루-레이 디스크(disk)를 포함하며, 여기서 디스크(disk)들은 보통 자기적으로 데이터를 재생성하는 반면에, 디스크(disc)들은 레이저들을 이용하여 광학적으로 데이터를 재생성한다. 위의 것들의 결합들이 컴퓨터-판독 가능한 매체들의 범위 내에 또한 포함되어야 한다.
특정한 특정 실시예들이 교육 목적들을 위해 위에서 설명되었지만, 본 특허 문서의 교시들은 일반적은 응용성을 가지며, 위에서 설명된 특정한 실시예들로 제한되지 않는다. 이에 따라, 설명된 실시예들의 다양한 피처들의 다양한 변형들, 적응들 및 결합들은 청구항들에서 제시되는 바와 같은 본 발명의 범위로부터 벗어남 없이 실시될 수 있다.
120: 기준 측정 소스
202: 조명기
203: 검출기

Claims (20)

  1. 스펙트럼 감도(spectral sensitivity) 및 프로세스 변동 데이터에 기초하여 최적화된 측정 레시피를 생성하는 방법에 있어서,
    제1 반도체 계측 시스템의 조명기에 의해, 반도체 웨이퍼 상에 배치된 타겟 구조에 제1 조명 파장의 범위로 제1 양(amount)의 조명 광을 제공하는 단계;
    상기 제1 반도체 계측 시스템의 검출기에 의해, 상기 반도체 웨이퍼에 제공된 상기 제1 양의 조명 광에 응답하여 상기 타겟 구조로부터 제1 양의 광을 검출하는 단계;
    제2 반도체 계측 시스템의 조명기에 의해, 상기 반도체 웨이퍼 상에 배치된 상기 타겟 구조에 제2 조명 파장의 범위로 제2 양의 조명 광을 제공하는 단계;
    상기 제2 반도체 계측 시스템의 검출기에 의해, 상기 반도체 웨이퍼에 제공된 상기 제2 양의 조명 광에 응답하여 상기 타겟 구조로부터 제2 양의 광을 검출하는 단계;
    상기 제1 양의 검출된 광으로부터 제1 양의 측정 데이터를 생성하고, 상기 제2 양의 검출된 광으로부터 제2 양의 측정 데이터를 생성하는 단계;
    머신 파라미터들 및 관심이 있는 견본(specimen) 파라미터를 포함하는 견본 파라미터들을 포함하는 파라미터들의 제1 세트에 의해 파라미터화되는 상기 타겟 구조의 제1 측정 모델을 결정하는 단계;
    상기 타겟 구조와 연관되는 프로세스 변동 데이터의 양을 수신하는 단계;
    상기 제1 및 제2 조명 파장의 범위들의 변동에 대한 상기 제1 측정 모델의 스펙트럼 감도를 결정하는 단계;
    상기 제1 측정 모델을, 파라미터들의 제2 세트에 의해 파라미터화되는 상기 타겟 구조의 제2 측정 모델로 변환하는 단계로서, 상기 제1 측정 모델의 변환은 상기 제1 측정 모델의 스펙트럼 감도 및 상기 프로세스 변동 데이터의 양에 기초하고, 상기 파라미터들의 제2 세트는 상기 파라미터들의 제1 세트와는 상이한 것인, 상기 변환하는 단계;
    상기 제1 또는 제2 반도체 계측 시스템 중 하나인 선택된 반도체 계측 시스템의 조명기에 의해, 상기 반도체 웨이퍼 상에 배치된 상기 타겟 구조에 감소된 조명 파장의 범위로 제3 양의 조명 광을 제공하는 단계;
    상기 선택된 반도체 계측 시스템의 검출기에 의해, 상기 타겟 구조에 제공된 상기 제3 양의 조명 광에 응답하여 상기 타겟 구조로부터 제3 양의 광을 검출하는 단계;
    상기 제3 양의 검출된 광에 기초하여 제3 양의 측정 데이터를 생성하는 단계;
    상기 제2 측정 모델 상의 상기 제3 양의 측정 데이터의 회귀(regression)에 기초하는 상기 관심이 있는 견본 파라미터의 추정값과, 기준 측정 시스템에 의한 상기 타겟 구조의 측정치에 기초하는 상기 관심이 있는 견본 파라미터의 값 사이의 차이가 문턱값을 초과하는지 여부를 결정하는 단계; 및
    상기 차이가 상기 문턱값을 초과하지 않는다면, 상기 감소된 조명 파장의 범위 및 상기 선택된 반도체 계측 시스템을 메모리에 저장하는 단계
    를 포함하는, 최적화된 측정 레시피를 생성하는 방법.
  2. 제1항에 있어서,
    상기 제1 반도체 계측 시스템은 분광 엘립소미터(spectroscopic ellipsometer)이며, 상기 제1 양의 측정 데이터는 스펙트럼 측정 데이터를 포함하고, 상기 제2 반도체 계측 시스템은 2차원 빔 프로파일 반사측정기(reflectometer)이며, 상기 제2 양의 측정 데이터는 동공 이미지 데이터를 포함하는 것인, 최적화된 측정 레시피를 생성하는 방법.
  3. 제1항에 있어서,
    상기 차이가 상기 문턱값을 초과한다면, 더 감소된 조명 파장의 범위를 사용하여 수행되는 상기 타겟 구조의 측정치와 연관된 제4 양의 측정 데이터를 결정하는 단계를 더 포함하는 것인, 최적화된 측정 레시피를 생성하는 방법.
  4. 제1항에 있어서,
    상기 관심이 있는 견본 파라미터는 임계 치수인 것인, 최적화된 측정 레시피를 생성하는 방법.
  5. 제1항에 있어서,
    상기 타겟 구조의 제1 측정 모델을 상기 타겟 구조의 제2 측정 모델로 변환하는 단계는, 상기 파라미터들의 제1 세트의 자유도의 수를 상기 파라미터들의 제2 세트의 자유도의 더 작은 수로 감소시키는 단계를 수반하는 것인, 최적화된 측정 레시피를 생성하는 방법.
  6. 제1항에 있어서,
    상기 프로세스 변동 데이터의 양은 2개 이상의 파라미터들 사이의 관계를 정의하는 제약 방정식(constraint equation), 2개 이상의 파라미터들 사이의 관계를 정의하는 상관 매트릭스 및 사용자에 의해 선택되는 예측된 프로파일들의 세트 중 임의의 것을 포함하는 것인, 최적화된 측정 레시피를 생성하는 방법.
  7. 제1항에 있어서,
    상기 제1 측정 모델 및 상기 제2 측정 모델은 다수의 타겟들을 설명하는 것인, 최적화된 측정 레시피를 생성하는 방법.
  8. 스펙트럼 감도 및 프로세스 변동 데이터에 기초하여 최적화된 측정 레시피를 생성하는 시스템에 있어서,
    반도체 웨이퍼 상에 배치된 타겟 구조에 제1 조명 파장의 범위를 포함하는 제1 양(amount)의 조명 광을 제공하도록 구성된 광원과, 상기 반도체 웨이퍼에 제공된 상기 제1 양의 조명 광에 응답하여 상기 반도체 웨이퍼의 일부로부터 제1 양의 광을 검출하고, 상기 제1 양의 검출된 광으로부터 제1 양의 측정 데이터를 생성하도록 구성된 검출기를 포함하는 제1 계측 시스템;
    반도체 웨이퍼 상에 배치된 타겟 구조에 제2 조명 파장의 범위를 포함하는 제2 양의 조명 광을 제공하도록 구성된 광원과, 상기 반도체 웨이퍼에 제공된 상기 제2 양의 조명 광에 응답하여 상기 반도체 웨이퍼의 일부로부터 제2 양의 광을 검출하고, 상기 제2 양의 검출된 광으로부터 제2 양의 측정 데이터를 생성하도록 구성된 검출기를 포함하는 제2 계측 시스템;
    컴퓨팅 시스템으로서,
    머신 파라미터들 및 관심이 있는 견본 파라미터를 포함하는 견본 파라미터들을 포함하는 파라미터들의 제1 세트에 의해 파라미터화되는 상기 타겟 구조의 제1 측정 모델을 결정하고;
    상기 타겟 구조와 연관되는 프로세스 변동 데이터의 양을 수신하며;
    상기 제1 및 제2 조명 파장의 범위들의 변동에 대한 상기 제1 측정 모델의 스펙트럼 감도를 결정하고;
    상기 제1 측정 모델의 스펙트럼 감도 및 상기 프로세스 변동 데이터의 양에 기초하여, 상기 제1 측정 모델을 파라미터들의 제2 세트 - 상기 파라미터들의 제2 세트는 상기 파라미터들의 제1 세트와는 상이함 - 를 포함하는 상기 타겟 구조의 제2 측정 모델로 변환하며;
    상기 제2 측정 모델 상의 제3 양의 측정 데이터의 회귀 결과와 상기 타겟 구조의 기준 측정치의 결과 사이의 차이를 결정하고;
    상기 차이가 문턱값을 초과하지 않는다면, 감소된 조명 파장의 범위 및 선택된 반도체 계측 시스템을 메모리에 저장하도록 구성되는 것인, 상기 컴퓨팅 시스템
    을 포함하고,
    상기 제3 양의 측정 데이터는, 상기 감소된 조명 파장의 범위로 상기 타겟 구조에 제공된 제3 양의 조명 광에 응답하여 상기 선택된 반도체 계측 시스템의 검출기에 의해 검출된 제3 양의 광에 기초하며, 상기 선택된 계측 시스템은 제1 또는 제2 계측 시스템 중 하나인 것인, 최적화된 측정 레시피를 생성하는 시스템.
  9. 제8항에 있어서,
    상기 제1 계측 시스템은 분광 엘립소미터이며, 상기 제1 양의 측정 데이터는 스펙트럼 측정 데이터를 포함하고, 상기 제2 계측 시스템은 2차원 빔 프로파일 반사측정기이며, 상기 제2 양의 측정 데이터는 동공 이미지 데이터를 포함하는 것인, 최적화된 측정 레시피를 생성하는 시스템.
  10. 제8항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 차이가 상기 문턱값을 초과한다면, 더 감소된 조명 파장의 세트를 사용하여 수행되는 상기 타겟 구조의 측정치와 연관된 제4 양의 측정 데이터를 결정하도록 구성되는 것인, 최적화된 측정 레시피를 생성하는 시스템.
  11. 제8항에 있어서,
    상기 파라미터들의 제1 세트는 적어도 하나의 기하학적 파라미터 및 적어도 하나의 분산 파라미터를 포함하는 것인, 최적화된 측정 레시피를 생성하는 시스템.
  12. 제8항에 있어서,
    상기 타겟 구조의 제1 측정 모델을 상기 타겟 구조의 제2 측정 모델로 변환하는 것은, 상기 파라미터들의 제1 세트의 자유도의 수를 상기 파라미터들의 제2 세트의 자유도의 더 작은 수로 감소시키는 것을 수반하는 것인, 최적화된 측정 레시피를 생성하는 시스템.
  13. 제8항에 있어서,
    상기 프로세스 변동 데이터의 양은 2개 이상의 파라미터들 사이의 관계를 정의하는 제약 방정식, 2개 이상의 파라미터들 사이의 관계를 정의하는 상관 매트릭스 및 사용자에 의해 선택되는 예측된 프로파일들의 세트 중 임의의 것을 포함하는 것인, 최적화된 측정 레시피를 생성하는 시스템.
  14. 제8항에 있어서,
    상기 제1 측정 모델 및 상기 제2 측정 모델은 다수의 타겟들을 설명하는 것인, 최적화된 측정 레시피를 생성하는 시스템.
  15. 측정 시스템에 있어서,
    반도체 웨이퍼 상에 배치된 타겟 구조에 제1 조명 파장의 범위를 포함하는 제1 양의 조명 광을 제공하도록 구성된 광원과, 상기 반도체 웨이퍼에 제공된 상기 제1 양의 조명 광에 응답하여 상기 반도체 웨이퍼의 일부로부터 제1 양의 광을 검출하고, 상기 제1 양의 검출된 광으로부터 제1 양의 측정 데이터를 생성하도록 구성된 검출기를 포함하는 제1 계측 시스템;
    반도체 웨이퍼 상에 배치된 타겟 구조에 제2 조명 파장의 범위를 포함하는 제2 양의 조명 광을 제공하도록 구성된 광원과, 상기 반도체 웨이퍼에 제공된 상기 제2 양의 조명 광에 응답하여 상기 반도체 웨이퍼의 일부로부터 제2 양의 광을 검출하고, 상기 제2 양의 검출된 광으로부터 제2 양의 측정 데이터를 생성하도록 구성된 검출기를 포함하는 제2 계측 시스템;
    컴퓨터-판독가능한 비-일시적 저장 매체로서,
    컴퓨터로 하여금, 머신 파라미터들 및 관심이 있는 견본 파라미터를 포함하는 견본 파라미터들을 포함하는 파라미터들의 제1 세트에 의해 파라미터화되는 상기 타겟 구조의 제1 측정 모델을 결정하게 하는 코드;
    상기 컴퓨터로 하여금, 상기 타겟 구조와 연관되는 프로세스 변동 데이터의 양을 수신하게 하는 코드;
    상기 컴퓨터로 하여금, 상기 제1 및 제2 조명 파장의 범위들의 변동에 대한 상기 제1 측정 모델의 스펙트럼 감도를 결정하게 하는 코드;
    상기 컴퓨터로 하여금, 상기 제1 측정 모델의 스펙트럼 감도 및 상기 프로세스 변동 데이터의 양에 기초하여, 상기 제1 측정 모델을 파라미터들의 제2 세트 - 상기 파라미터들의 제2 세트는 상기 파라미터들의 제1 세트와는 상이함 - 를 포함하는 상기 타겟 구조의 제2 측정 모델로 변환하게 하는 코드;
    상기 컴퓨터로 하여금, 상기 제2 측정 모델 상의 제3 양의 측정 데이터의 회귀 결과와 상기 타겟 구조의 기준 측정치의 결과 사이의 차이를 결정하게 하는 코드; 및
    상기 컴퓨터로 하여금, 상기 차이가 문턱값을 초과하지 않는다면, 감소된 조명 파장의 범위 및 선택된 반도체 계측 시스템을 메모리에 저장하게 하는 코드
    를 포함하는 것인, 상기 컴퓨터-판독가능한 비-일시적 저장 매체
    를 포함하고,
    상기 제3 양의 측정 데이터는, 상기 감소된 조명 파장의 범위로 상기 타겟 구조에 제공된 제3 양의 조명 광에 응답하여 상기 선택된 반도체 계측 시스템의 검출기에 의해 검출된 제3 양의 광에 기초하며, 상기 선택된 계측 시스템은 제1 또는 제2 계측 시스템 중 하나인 것인, 측정 시스템.
  16. 제15항에 있어서,
    상기 제1 계측 시스템은 분광 엘립소미터이며, 상기 제1 양의 측정 데이터는 스펙트럼 측정 데이터를 포함하고, 상기 제2 계측 시스템은 2차원 빔 프로파일 반사측정기이며, 상기 제2 양의 측정 데이터는 동공 이미지 데이터를 포함하는 것인, 측정 시스템.
  17. 제15항에 있어서,
    상기 컴퓨터-판독가능한 비-일시적 저장 매체는,
    상기 컴퓨터로 하여금, 상기 차이가 상기 문턱값을 초과한다면, 더 감소된 조명 파장의 세트를 사용하여 수행되는 상기 타겟 구조의 측정치와 연관된 제4 양의 측정 데이터를 결정하게 하는 코드를 더 포함하는 것인, 측정 시스템.
  18. 제15항에 있어서,
    상기 파라미터들의 제1 세트는 적어도 하나의 기하학적 파라미터 및 적어도 하나의 분산 파라미터를 포함하는 것인, 측정 시스템.
  19. 제15항에 있어서,
    상기 타겟 구조의 제1 측정 모델을 상기 타겟 구조의 제2 측정 모델로 변환하는 것은, 상기 파라미터들의 제1 세트의 자유도의 수를 상기 파라미터들의 제2 세트의 자유도의 더 작은 수로 감소시키는 것을 수반하는 것인, 측정 시스템.
  20. 제15항에 있어서,
    상기 프로세스 변동 데이터의 양은 2개 이상의 파라미터들 사이의 관계를 정의하는 제약 방정식, 2개 이상의 파라미터들 사이의 관계를 정의하는 상관 매트릭스 및 사용자에 의해 선택되는 예측된 프로파일들의 세트 중 임의의 것을 포함하는 것인, 측정 시스템.
KR1020147034326A 2012-05-08 2013-05-07 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화 KR102137848B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261644037P 2012-05-08 2012-05-08
US61/644,037 2012-05-08
US13/887,524 2013-05-06
US13/887,524 US10354929B2 (en) 2012-05-08 2013-05-06 Measurement recipe optimization based on spectral sensitivity and process variation
PCT/US2013/040003 WO2013169818A1 (en) 2012-05-08 2013-05-07 Measurement recipe optimization based on spectral sensitivity and process variation

Publications (2)

Publication Number Publication Date
KR20150018806A KR20150018806A (ko) 2015-02-24
KR102137848B1 true KR102137848B1 (ko) 2020-07-24

Family

ID=49549315

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147034326A KR102137848B1 (ko) 2012-05-08 2013-05-07 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화

Country Status (5)

Country Link
US (1) US10354929B2 (ko)
KR (1) KR102137848B1 (ko)
CN (1) CN104395996B (ko)
TW (1) TWI603052B (ko)
WO (1) WO2013169818A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9228943B2 (en) * 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130245985A1 (en) * 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10386729B2 (en) 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
US10429320B2 (en) * 2013-06-04 2019-10-01 Kla-Tencor Corporation Method for auto-learning tool matching
JP6291581B2 (ja) 2013-12-30 2018-03-14 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
CN105874388B (zh) 2013-12-30 2019-03-15 Asml荷兰有限公司 用于量测目标的设计的方法和设备
SG11201604641PA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
CN104807398B (zh) * 2014-01-28 2018-06-05 睿励科学仪器(上海)有限公司 一种在ocd测量中用于筛选波段的方法和装置
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US11035729B1 (en) * 2014-12-18 2021-06-15 J.A. Woqllam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter system with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10132684B1 (en) * 2014-12-18 2018-11-20 J.A. Woolam Co., Inc. Reflectometer, spectrophometer, ellipsometer and polarimeter system with a super continuum laser-source of a beam of electromagnetism and improved detector system
US10422739B1 (en) * 2014-12-18 2019-09-24 J.A. Woollam Co., Inc. Reflectometer, spectrophotometer, ellipsometer and polarimeter systems with a super continuum laser source of a beam of electromagnetism, and improved detector system
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
CN107438795A (zh) 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
JP6244329B2 (ja) * 2015-05-12 2017-12-06 東京エレクトロン株式会社 基板の検査方法、基板処理システム及びコンピュータ記憶媒体
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US10458912B2 (en) * 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10690602B2 (en) * 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10401738B2 (en) * 2017-08-02 2019-09-03 Kla-Tencor Corporation Overlay metrology using multiple parameter configurations
US11156548B2 (en) * 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
EP3584007A1 (en) * 2018-06-18 2019-12-25 Covestro Deutschland AG Method and computer system for determining production parameters for the production of a polymeric product
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
CN112306004B (zh) * 2019-07-26 2022-02-01 长鑫存储技术有限公司 半导体制程工艺配方管理方法与系统
US11769242B2 (en) 2020-05-21 2023-09-26 Kla Corporation Mode selection and defect detection training

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080049214A1 (en) * 2006-08-28 2008-02-28 Alexei Maznev Measuring Diffractive Structures By Parameterizing Spectral Features

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
JP3925301B2 (ja) 2001-07-12 2007-06-06 コニカミノルタセンシング株式会社 分光特性測定装置および同装置の分光感度の波長シフト補正方法
US6853942B2 (en) 2002-03-26 2005-02-08 Timbre Technologies, Inc. Metrology hardware adaptation with universal library
US7330279B2 (en) 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
JP3767541B2 (ja) * 2002-11-12 2006-04-19 ソニー株式会社 光源推定装置、光源推定方法、撮像装置および画像処理方法
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US7286243B2 (en) 2004-04-19 2007-10-23 Arist Instruments, Inc. Beam profile complex reflectance system and method for thin film and critical dimension measurements
US7171284B2 (en) * 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7355728B2 (en) * 2005-06-16 2008-04-08 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
JP4660694B2 (ja) 2005-06-28 2011-03-30 コニカミノルタセンシング株式会社 分光装置の波長校正方法及び分光装置
US7921383B1 (en) 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7523021B2 (en) 2006-03-08 2009-04-21 Tokyo Electron Limited Weighting function to enhance measured diffraction signals in optical metrology
US7450225B1 (en) 2007-04-13 2008-11-11 Nanometrics Incorporated Correction of optical metrology for focus offset
JP5082622B2 (ja) 2007-06-28 2012-11-28 コニカミノルタオプティクス株式会社 分光特性測定装置、分光特性測定システム
CN101359612B (zh) 2007-07-30 2012-07-04 东京毅力科创株式会社 晶片图案结构的检查装置及其计量数据管理方法
US7698098B2 (en) 2008-02-18 2010-04-13 Thermo Electron Scientific Instruments Llc Efficient spectral matching, particularly for multicomponent spectra
JP5233529B2 (ja) 2008-09-05 2013-07-10 コニカミノルタオプティクス株式会社 分光特性測定装置およびその校正方法ならびに分光特性測定システム
US8289527B2 (en) 2010-04-01 2012-10-16 Tokyo Electron Limited Optimization of ray tracing and beam propagation parameters
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8818754B2 (en) * 2011-04-22 2014-08-26 Nanometrics Incorporated Thin films and surface topography measurement using reduced library
US9310296B2 (en) 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080049214A1 (en) * 2006-08-28 2008-02-28 Alexei Maznev Measuring Diffractive Structures By Parameterizing Spectral Features

Also Published As

Publication number Publication date
TW201350784A (zh) 2013-12-16
KR20150018806A (ko) 2015-02-24
WO2013169818A1 (en) 2013-11-14
US20130304408A1 (en) 2013-11-14
TWI603052B (zh) 2017-10-21
CN104395996B (zh) 2017-10-17
CN104395996A (zh) 2015-03-04
US10354929B2 (en) 2019-07-16

Similar Documents

Publication Publication Date Title
KR102137848B1 (ko) 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화
KR102220435B1 (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
US10502549B2 (en) Model-based single parameter measurement
US10769320B2 (en) Integrated use of model-based metrology and a process model
TWI706127B (zh) 計量系統、自動可量測性排名工具、以及計量方法
KR102415145B1 (ko) 다중 패턴화 파라미터의 측정
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
US10101670B2 (en) Statistical model-based metrology
US10502694B2 (en) Methods and apparatus for patterned wafer characterization
US20160322267A1 (en) Multi-model metrology
US9347872B1 (en) Meta-model based measurement refinement
TWI631636B (zh) 以模型爲基礎之量測及一製程模型的整合使用
US20230228692A1 (en) Methods And Systems For Targeted Monitoring Of Semiconductor Measurement Quality

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant