CN111433899A - 先进纳米结构的测量方法 - Google Patents

先进纳米结构的测量方法 Download PDF

Info

Publication number
CN111433899A
CN111433899A CN201880077785.9A CN201880077785A CN111433899A CN 111433899 A CN111433899 A CN 111433899A CN 201880077785 A CN201880077785 A CN 201880077785A CN 111433899 A CN111433899 A CN 111433899A
Authority
CN
China
Prior art keywords
parameter
dispersion
model
anisotropic
spectrum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880077785.9A
Other languages
English (en)
Other versions
CN111433899B (zh
Inventor
M·源
P·阿金斯
A·库兹涅佐夫
列-关·里奇·利
N·马尔科娃
P·奥雅吉
M·苏辛西科
H·舒艾卜
胡大为
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111433899A publication Critical patent/CN111433899A/zh
Application granted granted Critical
Publication of CN111433899B publication Critical patent/CN111433899B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0205Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system
    • G05B13/024Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system in which a parameter or coefficient is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Software Systems (AREA)
  • Immunology (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Pathology (AREA)
  • Automation & Control Theory (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

可基于来自晶片计量工具的光谱确定结构的参数化几何模型。所述结构可具有几何结构诱发的各向异性效应。可从所述参数化几何模型确定所述结构的色散参数。这可实现用于测量具有诱发不可忽略的各向异性效应的几何结构及与周围结构的相对位置的纳米结构的计量技术。这些技术可用于特性化例如FinFET或及环绕式栅极场效应晶体管的半导体制造中的涉及金属及半导体目标的工艺步骤。

Description

先进纳米结构的测量方法
相关申请案的交叉参考
本申请案主张在2017年12月8日申请且转让的第62/596,598号美国申请案的临时专利申请案的优先权,所述申请案的公开内容特此以引用的方式并入。
技术领域
本发明涉及测量半导体晶片上的结构。
背景技术
半导体制造产业的演进对良率管理以及特定来说计量及检验系统提出更高要求。临界尺寸日益缩小而晶片尺寸日益增大。经济学驱使所述产业减少用于实现高良率、高价值生产的时间。最小化从检测到良率问题到解决所述问题的总时间确定半导体制造商的投资回报率。
制造半导体装置(例如逻辑及存储器装置)通常包含使用大量制造工艺处理半导体晶片以形成半导体装置的各种特征及多个层级。举例来说,光刻是涉及将图案从光罩转印到布置于半导体晶片上的光致抗蚀剂的半导体制造工艺。半导体制造工艺的额外实例包含但不限于化学机械抛光(CMP)、蚀刻、沉积及离子植入。多个半导体装置可制造于单半导体晶片上的布置中且接着分离成个别半导体装置。
可在半导体制造期间使用计量取得例如半导体晶片或光罩的各种测量值。可使用计量工具来测量与各种半导体制造工艺相关联的结构及材料特性。举例来说,计量工具可测量材料组合物或可测量结构及膜的尺寸特性,例如膜厚度、结构的临界尺寸(CD)或重叠。这些测量用于在制造半导体裸片期间促进工艺控制及/或良率效率。
随着半导体装置图案尺寸继续缩小,通常需要较小计量目标。此外,对于测量准确度及与实际装置特性匹配的要求增加对于似装置目标以及裸片内及甚至装置上测量的需要。已提出各种计量实施方案以实现所述目标。举例来说,已提出基于主要反射光学器件的聚焦光束椭偏测量。可使用变迹器减轻光学衍射引起照明光点扩散超出由几何光学定义的尺寸的效应。配合同时多入射角照明使用高数值孔径工具是实现小目标能力的另一方式。
其它测量实例可包含:测量半导体堆叠的一或多个层的组合物;测量晶片上(或内)的某些缺陷;及测量暴露于晶片的光刻辐射的量。在一些情况中,计量工具及算法可经配置用于测量非周期性目标。
计量技术可在制造过程期间特性化半导体晶片的参数。实际上,光被引导到形成于半导体晶片中的周期性光栅上。测量且分析反射光的光谱以特性化光栅参数。特性化参数可包含CD、侧壁角(SWA)、特征高度(HT)、材料参数或其它参数,其影响从材料反射或透射穿过所述材料的光的偏光及强度。经测量光谱的分析一般涉及比较经测量样本光谱与模拟光谱以推断最好描述经测量样本的模型的参数值。
所关注参数的测量通常涉及多种算法。举例来说,使用电磁(EM)解算器对入射光束与样本的光学相互作用建模,且使用如严格耦合波分析(RCWA)、有限元建模(FEM)、矩量法、面积分法、体积分法或有限差分时域(FDTD)的此类算法。通常使用几何引擎、过程建模引擎或两者的组合对所关注目标建模(例如,参数化所关注目标)。举例来说,在来自科磊公司(KLA-Tencor)的AcuShape软件产品中实施几何引擎。
这些建模方法可包含:固定或浮动参数的修改;参数约束的修改;固定参数的标称值的修改;参数空间的坐标的修改;子系统或通道的选择或加权;波长选择或加权;多遍次;数据前馈;多模型;或回归引擎的修改。
用于装置内或似装置目标的半导体计量的组成部分是使用电磁解算器对入射光束与样本的光学相互作用建模。电磁解算器的实例包含RCWA、FEM、矩量法、面积分法、体积分法或FDTD。这些模拟算法依赖于测量目标的个别组件的预定色散。
存在关于目标的色散的至少两个假定。首先,通常假定目标的色散是从相同材料的块体色散的小偏差,其可归因于工艺变化、温度变化或其它参数。其次,假定在半导体制造中常用的许多材料的色散与传入光的偏光无关。先前,使用计量系统(例如光谱椭偏仪)用于测量。测量来自中间或最后工艺步骤的膜堆叠、装置内或似装置2D及3D结构。通常使用一个各向同性色散模型描述目标的每一组件的光学性质。接着,算法基于经测量信号及模拟信号估计所关注参数。
这两个假定对于其几何结构以及其与其它结构的相对位置诱发不可忽略的各向异性效应的目标结构的色散是无效的。举例来说,在足够薄的SiGe膜或2D或3D SiGe纳米结构中,应变/应力诱发的各向异性可成为其光学性质中的主导因素。在另一实例中,在FinFET中的尺寸足够小的Si鳍片中,Si晶格定向(例如(100)、(110)、(111))与通道的几何结构之间的相对关系可引入不同类型的各向异性。随着下一代薄FinFET或环绕式栅极FET的目标结构在其复合材料及几何结构(例如尺寸、形状、材料界面等)两者的设计方面变得更复杂,当前方法将无法适应全部必要测量步骤以确保高测量质量且因此确保高良率。此外,当前紫外线、可见光及红外线类型的传入电磁波可能无法针对在相对较厚结构的底部附近的目标提供足够图征(signature)。
因此,需要用于测量半导体晶片上的结构的新系统及方法。
发明内容
在第一实施例中,提供一种方法。在处理器处接收通过晶片计量工具测量的光谱。所述光谱是来自包含结构的半导体晶片的区域。所述结构具有几何结构诱发的各向异性效应。使用所述处理器产生所述结构的参数化几何模型。使用所述处理器将各向异性材料性质指派到所述参数化几何模型。运用所述处理器使用所述参数化几何模型确定所述晶片上的所述结构的色散参数。所述色散参数包含各向异性色散参数。使用所述处理器从所述参数化几何模型确定至少一个几何参数或各向异性材料参数。
可用所述晶片计量工具测量所述光谱。
所述色散参数可包含各向异性张量矩阵。
可通过参考理论或经验色散模型提供所述色散参数的各向异性电介质分量。在例子中,所述参考理论或经验色散模型表示所述色散参数对各向异性的促成因素的相依性。
可使用回归或机器学习确定所述至少一个几何参数或所述各向异性材料参数。
可使用双轴模型或单轴模型对所述色散参数进行建模。在例子中,所述双轴模型或所述单轴模型的电介质分量是由至少一个参考色散模型提供。
所述各向异性材料性质可包含电子密度、材料密度或化学组合物中的至少一者。
可使用校正参数参数化所述各向异性色散参数。在例子中,所述校正参数表示原始各向异性色散的偏移或比例。
在例子中,通过包含以下各者的过程确定所述色散参数:使用所述参数化几何模型、色散模型及模拟器产生实验的光谱设计;训练统计模型以确定所述光谱与相关于所述结构的几何参数及/或材料参数的至少一个参数之间的关系;及使用所述统计模型从所述光谱预测所述结构的所关注参数。所述几何参数及色散参数中的至少一者分布于预定范围内。
可通过最小化所述光谱的建模版本与经测量版本之间的光谱差异的优化或回归中的至少一者且通过扰动所述参数化几何模型及所述色散参数而确定所述色散参数。
所述方法可进一步包含使用基于比较模拟光谱与所述光谱的学习的一或多个反馈操作以调整所述参数化几何模型、色散模型及/或几何配置中的参数。
所述方法可进一步包含使用所述光谱预测各向异性色散。
可提供一种计算机程序产品,其包括非暂时性计算机可读存储媒体,所述非暂时性计算机可读存储媒体具有与其一起体现的计算机可读程序。所述计算机可读程序经配置以实行所述第一实施例的所述方法的变体中的一者。
在第二实施例中,提供一种系统。所述系统包含电子数据存储单元及处理器,所述处理器与所述电子数据存储单元及晶片计量工具电子通信。所述处理器经配置以:产生结构的参数化几何模型;将各向异性材料性质指派到所述参数化几何模型;使用所述参数化几何模型确定晶片上的所述结构的色散参数;及从所述参数化几何模型确定至少一个几何参数或各向异性材料参数。光谱是来自包含结构的半导体晶片的区域且是通过晶片计量工具测量。所述结构具有几何结构诱发的各向异性效应。所述色散参数包含各向异性色散参数。
在例子中,所述处理器及所述电子数据存储单元可安置于所述晶片计量工具中或否则为所述晶片计量工具的部分。
在第三实施例中,提供一种模拟器。所述模拟器包括结构的参数化几何模型。光谱是来自包含结构的半导体晶片的区域且通过晶片计量工具测量。所述结构具有几何结构诱发的各向异性效应。所述参数化几何模型经配置以使用处理器进行以下操作:指派各向异性材料性质;确定所述晶片上的所述结构的色散参数;确定至少一个几何参数或各向异性材料参数;及基于所述光谱预测各向异性色散。所述色散参数包含各向异性色散参数。
附图说明
为更全面理解本发明的性质及目的,应参考结合附图进行的以下详细描述,其中:
图1是根据本发明的方法的第一实施例的流程图;
图2是根据本发明的方法的第二实施例的流程图;
图3是根据本发明的方法的第三实施例的流程图;
图4是根据本发明的方法的第四实施例的流程图;
图5说明示范性膜堆叠;
图6说明示范性FinFET;
图7说明示范性环绕式栅极(GAA)FET;及
图8是根据本发明的系统的框图。
具体实施方式
尽管将依据特定实施例描述所主张标的物,但其它实施例(包含未提供本文中阐述的全部益处及特征的实施例)也在本发明的范围内。可进行各种结构、逻辑、工艺步骤及电子改变而不脱离本发明的范围。因此,本发明的范围仅参考所附权利要求书定义。
本文中揭示的实施例可实现用于测量具有诱发不可忽略的各向异性效应的几何结构及与周围结构的相对位置的纳米结构的计量技术。举例来说,不可忽略的各向异性效应可包含与块体材料的材料性质值相比改变0.1%以上的材料性质。在另一实例中,不可忽略的各向异性效应可包含模拟信号的超过公差的变化。公差可为例如5%。基于几何结构诱发的各向异性的模拟信号(例如,超过例如5%的公差)可比基于假定各向同性材料的信号更准确。这些技术可用于特性化例如先进FinFET或环绕式栅极(GAA)FET的半导体制造中的涉及金属及半导体目标的工艺步骤。使用本文中揭示的实施例,物理性质可与尺寸性质有关。因此,影响材料性质的几何参数可与尺寸性质有关。可考量结构的形状及/或相对位置。
晶片计量工具可提供光谱椭偏测量(SE)。此晶片计量工具通常包含:宽带光源;系统,其测量光源如何与目标相互作用;及处理算法,其提取目标的相关参数。源可为激光驱动光源,相对于Xe灯,其可提供高强度且增加检测器处的信噪比。在实例中,集光系统包含一系列偏光器(旋转或固定)、补偿器(旋转或固定)、检测器、光谱仪、相机、透镜、镜及/或准直器。为增强目标图征,系统可使用N2或Ar气体冲洗以将波长范围扩展到170nm或更低。
对于SE测量,使用测量目标的光学性质来模拟光-目标相互作用。当目标结构的材料及几何设计的复杂性变得高度复杂及/或结构尺寸变得足够小时,其光学性质可能强烈偏离块体材料的光学性质。在此情况中,各向异性效应可变得显著及/或色散变得与块体材料的色散明显不同。将此现象被称为几何结构诱发的各向异性。
几何结构诱发的各向异性可包含由目标结构的几何结构、周围结构的几何结构及/或目标结构与周围结构的位置的相对位置直接或间接引起的目标结构中的任何各向异性效应。目标结构的几何结构可包含形状、尺寸、结构对称性、晶体的固有各向异性、应变诱发的各向异性、应力诱发的各向异性、相邻结构(近接效应)、量子隧穿效应、量化效应、氢钝化或其它参数。这些参数可归因于涉及结构的几何结构而变得不可忽略。在例子中,目标结构的几何结构包含所关注层(例如,SiO2、Si或SiGe)的厚度。周围结构的几何结构可包含所关注层的相邻层的厚度。在图5中可见目标结构与周围结构的相对位置的实例。顶部Si层介于SiO2层与SiGe层之间,而第二顶部Si介于两个Si层之间。
在例子中,几何结构诱发的各向异性可包含归因于线中的静电失配(例如,像力)与不同于环境介质的介电常数(dielectric constant)的效应。
在例子中,几何结构诱发的各向异性可包含工艺变化,例如退火或底层磨边技术。
在例子中,表面/界面诱发的各向异性包含于几何结构诱发的各向异性中。表面/界面各向异性可归因于局部表面场、固有表面/界面状态及/或表面/界面原子层的固有松弛而出现。
当目标结构各向异性时,目标结构的电介质函数可表示为全张量电介质系数(permittivity)矩阵。此全张量形式可被称为各向异性模型。
为帮助考量几何结构诱发的各向异性及从给定目标结构的块体性质的偏差,揭示用于预测受影响色散的技术。在例子中,使用晶片计量工具在较简单或类似目标上测量色散。举例来说,薄膜的经测量色散可用作FinFET、GAA FET或类似目标中的小结构的第一估计。在第二例子中,使用基于(但不限于)理论、半经验及/或经验方法的材料模拟器预测几何结构诱发的各向异性的效应及目标结构的色散的色散偏差的存在。在第三例子中,测量较简单或类似目标且使用材料模拟器。
SE依赖于紫外光、可见光或红外光与目标之间的光学相互作用。然而,光学波长可能未能提供足够测量准确度。在一些情况中,可使用X射线计量(例如临界尺寸小角度X射线散射(CD-SAXS)或镜面X射线反射率(SXR))用于临界参数的测量。X射线计量的准确度取决于材料性质(例如材料或电子密度)。举例来说,材料的电子密度可受到引起材料能级的变化的各向异性效应的严重影响。通过适当地考量材料性质的变化,可改进X射线计量的准确度。所述方法论可适用于类似于光学技术的X射线。然而,通过n及k描述光学技术的材料性质,而可使用电子密度来描述X射线计量的材料性质。
类似于光学,X射线中的材料性质的误差将使测量的准确度降级。具有更准确材料性质(例如,电子密度)将改进几何参数的测量准确度。
图1是方法100的流程图。计量工具及方法的所揭示组合可建模尺寸较小的纳米结构(包含但不限于薄膜堆叠、FinFET、GAA FET,以及未来几代DRAM及3D快闪存储器结构)的几何结构诱发的各向异性。
在101,在处理器处接收光谱。光谱可为SE光谱或可为X射线光谱。光谱是来自包含结构的半导体晶片的区域。结构可具有几何结构诱发的各向异性效应。通过晶片计量工具测量光谱。在例子中,用晶片计量工具测量光谱且将光谱传达到处理器。
在102,使用处理器产生结构的参数化几何模型。参数化几何模型可基于光谱或可基于其它技术。这可基于预定几何结构诱发的各向异性色散模型,所述模型可包含经测量及/或模拟的几何结构诱发的各向异性色散。
参数化几何模型可被称为目标结构的猜测的几何配置。在确定几何配置(例如,参数化几何模型)之后,可将预定及/或参数化材料性质(例如,n及k)指派到结构的不同子结构。
在一些例子中,使用预定色散模型或色散库可比现有色散模型或色散库更佳,这是因为操作者可使用由操作者产生的新色散模型。实际上,通常基于半导体制造商所提供的关于目标结构的知识产生参数化几何模型。
在例子中,步骤102包含产生结构的参数化几何模型及一或多个色散模型。这可通过一个处理器或不同处理器执行。
在103,使用处理器将各向异性材料性质指派到参数化几何模型。各向异性材料性质可包含电子密度、材料密度或化学组合物中的至少一者。这些各向异性材料性质可为几何结构诱发的。虽然揭示各向异性材料性质,但其它类型的材料性质是可能的。在例子中,指派各向异性材料性质可意味着指示几何模型中的哪些子结构具有哪些材料。举例来说,在图5中,在指派材料之前,几何模型包含彼此叠置的几何块。在指派材料之后,顶部块被理解为SiO2,第二块是Si,等等。
返回到图1,在104,使用处理器来使用参数化几何模型确定晶片上的结构的色散参数。这可使用双轴模型或单轴模型。双轴模型或单轴模型的电介质分量可由至少一个参考色散模型提供。双轴模型或单轴模型可由用户人工求解。举例来说,当结构是非常薄的膜时,用户可使用单轴色散模型。在另一实例中,当结构是具小尺寸的纳米线时,用户可使用双轴色散模型。
色散参数可包含各向异性色散参数。在例子中,色散参数包含各向异性张量矩阵。可使用校正参数参数化各向异性色散参数,所述校正参数可表示原始各向异性色散的偏移或比例。
在特定实施例中,结合色散参数使用3×3张量矩阵。这可为对角张量。
可使用参考理论或经验色散模型提供色散参数的各向异性电介质分量。参考理论或经验色散模型可表示色散参数对各向异性的促成因素的相依性。
可通过最小化光谱的建模版本与经测量版本之间的光谱差异的优化或回归中的至少一者且通过扰动参数化几何模型及色散参数而确定色散参数。
在实施例中,可通过以下过程确定色散参数。首先,使用参数化几何模型、色散模型及模拟器产生实验的光谱设计。色散模型可为预定色散模型或参数化色散模型。可改变来自参数化色散模型的材料参数以及几何参数以输出模拟信号。模拟器可为EM解算器,例如RCWA、FEM或有限体积法(FVM)。
几何参数及色散参数中的至少一者可分布于预定范围内。其次,训练统计模型以确定光谱与相关于结构的几何参数及/或材料参数的至少一个参数之间的关系。最后,使用统计模型从光谱预测结构的所关注参数。
在105,使用处理器从参数化几何模型确定几何参数或各向异性材料参数中的至少一者。可使用回归或机器学习确定几何参数或各向异性材料参数中的至少一者。在例子中,可使用材料参数确定进一步可用于预测装置性能预测的带隙或电子传输性质。
可使用光谱预测各向异性色散的参数。
在例子中,可使用一或多个反馈操作(其使用基于比较模拟光谱与光谱的学习)来调整参数化几何模型、色散模型及/或几何配置中的参数。
本文中揭示的实施例(包含图1的实施例)可用于测量薄半导体、膜、金属膜、半导体纳米线、金属纳米线、半导体鳍片及/或金属鳍片,其是逻辑装置(例如GAA FET或FinFET)或存储器结构(例如DRAM、自旋转移力矩RAM(STT-RAM)或3D NAND)的组件。
在实例中,将笛卡尔(Cartesian)坐标系统放置于晶片上使得z轴法向于晶片的表面。可假定薄膜沉积于x-y平面中,且圆柱形或矩形纳米线或鳍片经定位使得其对称轴平行于x轴、y轴及z轴。
薄半导体或金属膜可使用单轴色散模型来适应各向异性,所述单轴色散模型具有表示在z方向上的光学响应的一个电介质分量及用于x-y平面中的材料响应的另一电介质分量。可从预定理论、半经验及/或经验色散库或模型取得用于每一分量的模型。库或模型可包含确定其对各种各向异性效应的相依性的参数(例如SiGe中的Ge百分比及/或应力/应变变化)。使用此类色散库的单轴色散模型可被称为复合色散模型。
举例来说,如图5中所见,第一Si层(介于SiO2与SiGe之间)的各向异性可不同于从顶部的第三Si层(介于SiGe与SiGe之间)。其两者都可取决于其相邻层的厚度。
对于半导体或金属纳米线或鳍片,归因于在x、y及/或z方向上的尺寸限制的间接或直接促成或未间接或直接促成除了尺寸限制之外的其它各向异性效应的各向异性可通过对角化电介质系数张量表示。在对角形式中,三向电介质系数分量对应于纳米线对其方向平行于x轴、y轴或z轴中的每一者的传入电场的响应。为适应各向异性,类似于薄膜的情况,可使用基于具有三个电介质分量的双轴模型的复合色散模型。可从预定理论、半经验及/或经验色散库或模型取得电介质分量中的每一者。
此在图2中的方法200的流程图中可见。在201,基于双轴预定色散库或模型(例如用于尺寸较小的纳米结构的双轴预定色散库或模型)产生参数化几何模型。可使用经测量及/或模拟复合色散来产生参数化几何模型。在202,使用参数化几何模型及/或模拟器模拟信号。在203,例如使用回归或机器学习处理来自晶片的模拟信号及任何经测量信号。在204,确定至少一个所关注参数,例如几何参数或各向异性材料参数。
在本文中揭示的此实施例及其它实施例中,信号可为某种形式的反射或透射电磁场。在给出结构的几何模型以及结构的不同部分的色散性质(例如,n及k)的情况下,EM解算器(RCWA、FEM等)可求解麦克斯韦(Maxwell)方程式及/或某种形式的薛定谔(Schrodinger)方程式。接着,可使用此解导出模拟信号。
可使用回归或机器学习处理信号。回归可用于最小化未知参数(例如,几何参数、材料参数、其它参数)的空间上的误差函数(例如,模拟信号与经测量信号之间的差值的平方)。在实例中,反复地猜测未知参数直到模拟光谱与经测量光谱充分匹配。在机器学习的实例中,可基于可从给定光谱输出未知参数的模拟器训练模型。一旦模型可用,将经测量光谱馈送到模型便可输出未知参数的所得值。
在纳米结构测量的另一实施例中,将校正项与预定各向异性色散相加。可能校正项包含但不限于用于校正预测量或预模拟色散中的误差的偏移及比例参数。
这在图3中的方法300的流程图中可见。在301,针对纳米结构使用基于校正的参数化各向异性色散模型产生参数化几何模型。可使用经测量及/或模拟各向异性色散产生参数化几何模型。在302,使用参数化几何模型及/或模拟器模拟信号。在303,例如使用回归或机器学习处理来自晶片的模拟信号及任何经测量信号。在304,确定至少一个所关注参数,例如几何参数或各向异性材料参数。还可确定经校正的模拟色散。
作为对所关注参数(例如几何参数、材料参数、系统参数)及校正项两者的回归及/或机器学习的结果,可获得校正项。
在纳米测量的另一实施例中,对与表示纳米结构的色散的各向异性色散模型的材料参数(例如几何参数及/或系统参数)及色散参数无关的参数使用回归及/或机器学习。可能不需要色散库/模型的例子且可将任何合理估计色散用作回归的初始色散。此在图4中的方法400的流程图中可见。在401,产生参数化几何模型及/或参数化各向异性色散模型。可使用初始各向异性色散产生参数化几何模型及/或参数化各向异性色散模型。在402,使用参数化几何模型、参数化各向异性色散模型及/或模拟器模拟信号。在403,例如使用回归或机器学习处理来自晶片的模拟信号及任何经测量信号。在404,确定至少一个所关注参数,例如几何参数或各向异性材料参数。还可确定优化色散。
图1到4的实施例可包含步骤中间的反馈操作。反馈可包含通过匹配经测量信号与模拟信号或对当前各向异性色散模型的优度的反馈而学习。这些可经计算以决定对初始色散模型的适当调整。
将纳米结构(例如FinFET或GAA FET中的纳米结构)的尺寸较小的半导体或金属组件揭示为可使用本文中揭示的实施例测量的示范性结构。图5说明交替Si及SiGe层的示范性膜堆叠,其中SiO2层在顶部上。图6说明示范性FinFET。图7说明示范性GAA FET。在图7中,结构分别由Si、SiO2及SiN制成。除图5到7中的结构之外的其它结构可能,且图5到7的结构仅为实例。
本文中揭示的实施例可用于光学临界尺寸(CD)测量。
图5是晶片计量工具500的实施例的框图。晶片计量工具500包含经配置以固持晶片505或其它工件的卡盘504。卡盘504可经配置以在一个、两个或三个轴上移动或旋转。卡盘504还可经配置以例如绕Z轴自旋。
晶片计量工具500还包含经配置以测量晶片505上的表面、装置、特征或层的部分的测量系统501。测量系统501可产生光束、电子束、宽带等离子体或可使用其它技术来测量晶片505的表面。在一个实例中,测量系统501包含激光。在另一实例中,晶片计量工具500是宽带等离子体检验工具。测量系统501可提供晶片505上的裸片的图像或可提供用于形成晶片505上的裸片的图像的信息。
特定来说,晶片计量工具500或测量系统501可经配置以提供旋转偏光器旋转补偿器光谱椭偏测量数据、全穆勒(Muller)矩阵分量数据、旋转偏光器光谱椭偏测量数据、反射测量数据、激光驱动的光谱反射测量数据或X射线数据中的一或多者。
在例子中,晶片计量工具500提供SE,其使用:宽带光源;测量系统501,其测量光源如何与目标相互作用;及处理算法,其提取目标的相关参数。源可为激光驱动的光源,相对于Xe灯,其可提供高强度且增加检测器处的信噪比。在实例中,集光系统包含一系列偏光器(旋转或固定)、补偿器(旋转或固定)、检测器、光谱仪、相机、透镜、镜及/或准直器。为增强目标图征,系统可使用N2或Ar气体冲洗以将波长范围扩展到170nm或更低。
晶片计量工具500与处理器502及与处理器502电子通信的电子数据存储单元503通信。举例来说,处理器502可与测量系统501或晶片计量工具500的其它组件通信。实际上,处理器502可通过硬件、软件及固件的任何组合实施。此外,如本文中描述的其功能可通过一个单元执行或在不同组件当中划分,所述不同组件中的每一者又可通过硬件、软件及固件的任何组合实施。供处理器502实施各种方法及功能的程序码或指令可存储于控制器可读存储媒体(例如电子数据存储单元503中、处理器502内、处理器502外部或其组合的存储器)中。
虽然仅说明一个处理器502及电子数据存储单元503,但可包含一个以上处理器502及/或一个以上电子数据存储单元503。每一处理器502可与电子数据存储单元503中的一或多者电子通信。在实施例中,一或多个处理器502通信地耦合。在此方面,一或多个处理器502可接收在测量系统501处接收的读数且将读数存储于处理器502的电子数据存储单元503中。处理器502及/或电子数据存储单元503可为系统本身的部分或可与系统分离(例如,独立控制单元或在集中质量控制单元中)。
处理器502可以任何合适方式(例如,经由一或多个传输媒体,所述一或多个传输媒体可包含有线及/或无线传输媒体)耦合到晶片计量工具500的组件,使得处理器502可接收由晶片计量工具500产生的输出,例如来自测量系统501的输出。处理器502可经配置以使用输出执行若干功能。例如,处理器502可经配置以测量晶片505上的层。在另一实例中,处理器502可经配置以将输出发送到电子数据存储单元503或另一存储媒体而无需重检输出。处理器502可如本文中描述那样进一步配置。
在例子中,处理器502及电子数据存储单元503是晶片计量工具500的部分。处理器502及电子数据存储单元503还可为与晶片计量工具500分离的单元的部分。
本文中描述的处理器502、其它系统或其它子系统可采取各种形式,包含个人计算机系统、图像计算机、主机计算机系统、工作站、网络设备、因特网设备或其它装置。子系统或系统还可包含所属领域中已知的任何合适处理器,例如平行处理器。另外,子系统或系统可包含具有高速处理及软件的平台作为独立工具或网络工具。举例来说,处理器502可包含微处理器、微控制器或其它装置。
如果系统包含一个以上子系统,那么不同子系统可彼此耦合,使得可在子系统之间发送图像、数据、信息、指令等。举例来说,一个子系统可通过任何合适传输媒体(其可包含所属领域中已知的任何合适有线及/或无线传输媒体)耦合到额外子系统。两个或更多个此类子系统还可通过共享计算机可读存储媒体(未展示)有效耦合。
处理器502还可为缺陷重检系统、检验系统、计量系统或某一其它类型的系统的部分。因此,本文中揭示的实施例描述可以若干方式针对具有或多或少适于不同应用的不同能力的系统定制的一些配置。
处理器502可与测量系统501或晶片计量工具500的其它组件电子通信。处理器502可根据本文中描述的实施例中的任一者配置。处理器502还可经配置以使用测量系统501的输出或使用来自其它源的图像、测量值或数据执行其它功能或额外步骤。
额外实施例涉及一种存储程序指令的非暂时性计算机可读媒体,所述程序指令可在控制器上执行以执行计算机实施方法,如本文中揭示。特定来说,如图8中展示,处理器502可包含具有包含可在处理器502上执行的程序指令的非暂时性计算机可读媒体的电子数据存储单元503或其它电子数据存储媒体中的存储器。计算机实施方法可包含本文中描述的任何方法的任何步骤。举例来说,处理器502可经编程以执行图1到4中的一或多者的一些或全部步骤。电子数据存储单元503或其它电子数据存储媒体中的存储器可为存储媒体,例如磁盘或光盘、磁带或所属领域中已知的任何其它合适非暂时性计算机可读媒体。
在实施例中,提供一种模拟器。模拟器可在处理器(例如图8中的处理器502)上操作。模拟器包含结构的参数化几何模型,例如基于光谱的参数化几何模型。光谱可来自包含结构的半导体晶片的区域且可通过晶片计量工具测量。结构具有几何结构诱发的各向异性效应。参数化几何模型经配置以:指派各向异性材料性质;确定晶片上的结构的色散参数;确定几何参数或各向异性材料参数中的至少一者;及基于光谱预测各向异性色散。色散参数包含各向异性色散参数。
在例子中,处理器502可经配置以执行一或多个软件模块。一或多个软件模块例如基于光谱产生结构的参数化几何模型。光谱可来自包含结构的半导体晶片的区域且可通过晶片计量工具测量。结构具有几何结构诱发的各向异性效应。一或多个软件模块可:将各向异性材料性质指派到参数化几何模型;使用参数化几何模型确定晶片上的结构的色散参数;及从参数化几何模型确定几何参数或各向异性材料参数中的至少一者。色散参数包含各向异性色散参数。
可以各种方式(包含基于程序的技术、基于组件的技术及/或面向对象技术等等)中的任一者实施程序指令。举例来说,可视需要使用ActiveX控件、C++对象、JavaBeans、微软基础类别(MFC)、流式SIMD扩展(SSE)或其它技术或方法论实施程序指令。
在另一实施例中,处理器502可以所属领域中已知的任何方式通信地耦合到晶片计量工具500的各种组件或子系统中的任一者。此外,处理器502可经配置以通过传输媒体(其可包含有线及/或无线部分)从其它系统接收及/或获取数据或信息(例如,来自例如重检工具的检验系统的检验结果、包含设计数据的远端数据库及类似者)。以此方式,传输媒体可用作处理器502与晶片计量工具500的其它子系统或晶片计量工具500外部的系统之间的数据链路。
在一些实施例中,晶片计量工具500及本文中揭示的方法的各种步骤、功能及/或操作由以下各者中的一或多者实行:电子电路、逻辑门、多路复用器、可编程逻辑装置、ASIC、模拟或数字控制件/开关、微控制器或运算系统。实施例如本文中描述的方法的方法的程序指令可经由载体媒体传输或存储于载体媒体上。载体媒体可包含存储媒体,例如只读存储器、随机存取存储器、磁盘或光盘、非易失性存储器、固态存储器、磁带及类似者。载体媒体可包含传输媒体,例如线、缆线或无线传输链路。例如,在本发明各处描述的各个步骤可通过单个处理器502(或计算机系统)或替代地多个处理器502(或多个计算机系统)实行。此外,晶片计量工具500的不同子系统可包含一或多个运算或逻辑系统。因此,上文描述不应解释为对本发明的限制,而仅为说明。
在例子中,图8中的晶片计量工具500可包含:照明系统,其照明目标;测量系统501,其捕获由照明系统与晶片505上的目标、装置或特征的相互作用(或缺乏相互作用)提供的相关信息;及处理器502,其使用一或多个算法分析所收集的信息。
晶片计量工具500可包含可用于测量各种半导体结构及材料特性的一或多个硬件配置。此类硬件配置的实例包含但不限于光谱椭偏仪(SE)、具有多个照明角的SE、(例如,使用旋转补偿器)测量穆勒矩阵元素的SE、单波长椭偏仪、光束轮廓椭偏仪(角度分辨椭偏仪)、光束轮廓反射计(角度分辨反射计)、宽带反射光谱仪(光谱反射计)、单波长反射计、角度分辨反射计、成像系统或散射计(例如,散斑分析仪)。硬件配置可分离成离散操作系统或可组合为单个工具。
具特定硬件配置的照明系统可包含一或多个光源。光源可产生仅具有一个波长的光(即,单色光)、具有若干离散波长的光(即,多色光)、具有多个波长的光(即,宽带光)及/或扫掠波长(连续地扫描或在波长之间跳跃)的光(即,可调谐源或扫频光源)。合适光源的实例是:白光光源;紫外线(UV)激光、弧光灯或无电极灯、激光持续等离子体(LSP)源、超连续源(例如宽带激光源)、较短波长源(例如X射线源)、极UV源或其某一组合。光源还可经配置以提供具足够亮度(在一些情况中,其可为大于约1W/(nm cm2Sr)的亮度)的光。晶片计量工具203还可包含对光源的快速反馈以使其功率及波长稳定。光源的输出可经由自由空间传播递送或在一些情况中经由任何类型的光纤或光导递送。
晶片计量工具500可经设计以进行与半导体制造相关的许多不同类型的测量。举例来说,在某些实施例中,晶片计量工具500可测量一或多个目标的特性,例如临界尺寸、重叠、侧壁角、膜厚度或工艺相关参数(例如,焦点及/或剂量)。目标可包含在性质上为周期性的某些所关注区,例如存储器裸片中的光栅。目标可包含可通过晶片计量工具500测量其厚度的多个层(或膜)。目标可包含放置于(例如,已存在于)半导体晶片上以例如与对准及/或重叠对位操作一起使用的目标设计。某些目标可定位于半导体晶片上的各种位置处。举例来说,目标可定位于划线道内(例如,裸片之间)及/或定位于裸片本身中。在某些实施例中,通过相同或多个计量工具(在相同时间或在不同时间)测量多个目标。可组合来自此类测量的数据。在半导体制造过程中使用来自计量工具的数据以例如对工艺(例如,光刻、蚀刻)进行前馈、反馈及/或侧馈校正,且因这可产生完整工艺控制解决方案。
可通过包含以下各者的若干数据拟合及优化技术及科技来分析经收集数据:库;快速降阶模型;回归;机器学习算法,例如神经网络及支持向量机(SVM);降维算法,例如主分量分析(PCA)、独立分量分析(ICA)及局部线性嵌入(LLE);稀疏表示,例如傅立叶(Fourier)或小波转换;卡尔曼(Kalman)滤波;用于促进来自相同或不同工具类型的匹配的算法及其它者。经收集数据还可通过不包含建模、优化及/或拟合的算法分析。
通常针对计量应用优化计算算法,其中使用一或多个方法,例如计算硬件的设计及实施方案、并行化、计算的分布、负载平衡、多服务支持或动态负载优化。算法的不同实施方案可在固件、软件、现场可编程门阵列(FPGA)及可编程光学器件组件等中完成。
数据分析及拟合步骤通常追求一或多个目标。举例来说,目标可为测量CD、侧壁角(SWA)、形状、应力、组合物、膜、带隙、电性质、焦点/剂量、重叠、生成工艺参数(例如,抗蚀剂状态、部分压力、温度及聚焦模型)及/或其任何组合。目标可为计量系统的建模及/或设计。目标还可为计量目标的建模、设计及/或优化。
本发明的实施例解决半导体计量的领域且不限于硬件、算法/软件实施方案及架构以及上文概述的使用案例。
可如本文中描述那样执行方法的步骤中的每一者。方法还可包含可通过本文中描述的控制器及/或计算机子系统或系统执行的任何其它步骤。步骤可通过一或多个计算机系统执行,所述一或多个计算机系统可根据本文中描述的实施例中的任一者配置。另外,上文描述的方法可通过本文中描述的系统实施例中的任一者执行。
尽管已关于一或多个特定实施例描述本发明,但应了解,可进行本发明的其它实施例而不脱离本发明的范围。因此,本发明被视为仅由所附权利要求书及其合理解释限制。

Claims (20)

1.一种方法,其包括:
在处理器处接收光谱,其中所述光谱是来自包含结构的半导体晶片的区域,其中所述光谱是通过晶片计量工具测量,且其中所述结构具有几何结构诱发的各向异性效应;
使用所述处理器产生所述结构的参数化几何模型;
使用所述处理器将各向异性材料性质指派到所述参数化几何模型;
运用所述处理器使用所述参数化几何模型确定所述晶片上的所述结构的色散参数,其中所述色散参数包含各向异性色散参数;及
使用所述处理器从所述参数化几何模型确定至少一个几何参数或各向异性材料参数。
2.根据权利要求1所述的方法,其进一步包括用所述晶片计量工具测量所述光谱。
3.根据权利要求1所述的方法,其中所述色散参数包含各向异性张量矩阵。
4.根据权利要求1所述的方法,其中所述色散参数的各向异性电介质分量是由参考理论或经验色散模型提供。
5.根据权利要求4所述的方法,其中所述参考理论或经验色散模型表示所述色散参数对各向异性的促成因素的相依性。
6.根据权利要求1所述的方法,其中使用回归确定所述至少一个几何参数或各向异性材料参数。
7.根据权利要求1所述的方法,其中使用机器学习确定所述至少一个几何参数或各向异性材料参数。
8.根据权利要求1所述的方法,其中使用双轴模型或单轴模型对所述色散参数进行建模。
9.根据权利要求8所述的方法,其中通过至少一个参考色散模型提供所述双轴模型或所述单轴模型的电介质分量。
10.根据权利要求1所述的方法,其中所述各向异性材料性质包含电子密度、材料密度或化学组合物中的至少一者。
11.根据权利要求1所述的方法,其中使用校正参数参数化所述各向异性色散参数。
12.根据权利要求11所述的方法,其中所述校正参数表示原始各向异性色散的偏移或比例。
13.根据权利要求1所述的方法,其中通过包含以下各者的过程确定所述色散参数:
使用所述参数化几何模型、色散模型及模拟器产生实验的光谱设计,其中所述几何参数及色散参数中的至少一者分布于预定范围内;
训练统计模型以确定所述光谱与相关于所述结构的几何参数及/或材料参数的至少一个参数之间的关系;及
使用所述统计模型从所述光谱预测所述结构的所关注参数。
14.根据权利要求1所述的方法,其中通过最小化所述光谱的建模版本与经测量版本之间的光谱差异的优化或回归中的至少一者且通过扰动所述参数化几何模型及所述色散参数而确定所述色散参数。
15.根据权利要求1所述的方法,其进一步包括使用基于比较模拟光谱与所述光谱的学习的一或多个反馈操作以调整所述参数化几何模型、色散模型及/或几何配置中的参数。
16.根据权利要求1所述的方法,其进一步包括使用所述光谱预测各向异性色散。
17.一种计算机程序产品,其包括非暂时性计算机可读存储媒体,所述非暂时性计算机可读存储媒体具有与其一起体现的计算机可读程序,所述计算机可读程序经配置以实行根据权利要求1所述的方法。
18.一种系统,其包括:
电子数据存储单元;及
处理器,其与所述电子数据存储单元及晶片计量工具电子通信,其中所述处理器经配置以:
产生结构的参数化几何模型,其中光谱是来自包含结构的半导体晶片的区域,其中所述光谱是通过晶片计量工具测量,且其中所述结构具有几何结构诱发的各向异性效应;
将各向异性材料性质指派到所述参数化几何模型;
使用所述参数化几何模型确定所述晶片上的所述结构的色散参数,其中所述色散参数包含各向异性色散参数;及
从所述参数化几何模型确定至少一个几何参数或各向异性材料参数。
19.根据权利要求18所述的系统,其中所述处理器及所述电子数据存储单元安置于所述晶片计量工具中。
20.一种模拟器,其包括:
结构的参数化几何模型,其中光谱是来自包含结构的半导体晶片的区域,其中所述光谱是通过晶片计量工具测量,其中所述结构具有几何结构诱发的各向异性效应,且其中所述参数化几何模型经配置以使用处理器进行以下操作:
指派各向异性材料性质;
确定所述晶片上的所述结构的色散参数,其中所述色散参数包含各向异性色散参数;
确定至少一个几何参数或各向异性材料参数;及
基于所述光谱而预测各向异性色散。
CN201880077785.9A 2017-12-08 2018-12-07 先进纳米结构的测量方法 Active CN111433899B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762596598P 2017-12-08 2017-12-08
US62/596,598 2017-12-08
US15/938,270 US11156548B2 (en) 2017-12-08 2018-03-28 Measurement methodology of advanced nanostructures
US15/938,270 2018-03-28
PCT/US2018/064369 WO2019113395A1 (en) 2017-12-08 2018-12-07 Measurement methodology of advanced nanostructures

Publications (2)

Publication Number Publication Date
CN111433899A true CN111433899A (zh) 2020-07-17
CN111433899B CN111433899B (zh) 2024-03-29

Family

ID=66734733

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880077785.9A Active CN111433899B (zh) 2017-12-08 2018-12-07 先进纳米结构的测量方法

Country Status (5)

Country Link
US (1) US11156548B2 (zh)
KR (1) KR102390309B1 (zh)
CN (1) CN111433899B (zh)
TW (1) TWI765128B (zh)
WO (1) WO2019113395A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
CN111492200B (zh) * 2020-03-17 2021-05-14 长江存储科技有限责任公司 用于半导体结构厚度测量的方法和系统
EP3940337B1 (en) * 2020-07-14 2024-01-10 Imec VZW A method and apparatus for measuring a lateral depth in a microstructure
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
KR102504761B1 (ko) * 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법
US20240186191A1 (en) * 2022-12-02 2024-06-06 Kla Corporation Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011050785A1 (de) * 2009-10-30 2011-05-05 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Messverfahren zur optischen in-situ quantifizierung von anti-phasen-domänen und anwendung des messverfahrens.
CN102692823A (zh) * 2011-03-23 2012-09-26 Asml荷兰有限公司 用于计算结构的电磁散射属性和用于近似结构的重构的方法和设备
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
CN104395996A (zh) * 2012-05-08 2015-03-04 科磊股份有限公司 基于光谱敏感度及工艺变化的测量配方优化
CN105580123A (zh) * 2013-08-23 2016-05-11 科磊股份有限公司 多模型计量
CN105849885A (zh) * 2013-12-23 2016-08-10 科磊股份有限公司 多重图案化参数的测量
US20180100796A1 (en) * 2013-05-16 2018-04-12 Kla-Tencor Corporation Metrology system calibration refinement

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20110246400A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited System for optical metrology optimization using ray tracing
WO2012012450A1 (en) 2010-07-19 2012-01-26 Massachusetts Institute Of Technology Discriminating electromagnetic radiation based on angle of incidence
US8577820B2 (en) 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US8873596B2 (en) * 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
WO2013049001A2 (en) 2011-09-27 2013-04-04 Kla-Tencor Corporation High throughput thin film characterization and defect detection
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) * 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
DE112015006972T5 (de) 2015-09-25 2018-07-12 Intel Corporation Verspannte senkrechte magnetische Tunnelübergangsvorrichtungen
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US11060982B2 (en) * 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
WO2011050785A1 (de) * 2009-10-30 2011-05-05 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Messverfahren zur optischen in-situ quantifizierung von anti-phasen-domänen und anwendung des messverfahrens.
CN102692823A (zh) * 2011-03-23 2012-09-26 Asml荷兰有限公司 用于计算结构的电磁散射属性和用于近似结构的重构的方法和设备
US20130066597A1 (en) * 2011-03-23 2013-03-14 Asml Netherlands B.V. Methods and Apparatus for Calculating Electromagnetic Scattering Properties of a Structure And for Reconstruction of Approximate Structures
CN104395996A (zh) * 2012-05-08 2015-03-04 科磊股份有限公司 基于光谱敏感度及工艺变化的测量配方优化
US20180100796A1 (en) * 2013-05-16 2018-04-12 Kla-Tencor Corporation Metrology system calibration refinement
CN105580123A (zh) * 2013-08-23 2016-05-11 科磊股份有限公司 多模型计量
CN105849885A (zh) * 2013-12-23 2016-08-10 科磊股份有限公司 多重图案化参数的测量

Also Published As

Publication number Publication date
WO2019113395A1 (en) 2019-06-13
US20190178788A1 (en) 2019-06-13
US11156548B2 (en) 2021-10-26
KR102390309B1 (ko) 2022-04-22
CN111433899B (zh) 2024-03-29
KR20200086746A (ko) 2020-07-17
TWI765128B (zh) 2022-05-21
TW201925734A (zh) 2019-07-01

Similar Documents

Publication Publication Date Title
CN111433899B (zh) 先进纳米结构的测量方法
US11874605B2 (en) Verification metrology targets and their design
TWI760309B (zh) 用於校正計量工具之系統、方法及計算機程式產品
US10152678B2 (en) System, method and computer program product for combining raw data from multiple metrology tools
US11380594B2 (en) Automatic optimization of measurement accuracy through advanced machine learning techniques
CN110083017B (zh) 多模型计量
US10146140B2 (en) Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
US11537837B2 (en) Automated accuracy-oriented model optimization system for critical dimension metrology
TW201411089A (zh) 用於結合x-射線及光學計量之模型建立及分析引擎
TW201350783A (zh) 基於跨於一晶圓之參數變化之量測模型最佳化
CN112368812B (zh) 相位显示光学及x射线半导体计量
TWI631636B (zh) 以模型爲基礎之量測及一製程模型的整合使用
US20190063900A1 (en) Measuring Thin Films on Grating and Bandgap on Grating
CN111566674B (zh) 通过先进机器学习技术的测量精确度的自动优化

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant