TW201411089A - 用於結合x-射線及光學計量之模型建立及分析引擎 - Google Patents

用於結合x-射線及光學計量之模型建立及分析引擎 Download PDF

Info

Publication number
TW201411089A
TW201411089A TW102124791A TW102124791A TW201411089A TW 201411089 A TW201411089 A TW 201411089A TW 102124791 A TW102124791 A TW 102124791A TW 102124791 A TW102124791 A TW 102124791A TW 201411089 A TW201411089 A TW 201411089A
Authority
TW
Taiwan
Prior art keywords
ray
model
sample
optical
amount
Prior art date
Application number
TW102124791A
Other languages
English (en)
Other versions
TWI611161B (zh
Inventor
Michael S Bakeman
Andrei V Shchegrov
Qiang Zhao
Zhengquan Tan
Original Assignee
Kla Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Corp filed Critical Kla Tencor Corp
Publication of TW201411089A publication Critical patent/TW201411089A/zh
Application granted granted Critical
Publication of TWI611161B publication Critical patent/TWI611161B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

一試樣之結構參數係藉由使該試樣之回應之模型擬合至在一結合之分析中藉由不同量測技術所收集之量測來判定。該試樣對至少兩種不同量測技術之回應的模型共用至少一共同幾何參數。在一些實施例中,一模型建立及分析引擎執行X-射線及光學分析,其中至少一共同參數係在分析期間耦合。可順序地、並行地或藉由順序分析與並行分析之結合來進行該等回應模型至所量測資料之該擬合。在另一態樣中,基於該等回應模型與該等對應量測資料之間的該擬合之品質來更改該等模型之結構。舉例而言,基於該等回應模型與對應量測資料之間的該擬合來重新建構該試樣之一幾何模型。

Description

用於結合X-射線及光學計量之模型建立及分析引擎 對相關申請案之交叉參考
本專利申請案根據35 U.S.C.§119規定主張2012年7月10日申請之題為「Method Building And Analysis Engine For Measuring Structure And Film Parameters Using Optical And X-ray Metrology Technologies」的美國臨時專利申請案第61/669,901號的優先權,該案之標的以全文引用之方式併入本文中。
所描述之實施例係關於計量系統及方法,且更特定言之,係關於用於達成改良之量測準確度的方法及系統。
諸如邏輯及記憶體裝置之半導體裝置通常係藉由應用於試樣之處理步驟之序列來製造。半導體裝置之各種特徵及多個結構層級係藉由此等處理步驟而形成。舉例而言,微影係(連同其他者)為一半導體製造程序,其涉及在半導體晶圓上產生圖案。半導體製造程序之額外實例包括(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可製造於單一半導體晶圓上且接著分離成個別半導體裝置。
在半導體製造程序期間之各種步驟使用計量程序來偵測晶圓上之缺陷以促進較高之良率。光學計量技術提供高產出率而無試樣破壞之風險的可能性。通常使用數種基於光學計量之技術(包括散射量測 及反射量測實施以及相關聯之分析演算法)來將奈米尺度結構之臨界尺寸、膜厚度、組合物及其他參數特徵化。
傳統上,對由薄膜及/或重複之週期性結構組成的目標執行散射量測。在裝置製造期間,此等膜及週期性結構通常表示實際裝置幾何形狀及材料結構或中間設計。隨著裝置(例如,邏輯及記憶體裝置)朝較小奈米尺度尺寸進展,特徵化變得更加困難。併有複雜三維幾何形狀及具有多種物理性質之材料的裝置促成特徵化困難。舉例而言,現代記憶體結構常常為變得使光學輻射難以穿透至底層之高縱橫比三維結構。另外,用以將複雜結構(例如,鰭片式FET)特徵化所需的參數之增加之數目導致增加之參數相關。結果,將目標特徵化之參數常常不能可靠地與可用量測解耦。在另一實施例中,在現代半導體結構中愈來愈多地使用不透明高k材料。光學輻射常常不能穿透由此等材料建構之層。結果,藉由薄膜散射量測工具(諸如,橢偏儀或反射計)進行之量測正變得愈來愈有挑戰性。
回應於此等挑戰,已開發出更複雜之光學工具。舉例而言,已開發出具有多個照射角度、較短及較寬廣範圍之照射波長以及自反射信號之更完整資訊獲取(例如,量測除更習知之反射率或橢偏量測信號之外的多個繆勒(Mueller)矩陣元素)的工具。然而,此等方法尚未可靠地克服與許多先進目標(例如,複雜3D結構、小於10nm之結構、使用不透明材料之結構)之量測及量測應用(例如,線邊緣粗糙度及線寬度粗糙度量測)相關聯的基本挑戰。
對此等挑戰之另一回應為開發併有多種量測技術之計量工具。在一實例中,結合掠入射X-射線反射量測與X-射線螢光(XRF)以及電子微探針分析的薄膜分析系統描述於在2004年11月9日頒佈且讓與給KLA-Tencor公司之題為「Multi-technique thin film analysis tool」的美國專利第6,816,570號中,該專利之標的以全文引用之方式併入本文 中。
未來之計量應用歸因於愈來愈低之解析度要求、多參數相關、愈來愈複雜之幾何結構及對不透明材料之增加使用而呈現對計量之挑戰。對用以將試樣特徵化之多種量測技術的使用展示成功的希望。然而,需要在分析由多種量測技術所產生之量測資料方面的改良。
呈現了用於執行與不同半導體製造程序相關聯之結構及材料特性(例如,結構及膜之材料組合物、尺寸特性等)之量測的方法及系統。半導體試樣之一或多個結構參數係藉由使試樣之回應模型擬合至在結合分析中藉由不同量測技術所收集之量測來判定。
在一些實施例中,對試樣之光學量測與X-射線量測兩者的結合分析歸因於X-射線及光學技術之互補性質而實現增加之量測敏感性及產出率。可藉由識別使用得自X-射線及光學量測之資料集而用數學方式解析之共用模型參數來改良量測精度及準確度。藉由多種量測技術來量測共用參數縮減了參數之間的相關且改良了量測準確度。
在一態樣中,試樣對至少兩種不同量測技術之回應的模型共用至少一共同幾何參數。在一些實施例中,模型建立及分析引擎執行共同或多個目標之X-射線及光學分析,其中至少一共同參數在分析期間耦合。在另一態樣中,試樣對至少兩種不同量測技術之回應的模型係基於同一幾何模型。
在另一態樣中,可順序地、並行地或藉由順序分析與並行分析之結合來進行光學回應模型與某一量之光學量測資料的擬合及X-射線回應模型與某一量之X-射線量測資料的擬合。
在又一態樣中,光學模型之浮動目標參數的數目及X-射線模型之浮動目標參數的數目係藉由連結該等參數中之一些參數而減小。在一些實例中,將共同幾何參數視為單一參數。在一些其他實例中,可 能有必要引入比例因子及偏移值來考量與不同計量相關聯之校準及模型偏差。
在又一實施例中,模型建立及分析引擎執行主成份分析(PCA)以將參數值之一或多個集合變換為具有縮減之相關的參數值之一或多個集合。PCA涉及藉由線性座標變換而將可能相關參數之集合轉換為線性不相關參數之集合。
在又一態樣中,在檢測中之試樣包括場增強元素以提高參數對X-射線及光學計量之敏感性。場增強元素為用以增強與所關注參數相關聯之量測敏感性以及打破參數相關的結構。
在又一態樣中,基於該等模型與對應量測資料之間的擬合之品質來更改試樣對至少兩種不同量測技術之回應之模型的結構。在一些實例中,基於回應模型與對應量測資料之間的擬合之品質來更改幾何模型之結構。
前述內容為概要且因此必然含有細節之簡化、一般化及省略;因此,熟習此項技術者將瞭解,概要僅為說明性的且無論如何非為限制性的。本文中所描述之裝置及/或程序之其他態樣、發明性特徵及優點將在本文中所闡述之非限制性【實施方式】中變得顯而易見。
100‧‧‧結合式計量工具/結合式計量系統
101‧‧‧試樣
102‧‧‧檢測區域
110‧‧‧X-射線照射系統
111‧‧‧液體金屬容器
112‧‧‧液體金屬收集器
113‧‧‧電子束源
114‧‧‧電子光學器件
115‧‧‧X-射線照射光學器件
116‧‧‧X-射線偵測器
117‧‧‧X-射線束
118‧‧‧電子流
119‧‧‧液體金屬射流
120‧‧‧光學照射系統
121‧‧‧光學照射源
122‧‧‧光學照射光學器件
123‧‧‧光學偵測器
124‧‧‧光學量測資料/光學信號/輸出信號
125‧‧‧X-射線輻射
126‧‧‧X-射線量測資料/x射線信號/輸出信號
127‧‧‧光學照射束
128‧‧‧光學輻射
130‧‧‧計算系統/射束控制器
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
136‧‧‧命令信號
137‧‧‧命令信號
140‧‧‧試樣定位系統
141‧‧‧邊緣套爪卡盤
142‧‧‧旋轉致動器
143‧‧‧周邊框架
144‧‧‧線性致動器
145‧‧‧運動控制器
146‧‧‧座標系統
150‧‧‧模型建立及分析引擎
151‧‧‧幾何模型建立模組
152‧‧‧幾何模型
153‧‧‧X-射線回應函數建立模組
154‧‧‧光學回應函數建立模組
155‧‧‧X-射線回應模型
156‧‧‧光學回應模型
157‧‧‧擬合分析模組
160‧‧‧高k金屬閘電晶體
161‧‧‧矽基板
162‧‧‧源極
163‧‧‧汲極
164‧‧‧閘極介電質
165‧‧‧金屬閘電極
170‧‧‧試樣參數值
180‧‧‧記憶體
200‧‧‧適於由模型建立及分析引擎實施之方法
300‧‧‧適於由模型建立及分析引擎實施之方法
圖1為說明例示性模型建立及分析引擎150之圖,該模型建立及分析引擎150經組態以實施如本文中所描述之模型建立及分析功能性。
圖2為說明結合式計量工具100之圖,該結合式計量工具100用於藉由兩種不同量測技術來量測試樣之特性。結合式計量工具100包括組態為模型建立及分析引擎之計算系統130,該模型建立及分析引擎經組態以實施如本文中所描述之模型建立及分析功能性。
圖3為說明適於藉由本發明之模型建立及分析引擎150來實施之 方法200的流程圖。
圖4為說明適於藉由本發明之模型建立及分析引擎150來實施之方法300的流程圖。
圖5為說明高k金屬閘電晶體160之圖,該高k金屬閘電晶體160經受藉由本文中所描述之方法及系統進行的量測。
呈現了用於執行與不同半導體製造程序相關聯之結構及材料特性(例如,結構及膜之材料組合物、尺寸特性等)之量測的方法及系統。如本文中所描述,半導體試樣之一或多個結構參數係藉由使試樣之回應模型擬合至在結合分析中藉由不同量測技術所收集之量測來判定。現將詳細參考【先前技術】實例及本發明之一些實施例,該等實施例之實例說明於隨附圖式中。
在一態樣中,試樣對至少兩種不同量測技術之回應的模型共用至少一共同幾何參數。在另一態樣中,試樣對至少兩種不同量測技術之回應的模型係基於同一幾何模型。
在一些實施例中,對試樣之光學量測與X-射線量測兩者的結合分析歸因於X-射線及光學技術之互補性質而實現增加之量測敏感性及產出率。可藉由識別使用得自X-射線及光學量測之資料集而用數學方式順序地或並行地解析之共用模型參數來改良量測精度及準確度。藉由多種不同量測技術來量測共用參數縮減了參數之間的相關且改良了量測準確度。
一般而言,本文中所論述之X-射線及光學量測技術為量測在檢測中之試樣之一些物理性質的間接方法。在大多數狀況下,量測值不能用以直接地判定試樣之物理性質。標稱量測程序由結構之參數化(例如,膜厚度、臨界尺寸、折射率等)及機器(例如,波長、入射角、偏振角等)之參數化組成。建置嘗試預測量測值之模型。該模型包括 與機器(Pmachine)及試樣(Pspecimen)相關聯之參數。
機器參數為用以將計量工具自身特徵化之參數。例示性機器參數包括入射角(AOI)、分析器角(A0)、偏振器角(P0)、照射波長、數值孔徑(NA)等。試樣參數為用以將試樣特徵化之參數。對於薄膜試樣,例示性試樣參數包括折射率、介電函數張量、所有層之標稱層厚度、層序列等。為達成量測目的,將機器參數視為已知之固定參數且將試樣參數視為未知之浮動參數。浮動參數係藉由擬合程序(例如,回歸、庫匹配等)來解析,該擬合程序產生理論預測與實驗資料之間的最佳擬合。使未知之試樣參數Pspecimen變化,且計算模型輸出值直至判定導致模型輸出值與用實驗方法量測之值之間的緊密匹配的試樣參數值之集合。
圖1為說明例示性模型建立及分析引擎150之圖,該模型建立及分析引擎150經組態以實施如本文中所描述之模型建立及分析功能性。在一實例中,藉由計算系統(例如,圖2中所說明之計算系統130)來實施模型建立及分析引擎150。
模型建立及分析引擎150包括幾何模型建立模組151,該幾何模型建立模組151經組態以產生試樣之量測結構的幾何模型152。模型建立及分析引擎150亦包括X-射線回應函數建立模組153及光學回應建立模組154以分別產生X-射線回應模型155及光學回應模型156,該X-射線回應模型155及該光學回應模型156各自包括來自幾何模型之至少一共用幾何參數。模型建立及分析引擎150亦包括擬合分析模組157,該擬合分析模組157經組態以藉由在結合分析中使光學回應模型156與某一量之光學量測資料124擬合及使X-射線回應模型155與某一量之X-射線量測資料126擬合來解析至少一試樣參數值170。
圖3說明適於藉由本發明之模型建立及分析引擎150來實施之方法200。在一態樣中,應認識到,可經由預程式化之演算法來進行方 法200之資料處理區塊,該預程式化之演算法係由計算系統130之一或多個處理器執行。儘管模型建立及分析引擎150之以下描述係在結合式計量系統100之情境下呈現,但本文中應認識到,結合式計量系統100之特定結構態樣並不表示限制而應解釋為僅說明性的。
在區塊201中,模型建立及分析引擎150之幾何模型建立模組151產生試樣之至少一結構的幾何模型152。在一些實施例中,幾何模型152亦包括試樣之材料性質。
在區塊202中,X-射線回應函數建立模組153至少部分地基於幾何模型152而產生X-射線回應模型155。類似地,光學回應函數建立模組154至少部分地基於幾何模型152而產生光學回應模型156。光學回應模型155與X-射線回應模型156兩者包括來自幾何模型152之至少一共同幾何參數。
如圖1中所描繪,接收幾何模型152作為至X-射線回應函數建立模組153及光學回應函數建立模組154之輸入。X-射線回應函數建立模組153至少部分地基於幾何模型152而產生X-射線回應函數模型155。在一些實例中,X-射線回應函數模型155係基於以下X-射線形式因數:
其中F為形式因數,q為散射向量,且ρ(r)為在球面座標中試樣之電子密度。X-射線散射強度接著由下式給出:
類似地,光學回應函數建立模組154至少部分地基於幾何模型152而產生光學回應函數模型156。在一些實例中,光學回應函數模型156係基於嚴格耦合波分析(RCWA),其中對麥克斯韋(Maxwell)方程式求解以自試樣模型預測光學散射。
一般而言,只要使用描述X-射線及光束與試樣之相互作用的恰 當模型,試樣參數便可為判定參數(例如,膜厚度、CD、SWA等)、統計參數(例如,側壁粗糙度之rms高度、粗糙度相關長度等)或判定參數與統計參數之任何結合。
在區塊203中,藉由擬合分析模組157來接收與由光學計量系統對試樣進行之量測相關聯的光學量測資料。該光學量測資料係基於回應於入射於試樣上之光學照射而自試樣偵測之光學輻射的量。如圖1中所描繪,擬合分析模組157接收由圖2中所說明之光學偵測器123所產生之光學量測資料124。
在區塊204中,藉由擬合分析模組157來接收與由X-射線計量系統對試樣進行之量測相關聯的X-射線量測資料。該X-射線量測資料係基於回應於入射於試樣上之X-射線照射而自試樣偵測之X-射線輻射的量。如圖1中所描繪,擬合分析模組157接收由圖2中所說明之X-射線偵測器116所產生之X-射線量測資料126。
在區塊205中,基於X-射線量測資料126與X-射線回應模型155的擬合分析及光學量測資料124與光學回應模型156的擬合分析來判定至少一試樣參數值170。接收X-射線回應函數模型155及光學回應函數模型156作為至擬合分析模組157之輸入。擬合分析模組157將模型化之X-射線及光學散射與對應所量測資料相比較以判定試樣之幾何性質以及材料性質。
在一些實例中,藉由最小化卡方值來達成模型化資料至實驗資料之擬合。舉例而言,對於光學計量,可將卡方值定義為:
其中為在「通道」i中用實驗方法量測之所量測光學信號124,其中指數i描述諸如波長、角座標、偏振等之系統參數之集合。(u1,...,uM)為針對結構(目標)參數u1,...,uM之集合來評估之「通道」 i之模型化光學信號,其中此等參數描述幾何(膜厚度、CD、側壁角、疊對等)及材料(折射率、吸收係數、色散模型參數)等。σopt,i為與「通道」i相關聯之不確定度。Nopt為光學計量中之通道總數。M為將計量目標特徵化之參數的數目。用於對光學光譜量測資料進行基於模型之分析的例示性方法及系統描述於在2009年1月13日頒佈且讓與給KLA-Tencor公司之美國專利第7,478,019號中,該專利之全部內容以引用之方式併入本文中。
類似地,對於X-射線量測(例如,對於CD-SAXS),可將卡方值定義為:
其中,為「通道」j中之所量測X-射線信號126,其中指數j描述諸如能量、角座標等之系統參數的集合。(v1,...,vL)為針對結構(目標)參數v1,...,vL之集合來評估之「通道」j之模型化X-射線信號Sj,其中此等參數描述幾何(膜厚度、CD、側壁角、疊對等)及材料(電子密度等)。σxray,j為與第j通道相關聯之不確定度。Nxray為X-射線計量中之通道總數。L為將計量目標特徵化之參數的數目。
方程式(3)及(4)假定與不同通道相關聯之不確定度為不相關的。在與不同通道相關聯之不確定度相關的實例中,可計算不確定度之間的協方差。在此等實例中,可將用於光學量測之卡方值表達為:
其中,Vopt為光學通道不確定度之協方差矩陣,且T表示轉置。可以相同之方式來計算用於X-射線量測之卡方值。
一般而言,用於光學模型之目標參數(亦即,{u1,...,uM})之集合及用於X-射線模型之目標參數(亦即,{v1,...,vL})之集合為不同的。用以描 述光學及X-射線相互作用程序所需之材料常數及函數的差異產生不同之目標參數。然而,至少一參數在X-射線回應函數模型155與光學回應函數模型156之間為共同的。該共同參數為相同的抑或藉由明確之代數變換而彼此有關。在一些實例中,諸如膜厚度、CD、疊對等之目標參數在X-射線回應函數模型155與光學回應函數模型156兩者之間為共同的。
在另一態樣中,可順序地、並行地或藉由順序分析與並行分析之結合來進行光學回應模型與某一量之光學量測資料的擬合及X-射線回應模型與某一量之X-射線量測資料的擬合。在一些實例中,模型建立及分析引擎150藉由側饋分析、前饋分析及並行分析之任何結合來改良所量測參數之準確度。側饋分析指代在同一試樣之不同區域上取得多個資料集且將自一資料集判定之共同參數傳遞至後續資料集上以供分析。前饋分析指代在不同試樣上取得資料集且使用逐步複製確切參數前饋方法將共同參數向前傳遞至後續分析。並行分析指代將非線性擬合方法並行或同時應用於多個資料集,其中至少一共同參數在擬合期間耦合。
在一些實例中,模型建立及分析引擎150藉由執行多重工具及結構分析來改良所量測參數之準確度。多重工具及結構分析指代基於多個資料集之回歸、查找表(亦即,「庫」匹配)或另一擬合程序的前饋、側饋或並行分析。用於多重工具及結構分析之例示性方法及系統描述於在2009年1月13日頒佈且讓與給KLA-Tencor公司之美國專利第7,478,019號中,該專利之全部內容以引用之方式併入本文中。
在一些實例中,擬合分析模組157藉由順序地執行X-射線回應模型155與X-射線量測資料126的擬合分析及光學回應模型156與光學量測資料124的擬合分析來解析至少一試樣參數值。在一些實例中,首先最佳化,且在之後續最佳化中將任何已解析之共同試樣參數 值視為常數。類似地,在一些其他實例中,首先最佳化,且在之後續最佳化中將任何已解析之共同試樣參數值視為常數。
在一些其他實例中,擬合分析模組157藉由執行X-射線回應模型155與X-射線量測資料126及光學回應模型156與光學量測資料124的並行擬合分析來解析至少一試樣參數值。藉由非限制性實例,可將適於並行分析之卡方函數定義為:
其中wopt及wxray為指派給光學計量及X-射線計量之加權係數。在最簡單狀況下,wopt=wxray=1。然而,指派不同權重常常增強更為相關之計量。通常藉由分析實驗資料對參考計量及/或量測特殊實驗設計(DOE)目標上之預程式化之DOE參數變化來進行對恰當權重之選擇。
當計算卡方值時,光學計量及X-射線計量可含有一種以上之各別技術。舉例而言,可藉由給予每一技術之權重係數來計算以用於達成掠入射SAXS及透射SAXS之結合使用。同樣地,可藉由指派給每一技術之權重係數來計算以用於達成光譜橢偏量測、射束剖面反射量測及光譜反射量測之結合使用。
在另一態樣中,光學模型之浮動目標參數{u1,...,uM}的數目及X-射線模型之浮動目標參數{v1,...,vL}的數目係藉由連結該等參數中之一些參數而減小。在一些實例中,將共同幾何參數視為單一參數。藉由實例,若u1與v1兩者表示特定膜之厚度,則將u1及v1之值約束為相同值。在一些其他實例中,可能有必要引入比例因子及偏移值以考量光學計量及X-射線計量之校準及模型偏差。藉由實例,若u1與v1兩者表示特定膜之厚度,則將u1之值約束為v1之函數(例如,u1=f1*v1+d1,其中f1及d1為常數)。比例因子(例如,f1)及偏移值(例如,d1)並非浮動參數且係在執行整體最佳化之前而判定。可在傳輸電子顯微法(TEM)、原子力顯微法(AFM)或其他方法的幫助下執行比例因子及偏 移值之校準。此外,用以連結結構參數之更複雜方式亦係可能的。
對於提供對幾何及/或所關注材料參數之互補敏感性的任何類型之X-射線及光學技術,光學計量資料及X-射線計量資料之結合擬合係有利的。在至少一幾何參數在X-射線模型與光學模型之間共用或連結的情況下,情況尤為如此。
如在上文中所描述,藉由卡方值之最小平方最小化來達成X-射線模型及光學模型與量測資料的擬合。然而,一般而言,可藉由其他函數來達成X-射線及光學資料之擬合。舉例而言,在當存在離群值時的狀況下此可為有利的。例示性函數由P.J.Huber呈現於「Robust Statistics」(John Wiley and Sons(2004))中,其標的以引用之方式併入本文中。
在區塊206中,儲存在區塊205中所判定之至少一試樣參數值。如圖1中所說明,擬合分析模組157將試樣參數值170傳達至記憶體180以供儲存於記憶體180中。
在另一態樣中,模型建立及分析引擎150執行主成份分析(PCA)以將參數值之一或多個集合(例如,{u1,...,uM}及{v1,...,vL})變換為具有縮減之相關的參數值之一或多個集合(例如,{u'1,...,u'M}及{v'1,...,v'L})。PCA涉及藉由線性座標變換將可能相關參數之集合轉換為線性不相關參數之集合。
在另一態樣中,在檢測中之試樣包括場增強元素以提高參數對X-射線及光學計量之敏感性。場增強元素為用以增強與所關注參數相關聯之量測敏感性以及打破參數相關之結構。
在又一態樣中,模型建立及分析引擎150使用共同或多個目標來執行X-射線及光學分析,其中至少一共同參數在分析期間耦合。
在又一態樣中,試樣對至少兩種不同量測技術之回應之模型的結構係基於該等模型與對應量測資料之間的擬合之品質來更改。在一 些實例中,幾何模型之結構係基於回應模型與對應量測資料之間的擬合之品質來更改。
圖4說明適於藉由本發明之模型建立及分析引擎150來實施之方法300。在一態樣中,應認識到,可經由預程式化之演算法來進行方法300之資料處理區塊,該預程式化之演算法係由計算系統130之一或多個處理器執行。儘管模型建立及分析引擎150之以下描述係在結合式計量系統100之情境下呈現,但本文中應認識到,結合式計量系統100之特定結構態樣並不表示限制而應解釋為僅說明性的。
在區塊301中,擬合分析模組157判定與方法200之區塊205之擬合分析相關聯的成本函數之殘值。在一實例中,擬合分析模組157在已完成反覆最佳化之後基於一或多個最佳化成本函數之量值來判定成本函數之殘值。
在區塊302中,擬合分析模組157判定殘值是否超過預定臨限值。以此方式,擬合分析模組157評估X-射線回應模型及光學回應模型與對應量測資料之間的擬合之品質。
在區塊303中,若殘值超過預定臨限值,則重新建構幾何模型。舉例而言,若χ2之值超過預定臨限值,則模型建立及分析引擎150判定擬合品質為低的且需要重新建構基礎量測模型以改良量測結果。以此方式,資料擬合之品質用以檢查用以描述結構之參數模型的有效性。在一實例中,模型建立及分析引擎150藉由計算與幾何模型參數相關聯之雅可比(Jacobian)矩陣來重新建構幾何模型,且接著藉由變換該等模型參數以最小化雅可比矩陣之最大值來重新建構模型。藉由非限制性實例來提供上述方法。可預期用以達成模型重新建構之許多其他方法。
舉例而言,在一些量測情況中,目標結構為在每一週期中藉由剖面、膜厚度及材料性質而參數化的週期性格柵。可基於單獨地使光 學模型與光學資料擬合來達成適當擬合。然而,同時使光學模型及X-射線模型與光學量測資料及X-射線量測資料擬合揭露不可接受之拙劣擬合(亦即,最佳化成本函數之殘值太高)。作為回應,可更改結構模型直至判定良好擬合。應注意,更改模型涉及改變目標結構之參數化(例如,增添或改變諸如頁尾、線邊緣粗糙度之特徵、改進介電性質,等)。以此方式,可使用由模型建立及分析引擎150提供之最佳化結果以改良結構模型直至其充分準確。
在另一實例中,在一些量測情況中,藉由調整模型參數及機器參數(例如,波長、傾斜角、雜訊等)來達成光學模型與光學資料之適當擬合。雖然此操作在小製程窗內可很好地起作用,但常常發現以此方式操作之計量系統不能夠追蹤實際製程變化。增添除基於光學之計量之外的基於X-射線之計量能力(例如,CD-SAXS、XRF等)常常揭露模型之缺陷。在此情況中,使用模型建立及分析引擎150來識別該等模型缺陷且更改結構模型之參數化。舉例而言,簡單之梯形模型可完全適於擬合光譜橢偏量測(SE)資料。然而,必須增添額外之形狀參數以達成至SE與CD-SAXS資料兩者的適當擬合。經改良之結構模型又歸因於使用更具代表性之結構模型而改良了SE量測之能力。在一些實例中,使用包括光學量測資料與X-射線量測資料兩者之結合式擬合分析來開發經最佳化之結構模型,且接著僅將量測技術中之一者(例如,具有較高產出率之光學計量技術)用於生產量測。
在區塊304中,若殘值不超過預定臨限值,則儲存幾何模型。
如圖4中所說明,可反覆地執行區塊301-303直至達成令人滿意的結果(亦即,殘值不超過預定臨限值),此時儲存模型以供未來使用。
圖2說明用於藉由兩種不同量測技術來量測試樣之特性的結合式計量工具100。在圖2中所描繪之實施例中,計算系統130經組態為模型建立及分析引擎,該模型建立及分析引擎經組態以實施如本文中所 描述之模型建立及分析功能性。如圖2中所展示,系統100可用以在安置於試樣定位系統140上之試樣101之檢測區域102的範圍中執行結合之光學散射量測及SAXS量測。在一些實施例中,檢測區域102具有50微米或50微米以下之光斑大小。
在所描繪之實施例中,計量工具100包括基於液體金屬之X-射線照射系統110及X-射線偵測器116。X-射線照射系統110包括高亮度液體金屬X-射線照射源。液體金屬射流119係自液體金屬容器111產生且被收集於液體金屬收集器112中。液體金屬循環系統(未圖示)使由收集器112所收集之液體金屬返回至液體金屬容器111。液體金屬射流119包括一或多種元素。藉由非限制性實例,液體金屬射流119包括鋁、鎵、銦、錫、鉈及鉍中之任一者。以此方式,液體金屬射流119產生與其組成元素對應之X-射線。在一些實施例中,X-射線照射系統110經組態以產生在0.01奈米與1奈米之間的波長。用於產生高亮度液體金屬X-射線照射之例示性方法及系統描述於在2011年4月19日頒佈且讓與給KLA-Tencor公司之美國專利第7,929,667號中,該專利之全文以引用之方式併入本文中。
電子束源113(例如,電子槍)產生電子流118,該電子流118藉由電子光學器件114而被導引至液體金屬射流119。合適之電子光學器件114包括電磁鐵、永久磁鐵或電磁鐵及永久磁鐵之結合以用於聚焦電子束並將該電子束導引於液體金屬射流處。液體金屬射流119與電子流118之重合產生入射於試樣101之檢測區域102上的X-射線束117。X-射線光學器件115使入射之X-射線束117塑形並將其導引至試樣101。在一些實例中,X-射線光學器件115使入射於試樣101上之X-射線束單色化。在一些實例中,X-射線光學器件115使X-射線束117準直或聚焦至試樣101之檢測區域102上。在一些實施例中,X-射線光學器件115包括一或多個X-射線準直鏡、X-射線孔隙、X-射線單色儀及X-射線 束光闌、多層光學器件、折射光學器件、繞射光學器件(諸如,波帶片),或其任何結合。
X-射線偵測器116收集自試樣101散射之X-射線輻射125且產生輸出信號126,該輸出信號126指示試樣101之對入射之X-射線輻射敏感的性質。在試樣定位系統140確定試樣101之位置並定向該試樣101以產生角解析之經散射之X-射線的同時,由X-射線偵測器116收集經散射之X-射線125。X-射線偵測器116能夠解析一或多個X-射線光子能並針對每一X-射線能量分量而產生指示試樣性質之信號。在一些實施例中,X-射線偵測器116包括CCD陣列、微通道板、光電二極體陣列、微帶比例計數器、氣體填充型比例計數器及閃爍器中之任一者。
結合式計量工具100亦包括光學照射系統120及光學偵測器123。光學照射系統120包括光學照射源121及光學照射光學器件122,該光學照射光學器件122經組態以使入射之光學照射束127塑形並將其自光學照射源121導引至試樣101之檢測區域102。在一些實例中,入射之光學照射束127及入射之X-射線照射束117在空間上重疊於試樣101之檢測區域102處。
藉由非限制性實例,光學照射源121包括一或多個弧光燈、雷射器、發光二極體、雷射驅動式電漿源及雷射驅動式超連續光譜源,或其任何結合。一般而言,可預期任何合適之光學照射源。在一些實施例中,光學照射源121經組態以產生具有在120奈米與2000奈米之間的波長分量的照射光。
照射光學器件122經組態以使入射之光學照射束127準直或聚焦至試樣101之檢測區域102。在一些實例中,照射光學器件122經組態以使入射之光學照射束127單色化。在一些實施例中,照射光學器件122包括一或多個光學鏡、聚焦或散焦光學器件、光學波板、光學孔隙、光學單色儀及光束光闌,或其任何結合。
光學偵測器123收集自試樣101散射之光學輻射128且產生輸出信號124,該輸出信號124指示試樣101之對入射之光學輻射敏感的性質。在試樣定位系統140確定試樣101的位置並定向該試樣101以產生角解析之經散射之光學輻射的同時,由光學偵測器123收集經散射之光學輻射128。光學偵測器123能夠解析一或多個光學光子能並針對每一光學有功分量而產生指示試樣性質之信號。在一些實施例中,光學偵測器123為CCD陣列、光電二極體陣列、CMOS偵測器及光電倍增管中之任一者。
結合式計量工具100亦包括計算系統130,該計算系統130用以獲取分別由光學偵測器123及X-射線偵測器116所產生之信號124及126且至少部分地基於該等所獲取之信號來判定試樣之性質。如圖2中所說明,計算系統130通信地耦接至光學偵測器123及X-射線偵測器116。在一態樣中,計算系統130接收與在由X-射線束117與光學照射束127兩者所照射之檢測區域102的範圍中對試樣101之同時臨界尺寸量測相關聯的量測資料124及126。
在一實例中,光學偵測器123為光譜儀,且量測資料124包括試樣之所量測之光譜回應(基於由光譜計所實施之一或多個取樣程序)的指示。類似地,在一實例中,X-射線偵測器116為X-射線光譜儀,且量測資料126包括試樣之所量測之光譜回應(基於由X-射線光譜儀所實施之一或多個取樣程序)的指示。
在另一實施例中,計算系統130經組態以使用即時臨界尺寸化(RTCD)來即時存取模型參數,或其可存取預先計算之模型之庫以用於判定與試樣101相關聯之至少一試樣參數值的值。一般而言,可使用某一形式之CD引擎來評估試樣之所指派之CD參數與相關聯於所量測之試樣之CD參數之間的差異。用於計算試樣參數值之例示性方法及系統描述於在2010年11月2日頒佈且讓與給KLA-Tencor公司之美國 專利第7,826,071號中,該專利之全部內容以引用之方式併入本文中。
在另一態樣中,結合式計量工具100包括經組態以實施如本文中所描述之射束控制功能性的計算系統(例如,計算系統130)。在圖2中所描繪之實施例中,計算系統130經組態為射束控制器,該射束控制器可操作以控制入射之X-射線束117及入射之光學照射束127的定位及光斑大小,使得X-射線束117及光學照射束127在任何時間點在空間上重疊於試樣101之所要檢測區域102處。
如圖2中所說明,計算系統130通信地耦接至X-射線偵測器116及光學偵測器123。計算系統130經組態以自光學偵測器123接收量測資料124及自X-射線偵測器116接收量測資料126。在一實例中,量測資料124包括試樣之所量測之光學回應的指示。基於所量測之光學回應在偵測器123之表面上的分佈,藉由射束控制器130來判定光學照射束127在試樣101上之入射的位置及區域。在一實例中,由計算系統130應用圖案辨識技術以基於量測資料124來判定光學照射束127在試樣101上之入射的位置及區域。類似地,量測資料126包括試樣之所量測之X-射線回應的指示。基於所量測之X-射線回應在偵測器116之表面上的分佈,藉由射束控制器130來判定X-射線束117在試樣101上之入射的位置及區域。在一實例中,由計算系統130應用圖案辨識技術以基於量測資料124來判定X-射線束117在試樣101上之入射的位置及區域。作為回應,計算系統130產生命令信號137,該命令信號137經傳達至照射光學器件122以使入射之光學照射束127重定向及重塑形,使得入射之光學照射束127在空間上在試樣101之所要檢測區域102處重疊入射之X-射線束117。類似地,射束控制器130產生命令信號136,該命令信號136經傳達至電子光學器件114及X-射線光學器件115中之任一者以使入射之X-射線束117重定向及重塑形,使得入射之X-射線束117在空間上在試樣101之所要檢測區域102處重疊入射之光學照射 束127。
在另一態樣中,以數個不同之平面外定向來執行對特定檢測區域之同時SAXS及光學散射量測。此情形提高了所量測之參數的精度及準確度,且藉由擴充可用於分析之資料集之數目及多樣性以包括多種大角度、平面外定向來縮減參數之間的相關。量測具有更深入、更多樣化之資料集的試樣參數亦縮減了參數之間的相關且改良了量測準確度。
如圖2中所說明,結合式計量工具100包括試樣定位系統140,該試樣定位系統140經組態以既對準試樣101又相對於光學散射計及小角度X-射線散射計而在大的平面外角定向範圍中來定向試樣101。換言之,試樣定位系統140經組態以使試樣101在大的角範圍中繞與試樣101之表面呈平面內對準之一或多個旋轉軸線而旋轉。在一些實施例中,試樣定位系統140經組態以使試樣101在至少90度的範圍內繞與試樣101之表面呈平面內對準之一或多個旋轉軸線而旋轉。在一些實施例中,試樣定位系統經組態以在至少60度的範圍內繞與試樣101之表面呈平面內對準之一或多個旋轉軸線而旋轉。在一些其他實施例中,試樣定位系統經組態以在至少1度的範圍內繞與試樣101之表面呈平面內對準之一或多個旋轉軸線而旋轉。以此方式,藉由計量系統100來收集在試樣101之表面上之任何數目個位置上對試樣101之角解析量測。在一實例中,計算系統130將指示試樣101之所要位置的命令信號傳達至試樣定位系統140之運動控制器145。作為回應,運動控制器145產生至試樣定位系統140之各種致動器的命令信號以達成試樣101之所要定位。
藉由非限制性實例,如圖2中所說明,試樣定位系統140包括邊緣套爪卡盤141,以將試樣101固定地附接至試樣定位系統140。旋轉致動器142經組態以使邊緣套爪卡盤141及所附接之試樣101相對於周 邊框架143而旋轉。在所描繪之實施例中,旋轉致動器142經組態以使試樣101繞圖2中所說明之座標系統146的x軸而旋轉。如圖2中所描繪,試樣101繞z軸之旋轉為試樣101之平面內旋轉。繞x軸及y軸(未圖示)之旋轉為試樣101之平面外旋轉,其使試樣之表面相對於計量系統100之計量元件而有效地傾斜。雖然未予以說明,但第二旋轉致動器經組態以使試樣101繞y軸旋轉。線性致動器144經組態以使周邊框架143在x方向上平移。另一線性致動器(未圖示)經組態以使周邊框架143在y方向上平移。以此方式,試樣101之表面上的每一位置均可用於在平面外角位置之範圍中進行量測。舉例而言,在一實施例中,在相對於試樣101之正常定向的-45度至+45度之範圍內的若干角增量之範圍中量測試樣101之位置。
典型光學散射量測系統不使用能夠在大的平面外角位置範圍中(例如,大於+/-1度)來定向試樣的試樣定位系統。結果,由此等系統所收集之量測資訊常常缺乏對某些參數之敏感性或不能夠縮減參數之間的相關。然而,試樣定位系統140之大的平面外角定位能力擴大了量測敏感性且縮減了參數之間的相關。舉例而言,在正常定向中,SAXS能夠解析特徵之臨界尺寸,但主要地對特徵之側壁角及高度不敏感。然而,藉由收集在寬廣之平面外角位置範圍中的量測資料,可解析特徵之側壁角及高度。
應認識到,可藉由單一電腦系統130或替代地多重電腦系統130來進行貫穿本發明所描述之各種步驟。此外,系統100之不同子系統(諸如,試樣定位系統140)可包括適於進行本文中所描述之步驟之至少一部分的電腦系統。因此,上述描述不應解釋為對本發明之限制而是僅為說明。另外,該一或多個計算系統130可經組態以執行本文中所描述之方法實施例中之任一者的任何其他步驟。
另外,電腦系統130可以此項技術中已知之任何方式通信地耦接 至光學偵測器123、X-射線偵測器116、光學照射光學器件122及X-射線照射光學器件115。舉例而言,該一或多個計算系統130可耦接至分別與光學偵測器123、X-射線偵測器116、光學照射光學器件122及X-射線照射光學器件115相關聯的計算系統。在另一實例中,可直接藉由耦接至電腦系統130之單一電腦系統來控制光學偵測器123、X-射線偵測器116、光學照射光學器件122及X-射線照射光學器件115中之任一者。
結合式計量系統100之電腦系統130可經組態以藉由可包括有線及/或無線部分之傳輸媒體而自該系統之子系統(例如,光學偵測器123、X-射線偵測器116、光學照射光學器件122及X-射線照射光學器件115,及其類似者)接收及/或獲取資料或資訊。以此方式,傳輸媒體可充當電腦系統130與系統100之其他子系統之間的資料鏈路。
結合式計量系統100之電腦系統130可經組態以藉由可包括有線及/或無線部分之傳輸媒體而自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可充當電腦系統130與其他系統(例如,記憶體機載計量系統100、外部記憶體或外部系統)之間的資料鏈路。舉例而言,計算系統130可經組態以經由資料鏈路而自儲存媒體(亦即,記憶體132或記憶體180)接收量測資料(例如,信號124及126)。舉例而言,可將使用X-射線偵測器116及光學偵測器123中之任一者之光譜儀所獲得的光譜結果儲存於永久性或半永久性記憶體裝置(例如,記憶體132或180)中。就此而言,可自機載記憶體或自外部記憶體系統匯入光譜結果。此外,電腦系統116可經由傳輸媒體將資料發送至其他系統。舉例而言,可將藉由電腦系統130所判定之試樣參數值170儲存於永久性或半永久性記憶體裝置(例如,記憶體180)中。就此而言,可將量測結果匯出至另一系統。
計算系統130可包括(但不限於)個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。一般而言,可將術語「計算系統」廣泛地定義為涵蓋具有一或多個處理器之任何裝置,該一或多個處理器執行來自記憶體媒體之指令。
可經由傳輸媒體(諸如,導線、線纜或無線傳輸鏈路)來傳輸實施諸如本文中所描述之彼等方法之方法的程式指令134。舉例而言,如圖2中所說明,儲存於記憶體132中之程式指令經由匯流排133而傳輸至處理器131。程式指令134儲存於電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包括唯讀記憶體、隨機存取記憶體、磁碟或光碟,或磁帶。
如參看圖2所描述,結合式計量系統包括SAXS系統及光學散射計系統。然而,一般而言,可在本專利文件之範疇內預期基於以下兩者之結合的結合分析:不同之光學計量技術及不同之基於X-射線之計量技術。此外,可在一或多個個別計量工具、一或多個結合式計量工具或其任何結合(無限制)上執行基於參與如本文中所描述之結合分析之任何光學及X-射線計量技術的量測。
藉由非限制性實例,在本專利文件之範疇內,以下光學計量技術中之任一者可與以下X-射線計量技術中之任一者結合以用於進行如本文中所描述之分析,該等光學計量技術包括:光譜橢偏量測(包括繆勒矩陣橢偏量測)、繆勒矩陣光譜橢偏量測、光譜反射量測、光譜散射量測、散射量測疊對、射束剖面反射量測(角及偏振解析型)、射束剖面橢偏量測、單一或多重離散波長橢偏量測、多重入射角橢偏量測及光譜偏振量測,該等X-射線計量技術包括傳輸小角X-射線散射(TSAXS)、掠入射小角X-射線散射(GISAXS)、廣角X-射線散射(WAXS)、X-射線反射率(XRR)、X-射線繞射(XRD)、掠入射X-射線繞射(GIXRD)、高解析度X-射線繞射(HRXRD)、X-射線光電子光譜學 (XPS)、X-射線螢光(XRF)、掠入射X-射線螢光(GIXRF)、X-射線斷層攝影法及X-射線橢偏量測。
如本文中所描述之以結合方式加以應用的X-射線計量技術及光學計量技術可用以判定半導體結構之特性。例示性結構包括(但不限於)鰭片式FET、低維度結構(諸如,奈米導線或石墨烯)、子10nm結構、薄膜、微影結構、矽穿孔(TSV)、記憶體結構(諸如,DRAM、DRAM 4F2、快閃記憶體及高縱橫比記憶體結構)。例示性結構特性包括(但不限於):幾何參數,諸如線邊緣粗糙度、線寬度粗糙度、微孔大小、微孔密度、側壁角、剖面、膜厚度、臨界尺寸、間距;及材料參數,諸如電子密度、晶粒結構、形態學、定向、應力及應變。
藉由非限制性實例,小角X-射線散射(SAXS)能夠量測小於10奈米之結構的幾何參數(例如,間距、臨界尺寸(CD)、側壁角(SWA)、線寬度粗糙度(LWR)及線邊緣粗糙度(LER))。另外,具有高能性質之X-射線輻射穿透光學不透明薄膜、內埋結構、高縱橫比結構及包括許多薄膜層之裝置。藉由非限制性實例,光學散射量測技術能夠量測許多不同結構之間距、CD、薄膜厚度、組合物及色散。
在圖5中所說明之另一非限制性實例中,高k金屬閘電晶體160之所關注參數係基於X-射線及光學量測之結合分析來量測。在所描繪之實例中,使用XRF來量測矽基板161之源極162及汲極163的SiGe濃度,同時可藉由光學技術(諸如,光譜橢偏量測)來量測金屬閘電極165之臨界尺寸及閘極介電質164之厚度。
在又一非限制性實例中,使用XRF來量測膜(諸如,HfO2、極厚膜及電子密度非常類似於鄰近膜的膜)之厚度。將此等厚度前饋以打破在光學量測中之參數相關。
在圖5中所說明之又一非限制性實例中,使用XRF來量測先進結構(諸如,鰭片式FET)中之Ge劑量。對該等結構之體積進行光學量 測。基於劑量及體積量測來計算Ge濃度。
在又一非限制性實例中,記憶體結構包括TiN/Al2O3/TiN層配置。使用XRR來量測TiN層之厚度,但該XRR對Al2O3層係相對不敏感的。光譜橢偏量測在區分頂部TiN層與底部TiN層方面具有難度。結合之XRR及光譜橢偏量測可量測所有三個層之厚度。
在又一非限制性實例中,磁性多層(諸如,自旋閥)具有標稱SiO2/NiO/Co/Cu/Co分層結構。使用XRR來量測NiO層之厚度,但XRR歸因於Co及Cu之類似電子密度而不能區別Co/Cu/Co層。GIXRD清楚地解析Co、Ni及Cu,且元素峰值之相對累積強度判定Cu及Co層之厚度。由於Co/Cu/Co層之總厚度自XRR已知,所以可將Cu厚度判定為好於0.1nm精度。亦可使用結合之XRR、GIXRF及光學技術(諸如,光譜橢偏量測)來量測結構之所有厚度及臨界尺寸。
在又一非限制性實例中,線邊緣粗糙度(LER)及線寬度粗糙度(LWR)不能藉由光學技術來容易地量測,但可使用小角X-射線散射來量測。可藉由光譜橢偏量測來量測結構(諸如,光阻格柵及嵌段共聚物)之側壁角。
在又一非限制性實例中,使用XRD來量測III-V材料之組合物及應力。在光學量測(諸如,光譜橢偏量測)之分析中前饋此等參數,以判定厚度及臨界尺寸。
在一些實施例中,將如本文中所描述之結合之X-射線及光學分析實施為製造程序工具之一部分。製造程序工具之實例包括(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。以此方式,使用結合之X-射線及光學分析之結果來控制製造程序。在一實例中,將自一或多個目標收集之X-射線及光學量測資料發送至程序製造工具。如本文中所描述來分析X-射線及光學量測資料且使用結果來調整製造程序工具之操作。
如本文中所描述,術語「臨界尺寸」包括結構之任何臨界尺寸(例如,底部臨界尺寸、中部臨界尺寸、頂部臨界尺寸、側壁角、格柵高度等)、在任何兩個或兩個以上結構之間的臨界尺寸(例如,兩個結構之間的距離),及在兩個或兩個以上結構之間的位移(例如,在疊對之格柵結構之間的疊對位移,等)。結構可包括三維結構、經圖案化之結構、疊對結構等。
如本文中所描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包括任何臨界尺寸量測。
如本文中所描述,術語「計量系統」包括至少部分地用以在任何態樣(包括臨界尺寸應用及疊對計量應用)中將試樣特徵化的任何系統。然而,此等專門術語並不限制如本文中所描述之術語「計量系統」的範疇。另外,計量系統100可經組態以用於量測經圖案化之晶圓及/或未經圖案化之晶圓。計量系統可組態為LED檢測工具、太陽能檢測工具、邊緣檢測工具、背面檢測工具、巨集檢測工具或多模檢測工具(同時涉及來自一或多個平台之資料)及受益於基於臨界尺寸資料對系統參數之校準的任何其他計量或檢測工具。
本文中針對可用於處理試樣之半導體處理系統(例如,檢測系統或微影系統)而描述了各種實施例。術語「試樣」在本文中用以指代晶圓、比例光罩或可藉由此項技術中已知之手段加以處理(例如,針對缺陷而加以印刷或檢測)的任何其他試樣。
如本文中所使用,術語「晶圓」通常指代由半導體或非半導體材料形成之基板。實例包括(但不限於)單晶矽、砷化鎵及磷化銦。可通常在半導體製造設施中找到及/或處理此等基板。在一些狀況下,晶圓可僅包括基板(亦即,裸晶圓)。或者,晶圓可包括形成於基板上之一或多個不同材料層。形成於晶圓上之一或多個層可「經圖案化」或「未經圖案化」。舉例而言,晶圓可包括具有可重複之圖案特徵的 複數個晶粒。
「比例光罩」可為在比例光罩製造程序之任何階段的比例光罩,或為可被釋放或可未被釋放以供在半導體製造設施中使用的成品比例光罩。通常將比例光罩或「光罩」定義為其上形成有實質上不透明區且以一圖案組態之實質上透明基板。該基板可包括(例如)諸如非晶SiO2之玻璃材料。可在微影製程之曝光步驟期間將比例光罩安置於覆蓋有抗蝕劑之晶圓上方使得比例光罩上之圖案可轉印至抗蝕劑。
形成於晶圓上之一或多個層可經圖案化或未經圖案化。舉例而言,晶圓可包括複數個晶粒,其中每一晶粒具有可重複之圖案特徵。此等材料層之形成及處理可最終產生成品裝置。可將許多不同類型之裝置形成於晶圓上,且如本文中所使用之術語晶圓意欲涵蓋其上正製造有此項技術中已知之任何類型之裝置的晶圓。
在一或多個例示性實施例中,所描述之功能可以硬體、軟體、韌體或其任何結合來實施。若以軟體實施,則該等功能可作為一或多個指令或程式碼儲存於電腦可讀媒體上或經由電腦可讀媒體傳輸。電腦可讀媒體包括電腦儲存媒體與通信媒體兩者,通信媒體包括促進電腦程式自一處轉移至另一處的任何媒體。儲存媒體可為可由通用或特殊目的電腦存取之任何可用媒體。藉由實例且非限制,此等電腦可讀媒體可包含RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置,或可用以攜載或儲存呈指令或資料結構之形式的所要程式碼構件且可由通用或特殊目的電腦或通用或特殊目的處理器存取的任何其他媒體。又,將任何連接恰當地稱為電腦可讀媒體。舉例而言,若使用同軸電纜、光纖纜線、雙絞線、數位用戶線(DSL)或無線技術(諸如,紅外線、無線電及微波)而自網站、伺服器或其他遠端源傳輸指令,則同軸電纜、光纖纜線、雙絞線、DSL或無線技術(諸如,紅外線、無線電及微波)包括於媒體之定義中。如 本文中所使用,磁碟及光碟包括緊密光碟(CD)、雷射光碟、光學光碟、數位影音光碟(DVD)、軟性磁碟及藍光光碟,其中磁碟通常以磁性方式再生資料,而光碟藉由雷射以光學方式再生資料。以上各物之結合亦應包括於電腦可讀媒體之範疇內。
雖然上文已出於指導目的而描述了某些特定實施例,但本專利文件之教示具有一般適用性且並不限於上文所描述之特定實施例。因此,可在不脫離如申請專利範圍中所闡述之本發明之範疇的情況下實踐所描述之實施例之各種特徵的各種修改、調適及結合。
124‧‧‧光學量測資料/光學信號/輸出信號
126‧‧‧X-射線量測資料/x射線信號/輸出信號
150‧‧‧模型建立及分析引擎
151‧‧‧幾何模型建立模組
152‧‧‧幾何模型
153‧‧‧X-射線回應函數建立模組
154‧‧‧光學回應函數建立模組
155‧‧‧X-射線回應模型
156‧‧‧光學回應模型
157‧‧‧擬合分析模組
170‧‧‧試樣參數值
180‧‧‧記憶體

Claims (20)

  1. 一種模型建立及分析引擎,其包含:一幾何模型建立模組,其經組態以產生一試樣之一結構的一幾何模型;一光學回應函數建立模組,其經組態以至少部分地基於該幾何模型而產生該試樣之該結構對入射之光學輻射之一回應的一光學回應模型;一X-射線回應函數建立模組,其經組態以至少部分地基於該幾何模型而產生該試樣之該結構對入射之X-射線輻射之一回應的一X-射線回應模型,其中該光學回應模型與該X-射線回應模型兩者包括來自該幾何模型之至少一共同幾何參數;及一擬合分析模組,其經組態以進行以下步驟:接收一第一量之量測資料,該量測資料指示回應於入射於該試樣上之一光學照射束而自該試樣偵測之光學輻射之一量;接收一第二量之量測資料,該量測資料指示回應於入射於該試樣上之一X-射線照射束而自該試樣偵測之X-射線輻射之一量;基於該光學回應模型與該第一量之量測資料的一擬合及該X-射線回應模型與該第二量之量測資料的一擬合來判定至少一試樣參數值;及輸出該至少一試樣參數值以供儲存於一記憶體中。
  2. 如請求項1之模型建立及分析引擎,其中該至少一共同幾何參數之一值係基於該X-射線回應模型與該第二量之量測資料的該擬合而判定,且該所判定之值在該光學回應模型與該第一量之量 測資料的該擬合中被視為一常數以判定該至少一試樣參數值。
  3. 如請求項1之模型建立及分析引擎,其中該至少一共同幾何參數在一並行擬合分析中被視為一全域參數,該並行擬合分析包括該光學回應模型與該第一量之量測資料的該擬合及該X-射線回應模型與該第二量之量測資料的該擬合兩者。
  4. 如請求項2之模型建立及分析引擎,其中該光學回應模型與該第一量之量測資料的該擬合涉及最小化一成本函數。
  5. 如請求項3之模型建立及分析引擎,其中該並行擬合分析涉及最小化一結合之成本函數。
  6. 如請求項1之模型建立及分析引擎,其中該擬合分析模組經進一步組態以進行以下操作:判定一成本函數之一殘值,該成本函數相關聯於該第一量之量測資料與該光學回應模型之該擬合及該第二量之量測資料與該X-射線回應模型之該擬合;判定該殘值是否超過一預定臨限值;若該殘值超過該預定臨限值,則重新建構該試樣之該結構的該幾何模型;及若該殘值未超過該預定臨限值,則儲存該試樣之該結構的該幾何模型。
  7. 如請求項1之模型建立及分析引擎,其中該光學回應模型及該X-射線回應模型共用該幾何模型之所有該等幾何參數。
  8. 如請求項1之模型建立及分析引擎,其中該至少一共同幾何參數為以下各者中之任一者:一線邊緣粗糙度、線寬度粗糙度、微孔大小、微孔密度、側壁角、剖面、膜厚度、臨界尺寸及間距。
  9. 如請求項1之模型建立及分析引擎,其中該模型建立及分析引擎為一結合式X-射線/光學計量工具之一計算系統。
  10. 如請求項9之模型建立及分析引擎,其中該結合式X-射線/光學計量工具包含:一基於液體金屬之X-射線照射系統,其包括一液體金屬X-射線照射源及X-射線照射光學器件,該X-射線照射光學器件經組態以使一入射之X-射線束塑形並將該入射之X-射線束導引至一試樣之一檢測區域;一X-射線偵測器,其經組態以回應於該入射之X-射線束而偵測來自該試樣之X-射線輻射之該量;一光學照射系統,其包括一光學照射源及光學照射光學器件,該光學照射光學器件經組態以與該入射之X-射線束同時地進行使一入射之光學照射束塑形並將該入射之光學照射束導引至該試樣之該檢測區域,其中該入射之光學照射束及該入射之X-射線束在空間上重疊於該試樣之該檢測區域處;及一光學偵測器,其經組態以回應於該入射之光學照射束而偵測來自該試樣之光學輻射之該量。
  11. 一種方法,其包含:產生一試樣之一結構的一幾何模型;至少部分地基於該幾何模型而產生一光學回應模型及一X-射線回應模型,其中該光學回應模型與該X-射線回應模型兩者包括來自該幾何模型之至少一共同幾何參數;接收一第一量之量測資料,該第一量之量測資料係基於回應於入射於該試樣上之一光學照射束而自該試樣偵測之光學輻射的一量;接收一第二量之量測資料,該第二量之量測資料係基於回應 於入射於該試樣上之一X-射線照射束而自該試樣偵測之X-射線輻射的一量;基於該第一量之量測資料與該光學回應模型的一擬合分析及該第二量之量測資料與該X-射線回應模型的一擬合分析來判定至少一試樣參數值;及儲存該至少一試樣參數值。
  12. 如請求項11之方法,其進一步包含:基於該第二量之量測資料的該擬合分析來判定該至少一共同幾何參數之一值,且其中該至少一共同幾何參數之該所判定之值在該第一量之量測資料的該擬合分析中被視為一常數。
  13. 如請求項11之方法,其進一步包含:基於該第一量之量測資料與該光學回應模型及該第二量之量測資料與該X-射線回應模型的一並行擬合分析來判定該至少一共同幾何參數之一值。
  14. 如請求項11之方法,其進一步包含:判定一成本函數之一殘值,該成本函數相關聯於該第一量之量測資料與該光學回應模型之該擬合分析及該第二量之量測資料與該X-射線回應模型之該擬合分析;判定該殘值是否超過一預定臨限值;若該殘值超過該預定臨限值,則重新建構該試樣之該結構的該幾何模型;及若該殘值未超過該預定臨限值,則儲存該試樣之該結構的該幾何模型。
  15. 如請求項11之方法,其中該至少一共同幾何參數為以下各者中之任一者:一線邊緣粗糙度、線寬度粗糙度、微孔大小、微孔密度、側壁角、剖面、膜厚度、臨界尺寸及間距。
  16. 如請求項13之方法,其中該並行擬合分析涉及最小化一結合之成本函數。
  17. 一種非暫時性電腦可讀媒體,其包含:用於使一電腦產生一試樣之一結構之一幾何模型的程式碼;用於使該電腦至少部分地基於該幾何模型而產生一光學回應模型及一X-射線回應模型的程式碼,其中該光學回應模型與該X-射線回應模型兩者包括來自該幾何模型之至少一共同幾何參數;用於使該電腦接收一第一量之量測資料的程式碼,該第一量之量測資料係基於回應於入射於該試樣上之一光學照射束而自該試樣偵測之光學輻射的一量;用於使該電腦接收一第二量之量測資料的程式碼,該第二量之量測資料係基於回應於入射於該試樣上之一X-射線照射束而自該試樣偵測之X-射線輻射的一量;用於使該電腦基於該第一量之量測資料與該光學回應模型的一擬合分析及該第二量之量測資料與該X-射線回應模型的一擬合分析來判定至少一試樣參數值的程式碼;及用於使該電腦儲存該至少一試樣參數值的程式碼。
  18. 如請求項17之非暫時性電腦可讀媒體,其進一步包含:用於使該電腦基於該第二量之量測資料的該擬合分析來判定該至少一共同幾何參數之一值的程式碼,且其中該至少一共同幾何參數之該所判定之值在該第一量之量測資料的該擬合分析中被視為一常數。
  19. 如請求項17之非暫時性電腦可讀媒體,其進一步包含:用於使該電腦基於該第一量之量測資料與該光學回應模型及該第二量之量測資料與該X-射線回應模型的一並行擬合分析來 判定該至少一共同幾何參數之一值的程式碼。
  20. 如請求項17之非暫時性電腦可讀媒體,其進一步包含:用於使該電腦判定一成本函數之一殘值的程式碼,該成本函數相關聯於該第一量之量測資料與該光學回應模型的該擬合分析及該第二量之量測資料與該X-射線回應模型的該擬合分析;用於使該電腦判定該殘值是否超過一預定臨限值的程式碼;用於使該電腦在該殘值超過該預定臨限值的情況下重新建構該試樣之該結構之該幾何模型的程式碼;及用於使該電腦在該殘值未超過該預定臨限值的情況下儲存該試樣之該結構之該幾何模型的程式碼。
TW102124791A 2012-07-10 2013-07-10 用於結合x-射線及光學計量之模型建立及分析引擎、方法及電腦可讀媒體 TWI611161B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261669901P 2012-07-10 2012-07-10
US61/669,901 2012-07-10
US13/935,275 2013-07-03
US13/935,275 US10013518B2 (en) 2012-07-10 2013-07-03 Model building and analysis engine for combined X-ray and optical metrology

Publications (2)

Publication Number Publication Date
TW201411089A true TW201411089A (zh) 2014-03-16
TWI611161B TWI611161B (zh) 2018-01-11

Family

ID=49914703

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102124791A TWI611161B (zh) 2012-07-10 2013-07-10 用於結合x-射線及光學計量之模型建立及分析引擎、方法及電腦可讀媒體

Country Status (8)

Country Link
US (1) US10013518B2 (zh)
JP (1) JP6184490B2 (zh)
KR (1) KR102055966B1 (zh)
CN (1) CN104583872B (zh)
DE (1) DE112013003491T5 (zh)
IL (1) IL236571B (zh)
TW (1) TWI611161B (zh)
WO (1) WO2014011565A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106461428A (zh) * 2014-04-25 2017-02-22 瑞沃拉公司 使用结合的xps和xrf技术测定锗化硅厚度和组成
TWI779299B (zh) * 2014-06-24 2022-10-01 美商諾發測量儀器股份有限公司 用於試樣的薄膜特徵化的方法及系統
TWI791735B (zh) * 2018-01-10 2023-02-11 美商克萊譚克公司 具有經寬帶雷射產生之電漿照明器之x射線計量系統

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
NL2013810A (en) 2013-12-19 2015-06-22 Asml Netherlands Bv Inspection method and apparatus and lithographic apparatus.
WO2015120070A1 (en) 2014-02-05 2015-08-13 Kla-Tencor Corporation Grazing order metrology
DE102014102684A1 (de) * 2014-02-28 2015-09-03 Helmut Fischer GmbH Institut für Elektronik und Messtechnik Verfahren zur Messung eines Messobjektes mittels Röntgenfluoreszenz
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
US10012606B1 (en) 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10324050B2 (en) * 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10030965B2 (en) 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
NL2017505A (en) 2015-10-09 2017-04-11 Asml Netherlands Bv Method and apparatus for inspection and metrology
NL2017789A (en) * 2015-12-04 2017-06-13 Asml Netherlands Bv Statistical hierarchical reconstruction from metrology data
US20200025554A1 (en) * 2015-12-08 2020-01-23 Kla-Tencor Corporation System, method and computer program product for fast automatic determination of signals for efficient metrology
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
CN108431692B (zh) * 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
JP6999268B2 (ja) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
CN109073902B (zh) 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝
CN107589135B (zh) * 2016-07-08 2019-10-01 中国科学院化学研究所 一种描述散射体形状的方法和系统
US10458912B2 (en) * 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
JP6924261B2 (ja) * 2016-10-20 2021-08-25 ケーエルエー コーポレイション パターニングされたウェハの特性評価のためのハイブリッド計量
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US11614690B2 (en) 2017-01-26 2023-03-28 Asml Netherlands B.V. Methods of tuning process models
EP3361315A1 (en) * 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
CN106950237B (zh) * 2017-03-10 2019-10-15 西安交通大学 一种基于峰间夹角比对的扫描式劳厄衍射图谱分析方法
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
KR102340174B1 (ko) 2017-06-20 2021-12-16 에이에스엠엘 네델란즈 비.브이. 엣지 러프니스 파라미터 결정
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
CN111066096A (zh) 2017-09-01 2020-04-24 Asml荷兰有限公司 光学系统、量测装置及相关联的方法
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
CN111542783A (zh) 2017-12-28 2020-08-14 Asml荷兰有限公司 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
US10895541B2 (en) * 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) * 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191168A (ja) 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
US11181490B2 (en) 2018-07-05 2021-11-23 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US10677586B2 (en) * 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
EP3614813A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. High harmonic generation radiation source
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US11328964B2 (en) * 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
EP3699688A1 (en) 2019-02-19 2020-08-26 ASML Netherlands B.V. Methods and apparatus for metrology
CN113196176A (zh) 2018-12-21 2021-07-30 Asml荷兰有限公司 用于计量的方法和装置
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
CN114303102B (zh) 2019-09-03 2024-06-11 Asml荷兰有限公司 用于准直宽带辐射的组件
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
US20220326152A1 (en) 2019-09-05 2022-10-13 Asml Netherlands B.V. An improved high harmonic generation apparatus
CN114830026A (zh) 2019-10-17 2022-07-29 Asml荷兰有限公司 照射源和相关的量测设备
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
CN114651214A (zh) 2019-11-05 2022-06-21 Asml荷兰有限公司 测量方法和测量设备
KR20220103713A (ko) * 2019-11-28 2022-07-22 에베 그룹 에. 탈너 게엠베하 기판 측정 장치 및 방법
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
WO2021121906A1 (en) 2019-12-18 2021-06-24 Asml Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
CN111783292B (zh) * 2020-06-23 2023-12-12 上海联影医疗科技股份有限公司 X射线成像设备的建模方法、装置、设备及存储介质
CN116134972A (zh) 2020-07-21 2023-05-16 Asml荷兰有限公司 照射源和相关联的量测设备
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
US20240004312A1 (en) 2020-11-30 2024-01-04 Asml Netherlands B.V. Metrology apparatus based on high harmonic generation and associated method
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
KR20230146536A (ko) 2021-02-17 2023-10-19 에이에스엠엘 네델란즈 비.브이. 원거리 필드에서 방사선을 분리하기 위한 어셈블리
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4330768A1 (en) 2021-04-26 2024-03-06 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
KR20240016285A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 계측 측정 방법 및 장치
KR20240007276A (ko) 2021-06-14 2024-01-16 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 연관된 방법, 장치
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
JP2023012227A (ja) 2021-07-13 2023-01-25 キオクシア株式会社 形状計測方法、形状計測装置、及びプログラム
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
DE102021210869A1 (de) 2021-09-29 2022-09-15 Carl Zeiss Smt Gmbh Verfahren zur Überprüfung von Masken für die Projektionslithographie
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
CN114295073B (zh) * 2021-12-09 2023-08-08 江苏互旦网络科技有限公司 一种搜索引擎自动优化的系统
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
CN116300696B (zh) * 2023-05-17 2023-11-14 天津岳东天合科技有限公司 一种基于镀锌工艺优化的机加工控制方法及系统

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6031892A (en) 1989-12-05 2000-02-29 University Of Massachusetts Medical Center System for quantitative radiographic imaging
JP3259373B2 (ja) 1992-11-27 2002-02-25 株式会社日立製作所 露光方法及び露光装置
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5740226A (en) * 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
US5923720A (en) * 1997-06-17 1999-07-13 Molecular Metrology, Inc. Angle dispersive x-ray spectrometer
DE19746096A1 (de) 1997-10-17 1999-05-06 Siemens Ag Röntgeneinrichtung
US6192103B1 (en) * 1999-06-03 2001-02-20 Bede Scientific, Inc. Fitting of X-ray scattering data using evolutionary algorithms
FR2798551B1 (fr) 1999-09-14 2001-11-30 Eppra Dispositif de radiologie comportant des moyens d'agrandissement d'images perfectionnees
US6950196B2 (en) * 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6512814B2 (en) * 2001-04-12 2003-01-28 Jordan Valley Applied Radiation X-ray reflectometer
US7089075B2 (en) * 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
GB0116825D0 (en) * 2001-07-10 2001-08-29 Koninl Philips Electronics Nv Determination of material parameters
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6978040B2 (en) 2001-12-19 2005-12-20 Canon Kabushiki Kaisha Optical recovery of radiographic geometry
US6816570B2 (en) * 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
EP2557416A3 (en) 2002-04-17 2013-02-27 Ebara Corporation Sample surface inspection apparatus and method
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
JP2004151045A (ja) 2002-11-01 2004-05-27 Hitachi High-Technologies Corp 電子顕微鏡またはx線分析装置及び試料の分析方法
JP4388270B2 (ja) 2002-11-18 2009-12-24 株式会社日立ハイテクノロジーズ 表面検査方法及び表面検査装置
US7072442B1 (en) * 2002-11-20 2006-07-04 Kla-Tencor Technologies Corporation X-ray metrology using a transmissive x-ray optical element
JP3950811B2 (ja) 2003-04-17 2007-08-01 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X線ct装置およびビームハードニング後処理方法
CN1947062A (zh) 2004-02-23 2007-04-11 Asml荷兰有限公司 基于散射测量数据确定工艺参数值的方法
DE102005003001B4 (de) 2005-01-21 2009-10-08 Qimonda Ag Verfahren zur Korrektur des optischen Proximity-Effektes
US7478019B2 (en) * 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7103142B1 (en) * 2005-02-24 2006-09-05 Jordan Valley Applied Radiation Ltd. Material analysis using multiple X-ray reflectometry models
US7456399B1 (en) 2006-03-30 2008-11-25 Revera Incorporated Calibrating multiple photoelectron spectroscopy systems
WO2008013909A2 (en) * 2006-07-27 2008-01-31 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
TWI416096B (zh) * 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
CN101206112A (zh) 2007-12-20 2008-06-25 中国科学院长春光学精密机械与物理研究所 一种纳米级多层膜结构的测量方法
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8705694B2 (en) 2009-11-11 2014-04-22 Physical Optics Corporation X-ray imaging system and method
KR102073424B1 (ko) * 2010-02-25 2020-02-04 노바 메주어링 인스트루먼츠 엘티디. 패턴처리된 구조물의 측정 방법 및 시스템
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106461428A (zh) * 2014-04-25 2017-02-22 瑞沃拉公司 使用结合的xps和xrf技术测定锗化硅厚度和组成
TWI779299B (zh) * 2014-06-24 2022-10-01 美商諾發測量儀器股份有限公司 用於試樣的薄膜特徵化的方法及系統
TWI791735B (zh) * 2018-01-10 2023-02-11 美商克萊譚克公司 具有經寬帶雷射產生之電漿照明器之x射線計量系統

Also Published As

Publication number Publication date
CN104583872A (zh) 2015-04-29
IL236571B (en) 2020-11-30
KR102055966B1 (ko) 2019-12-13
US10013518B2 (en) 2018-07-03
JP2015531056A (ja) 2015-10-29
WO2014011565A1 (en) 2014-01-16
JP6184490B2 (ja) 2017-08-23
IL236571A0 (en) 2015-02-26
KR20150036355A (ko) 2015-04-07
DE112013003491T5 (de) 2015-03-26
CN104583872B (zh) 2017-04-26
US20140019097A1 (en) 2014-01-16
TWI611161B (zh) 2018-01-11

Similar Documents

Publication Publication Date Title
TWI611161B (zh) 用於結合x-射線及光學計量之模型建立及分析引擎、方法及電腦可讀媒體
US9535018B2 (en) Combined x-ray and optical metrology
TWI753030B (zh) 用於x 射線散射測量系統之全光束度量
TWI689702B (zh) 用於高高寬比結構之x光散射測量計量
US10801975B2 (en) Metrology tool with combined X-ray and optical scatterometers
KR102285100B1 (ko) x선 기반 계측을 위한 측정 시스템 최적화
TWI649536B (zh) 用於以散射術量測為基礎之成像及關鍵尺寸度量之度量方法、度量系統及非暫時性電腦可讀媒體
CN111819675B (zh) 用于实时测量控制的方法及系统
TW202111319A (zh) 用於基於波長解析軟x射線反射法之半導體計量之方法及系統
CN113677983A (zh) 结合x射线计量数据集合以改进参数评估的方法及系统
TW201350839A (zh) 具有組合x光及光學散射計之計量工具
TW202424475A (zh) 使用基於機器學習之電磁回應模型的x射線散射測量之方法及系統