TWI689702B - 用於高高寬比結構之x光散射測量計量 - Google Patents

用於高高寬比結構之x光散射測量計量 Download PDF

Info

Publication number
TWI689702B
TWI689702B TW105129968A TW105129968A TWI689702B TW I689702 B TWI689702 B TW I689702B TW 105129968 A TW105129968 A TW 105129968A TW 105129968 A TW105129968 A TW 105129968A TW I689702 B TWI689702 B TW I689702B
Authority
TW
Taiwan
Prior art keywords
ray
measurement target
parameter
saxs
sample
Prior art date
Application number
TW105129968A
Other languages
English (en)
Other versions
TW201730514A (zh
Inventor
陶德斯 傑拉德 佐拉
安東尼歐 艾里昂 吉里紐
安德烈 V 舒傑葛洛夫
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201730514A publication Critical patent/TW201730514A/zh
Application granted granted Critical
Publication of TWI689702B publication Critical patent/TWI689702B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明描述用於使用透射小角度x光散射(T-SAXS)技術特性化高高寬比垂直製造裝置之尺寸及材料性質之方法及系統。例示性結構包含自旋轉移力矩隨機存取記憶體(STT-RAM)、垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維快閃記憶體(3D-FLASH)、電阻性隨機存取記憶體(Re-RAM)及PC-RAM。在一項態樣中,依接近法向入射角較密集集中且依較遠離該法向入射角之定向較不密集集中之若干不同定向執行T-SAXS量測。在一進一步態樣中,使用T-SAXS量測資料以基於經偵測繞射級之經量測強度產生一經量測結構之一影像。在另一進一步態樣中,一計量系統經組態以產生針對組合的x光及光學量測分析之模型。

Description

用於高高寬比結構之X光散射測量計量
所描述之實施例係關於計量系統及方法,且更特定言之係關於用於改良的量測準確度之方法及系統。
諸如邏輯及記憶體裝置之半導體裝置通常係由應用於一樣品之一系列處理步驟製造。半導體裝置之各種特徵及多個結構層級係由此等處理步驟形成。例如,其中微影係涉及在一半導體晶圓上產生一圖案之一個半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可在一單一半導體晶圓上製造且接著分離成個別半導體裝置。 在一半導體製程期間之各個步驟使用計量程序以偵測晶圓上之缺陷以促進較高良率。通常使用包含散射測量及反射測量實施方案以及相關聯之分析演算法之若干基於計量之技術以特性化臨界尺寸、膜厚度、組合物及奈米級結構之其他參數。 傳統上,對由薄膜及/或重複週期性結構組成之目標執行散射測量臨界尺寸(SCR)量測。在裝置製造期間,此等膜及週期性結構通常表示實際裝置幾何形狀及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)邁向更小奈米級尺寸,特性化變得更困難。併入複雜三維幾何形狀及具有多種物理性質之材料之裝置造成特性化困難。舉例而言,現代記憶體結構通常係高高寬比三維結構,此使得光學輻射難以穿透至底層。利用紅外光至可見光之光學計量工具可穿透許多半透明材料層,但提供良好的穿透深度之較長波長不提供對小異常之足夠靈敏度。另外,特性化複雜結構(例如,FinFET)所需之增大數目個參數導致漸大之參數相關性。因此,特性化目標之參數通常無法可靠地脫離可用量測。 在一個實例中,已採用較長波長(例如,近紅外)以試圖克服利用多晶矽作為堆疊中之一個替代材料之3D FLASH裝置之穿透問題。然而,3D FLASH之鏡狀結構隨著照明更深地傳播至膜堆疊中而固有地引起減小之光強度。此引起深度下之靈敏度損耗及相關性問題。在此案例中,SCD僅能夠依高靈敏度及低相關性成功提取一精簡組之計量尺寸。 在另一實例中,在現代半導體結構中愈來愈多地採用不透明高k值材料。光學輻射通常無法穿透由此等材料構成之層。因此,使用薄膜散射測量工具(諸如橢偏儀或反射計)之量測變得愈來愈具挑戰性。 回應於此等挑戰,已開發更複雜的光學計量工具。舉例而言,已開發具有多個照明角度、較短照明波長、較廣照明波長範圍及自反射信號之更完整資訊擷取(例如,除了較習知的反射率或橢偏測量信號之外,亦量測多個穆勒(Mueller)矩陣元素)之工具。然而,此等方法未可靠克服與許多先進目標(例如,複雜3D結構、小於10 nm之結構、採用不透明材料之結構)之量測及量測應用(例如,線邊緣粗糙度及線寬度粗糙度量測)相關聯之根本挑戰。 原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度但其等僅可探測樣品之表面。另外,AFM及STM顯微鏡需要長掃描時間。掃描電子顯微鏡(SEM)達成中間解析度位準,但無法穿透結構至足夠深度。因此,未良好特性化高高寬比孔。另外,樣品所需之充電對成像效能具有一不利影響。X光反射計亦遭受當量測高高寬比結構時限制其等之有效性之穿透問題。 為了克服穿透深度問題,結合破壞性樣本製備技術(諸如聚焦離子束(FIB)加工、離子銑削、毯覆式或選擇性蝕刻等)採用傳統成像技術(諸如TEM、SEM等)。舉例而言,透射電子顯微鏡(TEM)達成高解析度位準且能夠探測任意深度,但TEM需要樣品之破壞性分段。材料移除及量測之若干反覆通常提供遍及一三維結構量測臨界計量參數所需之資訊。然而,此等技術需要樣本破壞及長程序時間。完成此等類型之量測之複雜性及時間歸因於蝕刻及計量步驟之漂移而引入大的不準確度。另外,此等技術需要引入對位誤差之若干反覆。 為了進一步改良裝置效能,半導體產業繼續關注於垂直整合而非橫向按比例調整。因此,複雜、完全三維結構之精確量測對於確保可行性及持續按比例調整改良至關重要。未來計量應用歸因於愈來愈小之解析度要求、多參數相關性、愈來愈複雜之幾何結構(包含高高寬比結構)及不透明材料之愈來愈多的使用而存在計量挑戰。因此,用於改良臨界尺寸(CD)測量之方法及系統係所欲的。
本文描述用於使用透射小角度x光散射(T-SAXS)技術特性化高高寬比垂直製造裝置之尺寸及材料性質之方法及系統。在一些實例中,採用T-SAXS以量測高高寬比半導體結構之臨界尺寸、厚度、疊對及材料性質,包含(但不限於)自旋轉移力矩隨機存取記憶體(STT-RAM)、垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維快閃記憶體(3D-FLASH)、電阻性隨機存取記憶體(Re-RAM)及PC-RAM。 一T-SAXS量測涉及依相對於一樣本之一或多個定向使用一X光光束照明該樣本及針對各定向偵測所得繞射級之強度。基於T-SAXS之CD計量涉及藉由經經量測資料之一預定幾何模型之迴歸而自量測判定樣本之尺寸。 在一項態樣中,根據一取樣計畫依若干不同定向執行一特定檢測區域之T-SAXS量測。取樣計畫包含接近法向入射角較密集集中且依較遠離該法向入射角之定向之較不密集集中之定向之一非均勻間距。 在另一進一步態樣中,一計量系統經組態以產生一樣品之一經量測結構之一結構模型(例如,幾何模型、材料模型或組合的幾何及材料模型),自該結構模型產生包含至少一個幾何參數之一T-SAXS回應模型,且藉由使用T-SAXS回應模型執行T-SAXS量測資料之一擬合分析而解析至少一個樣品參數值。以此方式,經模擬T-SAXS信號與經量測資料之一比較實現諸如電子密度及元素識別及樣本之組合物之幾何以及材料性質之判定。 在進一步態樣中,基於依該入射x光光束相對於該量測目標之一單一定向執行之T-SAXS量測來判定一或多個所關注參數之值之一初始估計。該初始估計值實施為針對使用依多個定向自T-SAXS量測收集之量測資料之量測模型之一迴歸之該等所關注參數之起始值。以此方式,使用相對少量之運算工作量判定一所關注參數之一接近估計,且藉由將此接近估計實施為針對一更大資料集內之一迴歸之起始點,使用較少總體運算工作量獲得該所關注參數之一細化估計。 在一進一步態樣中,使用T-SAXS量測資料以基於該等經偵測繞射級之該等經量測強度產生一經量測結構之一影像。在一些實施例中,一般化一T-SAXS回應函數模型以描述自一通用電子密度網之散射。使此模型與經量測信號匹配同時約束此網中之模型化電子密度以實施連續及稀疏邊緣提供該樣本之一三維影像。 在一進一步態樣中,一計量系統經組態以產生針對組合的x光及光學量測分析之模型。 前述係發明內容且因此必然含有細節之簡化、概括及省略;因此,熟習此項技術者將瞭解,發明內容僅係闡釋性且不以任何方式限制。本文中所述之裝置及/或程序之其他態樣、發明特徵及優點將在本文中所陳述之非限制性詳細描述中變得顯而易見。
相關申請案之交叉參考 本專利申請案根據35 U.S.C. §119(e)規定主張2015年12月11日申請之標題為「X-ray Scattering for Vertically Manufactured Devices」之美國臨時專利申請案第62/266,447號之優先權,該案之標的物以全文引用的方式併入本文中。 現在將詳細參考本發明之背景實例及一些實施例,在隨附圖式中繪示其等之實例。 本發明描述用於使用透射小角度x光散射(T-SAXS)技術特性化高高寬比垂直製造裝置之尺寸及材料性質之方法及系統。採用此等系統及技術以量測與不同半導體製程相關聯之結構及材料特性。在一些實例中,採用T-SAXS以量測高高寬比半導體結構之臨界尺寸、厚度、疊對及材料性質,包含(但不限於)自旋轉移力矩隨機存取記憶體(STT-RAM)、三維NAND記憶體(3D-NAND)或垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維快閃記憶體(3D-FLASH)、電阻性隨機存取記憶體(Re-RAM)及相變隨機存取記憶體(PC-RAM)。 一T-SAXS量測涉及使用一X光光束照明一樣本及針對相對於樣本之一或多個入射角偵測所得繞射級之強度。基於T-SAXS之CD計量涉及藉由使用經量測資料之一預定幾何模型之迴歸而自量測判定樣本之尺寸。幾何模型包含若干(十的數量級)可調整參數且表示樣品之幾何形狀及光學性質。 在一項態樣中,在提供足夠解析度及穿透深度之入射角之一範圍內執行T-SAXS量測以透過高高寬比結構之整個深度特性化高高寬比結構。發明者已發現,當依照明x光光束相對於半導體晶圓之平面之一或多個非法向定向且尤其在接近法向入射之定向進行量測時以一強且獨有方式影響x光繞射信號。 高亮度T-SAXS之使用實現至目標之不透明區域中的高通量X光輻射穿透。使用T-SAXS之可量測幾何參數之實例包含孔徑、孔密度、線邊緣粗糙度、線寬度粗糙度、側壁角、輪廓、臨界尺寸、疊對、邊緣放置誤差及節距。一可量測材料參數之一實例包含電子密度。在一些實例中,T-SAXS實現小於10 nm之特徵以及其中需要幾何參數及材料參數的量測之先進半導體結構(諸如STT-RAM、V-NAND、DRAM、PC-RAM及Re-RAM)之量測。 圖1繪示用於根據本文中提出之例示性方法量測一樣品之特性之一計量工具100之一實施例。如圖1中展示,系統100可用於在安置於一樣品定位系統140上之一樣品101之一檢測區域102上方執行T-SAXS量測。在一些實施例中,檢測區域102具有80微米或更小之一光點大小。在一些實施例中,檢測區域102具有50微米或更小之一光點大小。在一些實施例中,檢測區域102具有40微米或更小之一光點大小。 在所描繪之實施例中,計量工具100包含經組態以產生適合於SAXS量測之x光輻射之一x光照明源110。在一些實施例中,x光照明系統110經組態以產生在0.01奈米與1奈米之間之波長。X光照明源110產生入射於樣品101之檢測區域102上之一x光光束117。 一般言之,可設想能夠在足以實現高處理量、線內度量之通量位準下產生高亮度x光之任何適合高亮度x光照明源供應用於T-SAXS量測之x光照明。在一些實施例中,一x光源包含使X光源能夠依不同可選擇波長遞送x光輻射之一可調諧單色器。 在一些實施例中,採用發射具有大於15 keV之光子能量之輻射之一或多個x光源以確保x光源依容許穿過整個裝置以及晶圓基板的充分透射之波長供應光。藉由非限制性實例,一粒子加速器源、一液體陽極源、一旋轉陽極源、一固定固體陽極源、一微聚焦源、一微聚焦旋轉陽極源及一逆康普頓(Compton)源之任何者可用作x光源110。在一個實例中,可設想可購自(美國)加利福尼亞州帕洛阿爾托市(Palo Alto)之Lyncean Technologies, Inc.之一逆康普頓源。逆康普頓源具有能夠在光子能量之一範圍內產生x光,藉此使x光源能夠依不同可選擇波長遞送x光輻射之一額外優點。 例示性x光源包含經組態以轟擊固體或液體目標以模擬x光輻射之電子束源。圖2描繪用於根據本文中提出之例示性方法量測一樣品之特性之一計量工具200。計量工具100及200之相同數字之元件類似。然而,在圖2中描繪之實施例中,x光照明源110係一基於液體金屬之x光照明系統。一液體金屬噴流119自一液體金屬容器111產生且收集於一液體金屬收集器112中。一液體金屬循環系統(未展示)將由收集器112收集之液體金屬返回至液體金屬容器111。液體金屬噴流119包含一或多個元素。藉由非限制性實例,液體金屬噴流119包含鋁、鎵、銦、錫、鉈及鉍之任何者。以此方式,液體金屬噴流119產生對應於其成分元素之x光線。在一項實施例中,液體金屬噴流包含鎵及銦合金。在一些實施例中,x光照明系統110經組態以產生在0.01奈米與1奈米之間之波長。一電子束源113 (例如,電子槍)產生由電子光學器件114引導至液體金屬噴流119之一電子流118。適合電子光學器件114包含用聚焦電子束且將束引導於液體金屬噴流處之電磁鐵、永久磁鐵或電磁鐵及永久磁鐵之一組合。液體金屬噴流119及電子流118之重合產生入射於樣品101之檢測區域102上之一x光光束117。 在一項實施例中,入射x光光束117在24.2 keV之銦kα線處。使用用於T-SAXS量測之多層x光光學器件將x光光束向下準直至小於1毫弧度發散。 在一些實施例中,在不使用定位於x光源與所量測樣品之間之一螢幕之情況下達成本文中描述之x光散射量測。在此等實施例中,在入射角、多個波長或兩者之一組合之一範圍內之繞射級之經量測強度提供足夠資訊,以解析經量測結構之一所要材料性質(例如,複折射率、電子密度或吸收率)之一分佈圖(即,影像)。然而,在一些其他實例中,一針孔或另一孔徑定位於處於x光源與所量測樣品之間之一另外不透明螢幕上以改良x光光束之準直。針對孔徑之若干位置量測繞射圖案之強度。在一些其他實施例中,使用具有一偽隨機孔徑圖案之一螢幕且針對多個螢幕量測繞射圖案。亦可設想此等方法提供額外資訊以解析經量測結構之所要材料性質之三維分佈。 在2011年4月19日頒予KLA-Tencor Corp.之美國專利第7,929,667號中描述用於產生高亮度液體金屬x光照明之方法及系統,該專利之全文以引用的方式併入本文中。 如圖1中描繪,x光光學器件115塑形入射x光光束117且將入射x光光束117引導至樣品101。在一些實例中,x光光學器件115包含一x光單色器以使入射於樣品101上之x光光束單色化。在一個實例中,採用一晶體單色器(諸如一Loxley-Tanner-Bowen單色器)以使x光輻射之光束單色化。在一些實例中,x光光學器件115使用多層x光光學器件將x光光束117準直或聚焦至樣品101之檢測區域102上至小於1毫弧度發散。在一些實施例中,x光光學器件115包含一或多個x光準直鏡、x光孔徑、x光光束光闌、折射x光光學器件、繞射光學器件(諸如波帶片)、鏡面x光光學器件(諸如掠入射橢球面鏡)、多毛細管光學器件(諸如中空毛細管x光波導)、多層光學器件或系統或其等之任何組合。在美國專利公開案第2015/0110249號中描述進一步細節,該案之內容以全文引用的方式併入本文中。 X光偵測器116收集自樣品101散射之x光輻射125且根據一T-SAXS量測模態產生指示對入射x光輻射靈敏之樣品101之性質之一輸出信號126。在一些實施例中,由x光偵測器116收集經散射x光125,同時樣品定位系統140定位且定向樣品101以產生角度解析之經散射x光。在一些實施例中,x光偵測器116能夠解析一或多個x光光子能量且針對各x光能量分量產生指示樣品之性質之信號。在一些實施例中,x光偵測器116包含一CCD陣列、一微通道板、一光電二極體陣列、一微帶比例計數器、一充氣比例計數器、一閃爍器或一螢光材料之任何者。在一些實施例中,x光偵測器116包含偵測所偵測光子之位置及數目之一單一光子計數偵測器。 在一些實施例中,x光偵測器116維持於與樣品101相同之大氣環境(例如,氣體沖洗環境)中。然而,在一些實施例中,樣品101與x光偵測器116之間之距離係長的(例如,大於1米)。在此等實施例中,環境擾動(例如,空氣紊流)給經偵測信號帶來雜訊。因此,在一些實施例中,一或多個x光偵測器維持於藉由一真空窗而與樣品(例如,樣品101)分離之一局部真空環境中。 圖3係繪示在一項實施例中含有x光偵測器116之一真空腔室160之一圖。在一較佳實施例中,真空腔室160包含樣品101與x光偵測器116之間之路徑之一實質部分。真空腔室160之一開口由真空窗161覆蓋。真空窗161可由實質上透射x光輻射之任何適合材料(例如,鈹)構成。經散射x光輻射125穿過真空窗161,進入真空腔室160且入射於x光偵測器116上。在真空腔室160內維持一適合真空環境162以最小化對經散射x光輻射125之擾動。 計量工具100亦包含用於擷取由SAXS偵測器116產生之信號且至少部分基於經擷取信號判定樣品之性質之一運算系統130。如圖1中繪示,運算系統130通信耦合至SAXS偵測器116。 在一T-SAXS量測中,一高高寬比垂直製造結構將一準直x光光束繞射成諸繞射級。各繞射級在一特定可預測方向上行進。繞射級之角間距與樣品之晶格常數除以波長成反比。繞射級可由放置於距晶圓某個距離處之一偵測器陣列個別偵測。偵測器之各像素輸出指示命中像素之光子之數目之一信號。組合屬於相同繞射級之像素之輸出。繞射級之強度為I(m,n,q,j,λ)形式。{m,n}係繞射級之整數指數。{q,j}係入射光束之方位角及仰角(即,入射主光相對於固定至晶圓之一座標系統之極座標)。λ係入射x光之波長。 收集依據相對於晶圓表面法線之x光入射角而變化之經繞射輻射之強度之量測。多個繞射級中含有之資訊通常在考量中之各模型參數之間獨有。因此,x光散射產生具有小誤差及減小之參數相關性的針對所關注參數之值的估計結果。 在另一進一步態樣中,運算系統130經組態以產生一樣品之一經量測結構之一結構模型(例如,幾何模型、材料模型或組合的幾何及材料模型),產生包含來自結構模型之至少一個幾何參數之一T-SAXS回應模型且藉由使用T-SAXS回應模型執行T-SAXS量測資料之一擬合分析而解析至少一個樣品參數值。使用分析引擎以比較經模擬T-SAXS信號與經量測資料,藉此容許判定幾何以及材料性質(諸如樣本之電子密度)。在圖1中描繪之實施例中,運算系統130經組態為一模型建立及分析引擎,該模型建立及分析引擎經組態以實施如本文中描述之模型建立及分析功能性。 圖4係繪示由運算系統130實施之一例示性模型建立及分析引擎150之一圖。如圖4中描繪,模型建立及分析引擎150包含產生一樣品之一經量測結構之一結構模型152之一結構模型建立模組151。在一些實施例中,結構模型152亦包含樣品之材料性質。接收結構模型152作為至T-SAXS回應函數建立模組153之輸入。T-SAXS回應函數建立模組153至少部分基於結構模型152產生一T-SAXS回應函數模型155。在一些實例中,T-SAXS回應函數模型155係基於X光形狀因數
Figure 02_image003
其中F係形狀因數,q係散射向量,且ρ(r)係球面座標中樣品之電子密度。接著藉由以下給定X光散射強度
Figure 02_image005
接收T-SAXS回應函數模型155作為至擬合分析模組157之輸入。擬合分析模組157比較模型化T-SAXS回應與對應經量測資料以判定樣品之幾何以及材料性質。 在一些實例中,藉由最小化一卡方值而達成模型化資料至實驗資料之擬合。舉例而言,針對T-SAXS量測,一卡方值可定義為
Figure 02_image007
其中,
Figure 02_image009
係在「通道」j中之經量測T-SAXS信號126,其中指數j描述一組系統參數,諸如繞射級、能量、角座標等。
Figure 02_image011
係針對一組結構(目標)參數
Figure 02_image013
評估之針對「通道」j之模型化T-SAXS信號Sj ,其中此等參數描述幾何(CD、側壁角、疊對等)及材料(電子密度等)。
Figure 02_image015
係與第j個通道相關聯之不確定性。NSAXS 係在x光計量中之通道之總數目。L係特性化計量目標之參數之數目。 方程式(3)假定與不同通道相關聯之不確定性不相關。在其中與不同通道相關聯之不確定性相關之實例中,可計算不確定性之間之一協方差。在此等實例中,針對T-SAXS量測之一卡方值可表達為
Figure 02_image017
其中
Figure 02_image019
係SAXS通道不確定性之協方差矩陣且T表示轉置。 在一些實例中,擬合分析模組157藉由使用T-SAXS回應模型155對T-SAXS量測資料126執行一擬合分析而解析至少一個樣品參數值。在一些實例中,最佳化
Figure 02_image021
。 如前文描述,藉由最小化卡方值而達成T-SAXS資料之擬合。然而,一般言之,可藉由其他函數達成T-SAXS資料之擬合。 T-SAXS計量資料之擬合對於提供對所關注幾何及/或材料參數之靈敏度之任何類型之T-SAXS技術係有利的。樣品參數可係確定性(例如,CD、SWA等)或統計性(例如,側壁粗糙度之均方根高度、粗糙度相關性長度等),只要使用描述與樣品之T-SAXS光束互動之適當模型。 一般言之,運算系統130經組態以採用即時臨界尺寸(RTCD)即時存取模型參數,或其可存取預運算模型庫以判定與樣品101相關聯之至少一個樣品參數值之一值。一般言之,可使用某個形式之CD引擎以評估一樣品之經指派CD參數同與經量測樣品相關聯之CD參數之間之差異。在2010年11月2日頒予KLA-Tencor Corp.之美國專利第7,826,071號中描述用於運算樣品參數值之例示性方法及系統,該專利之全文以引用的方式併入本文中。 在一些實例中,模型建立及分析引擎150藉由側饋分析、前饋分析及平行分析之任何組合而改良經量測參數之準確度。側饋分析指代在相同樣品之不同區域上獲取多個資料集且將自第一資料集判定之共同參數傳遞至第二資料集上以用於分析。前饋分析指代在不同樣品上獲取資料集且使用一逐步複製精確參數前饋方法將共同參數正向傳遞至後續分析。平行分析指代將一非線性擬合方法平行或同時應用至多個資料集,其中在擬合期間耦合至少一個共同參數。 多工具及結構分析指代基於迴歸、一查找表(即,「庫」匹配)或多個資料集之另一擬合程序之一前饋、側饋或平行分析。在2009年1月13日頒予KLA-Tencor Corp.之美國專利第7,478,019號中描述用於多工具及結構分析之例示性方法及系統,該專利之全文以引用的方式併入本文中。 在一個進一步態樣中,計量工具100包含經組態以實施如本文中描述之光束控制功能性之一運算系統(例如,運算系統130)。在圖1中描繪之實施例中,運算系統130經組態為可操作以控制諸如入射SAXS照明光束117之強度、發散、光點大小、偏光、光譜及定位之任何照明性質之一光束控制器。 如圖1中繪示,運算系統130通信耦合至SAXS偵測器116。運算系統130經組態以自SAXS偵測器116接收量測資料126。在一個實例中,量測資料126包含樣品之經量測SAXS回應之一指示(即,繞射級之強度)。基於經量測SAXS回應在偵測器116之表面上之分佈,藉由運算系統130判定SAXS照明光束117入射於樣品101上之位置及區域。在一個實例中,藉由運算系統130應用圖案識別技術以基於量測資料126判定SAXS照明光束117入射於樣品101上之位置及區域。在一些實例中,運算系統130將命令信號137傳達至照明光學器件115以選擇所要照明波長且重新引導且重新塑形SAXS照明光束117,使得入射SAXS照明光束117到達相對於樣品101之所要位置及角定向。在一些其他實例中,運算系統130將一命令信號傳達至晶圓定位系統140以定位且定向樣品101,使得入射SAXS照明光束117到達相對於樣品101之所要位置及角定向。在一些其他實例中,運算系統130將一命令信號137傳達至X光源110以選擇所要照明波長且重新引導且重新塑形SAXS照明光束117,使得入射SAXS照明光束117到達相對於樣品101之所要位置及角定向。 在一項態樣中,根據一取樣計畫依若干不同定向執行一特定檢測區域之T-SAXS量測。在一個進一步態樣中,取樣計畫包含接近法向入射角較密集集中(例如,在距法向於晶圓表面四度內之一範圍內)且依較遠離法向入射角之定向較不密集集中(例如,在距法向角十度與四十度之間之一範圍內)之定向之一非均勻間距。 在一些實施例中,可期望依由繞由圖1中描繪之座標系統146指示之x軸及y軸之旋轉描述之不同定向執行量測。此藉由擴展可用於分析之資料集之數目及多樣性以包含各種大角度、平面外定向而增加經量測參數之精確度及準確度且減少參數之間之相關性。量測具有一較深、較多樣資料集之樣品參數亦減少參數之間之相關性且改良量測準確度。舉例而言,依一法向定向,SAXS能夠解析一特徵之臨界尺寸,但對於一特徵之側壁角及高度在很大程度上不靈敏。然而,藉由收集在平面外角位置之一廣範圍內之量測資料,可解析一特徵之側壁角及高度。 如圖1中繪示,計量工具100包含經組態以在相對於SAXS散射計之平面外角定向之一大範圍內對準樣品101且定向樣品101兩者之一樣品定位系統140。換言之,樣品定位系統140經組態以使樣品101繞與樣品101之表面平面內對準之一或多個旋轉軸在一大角度範圍內旋轉。在一些實施例中,樣品定位系統140經組態以使樣品101繞與樣品101之表面平面內對準之一或多個旋轉軸在至少90度之一範圍內旋轉。在一些實施例中,樣品定位系統經組態以使樣品101繞與樣品101之表面平面內對準之一或多個旋轉軸在至少60度之一範圍內旋轉。在一些其他實施例中,樣品定位系統經組態以使樣品101繞與樣品101之表面平面內對準之一或多個旋轉軸在至少1度之一範圍內旋轉。以此方式,藉由計量系統100在樣品101之表面上之任何數目個位置上方收集樣品101之角度解析量測。在一個實例中,運算系統130將指示樣品101之所要位置之命令信號傳達至樣品定位系統140之運動控制器145。作為回應,運動控制器145產生命令信號至樣品定位系統140之各種致動器以達成樣品101之所要定位。 藉由非限制性實例,如圖1中繪示,樣品定位系統140包含一邊緣夾持卡盤141以將樣品101固定附接至樣品定位系統140。一旋轉致動器142經組態以使邊緣夾持卡盤141及經附接樣品101相對於一周邊框架143旋轉。在所描繪之實施例中,旋轉致動器142經組態以使樣品101繞圖1中繪示之座標系統146之x軸旋轉。如圖1中描繪,樣品101繞z軸之一旋轉係樣品101之一平面內旋轉。繞x軸及y軸(未展示)之旋轉係相對於計量系統100之計量元件有效傾斜樣品之表面之樣品101之平面外旋轉。雖然未繪示一第二旋轉致動器,但第二旋轉致動器經組態以使樣品101繞y軸旋轉。一線性致動器144經組態以在x方向上平移周邊框架143。另一線性致動器(未展示)經組態以在y方向上平移周邊框架143。以此方式,樣品101之表面上之每一位置可用於在平面外角位置之一範圍內之量測。舉例而言,在一項實施例中,在相對於樣品101之法向定向-45度至+45度之一範圍內之若干角度增量內量測樣品101之一位置。 一般言之,樣品定位系統140可包含機械元件之任何適合組合以達成所要線性及角度定位效能,包含(但不限於)測角器台、六足台、角度台及線性台。 在進一步態樣中,基於依入射x光光束相對於量測目標之一單一定向執行之T-SAXS量測來判定一或多個所關注參數之值之一初始估計。初始估計值實施為針對使用依多個定向自T-SAXS量測收集之量測資料之量測模型之一迴歸之所關注參數之起始值。以此方式,使用相對少量之運算工作量判定一所關注參數之一接近估計,且藉由將此接近估計實施為針對一大得多的資料集內之一迴歸之起始點,使用較少總體運算工作量獲得所關注參數之一細化估計。 在一進一步態樣中,使用T-SAXS量測資料以基於經偵測繞射級之經量測強度產生一經量測結構之一影像。在一些實施例中,一般化一T-SAXS回應函數模型以描述自一通用電子密度網之散射。使此模型與經量測信號匹配,同時約束此網中之模型化電子密度以實施連續及稀疏邊緣提供樣本之一三維影像。 雖然基於模型之幾何參數反演對於基於T-SAXS量測之臨界尺寸(CD)計量較佳,但自相同T-SAXS量測資料產生之樣品之一圖可用於當經量測樣品偏離幾何模型之假定時識別且校正模型誤差。 在一些實例中,比較影像與由相同散射測量量測資料之一基於模型之幾何參數反演估計之結構特性。使用差異以更新經量測結構之幾何模型且改良量測效能。收斂於一準確參數量測模型之能力在量測積體電路以控制、監測且故障查找其等之製程時尤其重要。 在一些實例中,影像係電子密度、吸收性、複折射率或此等材料特性之一組合之一二維(2-D)圖。在一些實例中,影像係電子密度、吸收性、複折射率或此等材料特性之一組合之一三維(3-D)圖。使用相對少的物理約束產生該圖。在一些實例中,直接自所得圖估計一或多個所關注參數,諸如臨界尺寸(CD)、側壁角(SWA)、疊對、邊緣放置誤差、節距游動(pitch walk)等。在一些其他實例中,圖可用於當樣本幾何形狀或材料偏離至由用於基於模型之CD量測之一參數結構模型所設想之預期值之範圍之外時對晶圓程序除錯。在一個實例中,使用圖與由參數結構模型根據其之經量測參數預測之結構之一演現之間之差異,以更新參數結構模型且改良其之量測效能。在美國專利公開案第2015/0300965號中描述進一步細節,該案之內容以全文引用的方式併入本文中。在美國專利公開案第2015/0117610號中描述額外細節,該案之內容以全文引用的方式併入本文中。 在一進一步態樣中,採用模型建立及分析引擎150以產生針對組合的X光及光學量測分析之模型。在一些實例中,光學模擬係基於(例如)嚴格耦合波分析(RCWA),其中求解馬克士威(Maxwell)方程式以計算光學信號,諸如針對不同偏光之反射率、橢偏測量參數、相位變化等。 基於使用一組合的幾何參數化回應模型之依複數個不同入射角之x光繞射級之經偵測強度及經偵測光學強度之一組合擬合分析來判定一或多個所關注參數之值。藉由可整合或可未整合一x光計量系統(諸如分別在圖1及圖2中描繪之系統100及200)機械的一光學計量工具量測光學強度。在美國專利公開案第2014/0019097號及美國專利公開案第2013/0304424號中描述進一步細節,該等案之各者之內容以全文引用的方式併入本文中。 如本文中描述,依照明x光光束相對於半導體晶圓之表面法線之多個定向執行T-SAXS量測。藉由晶圓101相對於x光照明光束之任何兩個角旋轉描述各定向或反之亦然。在一個實例中,可關於固定至晶圓之一座標系統描述定向。圖6描繪依由角度f及θ描述之一特定定向入射於晶圓101上之x光照明光束117。座標系XYZ固定至計量系統且座標系X’Y’Z’固定至晶圓101。Z與法向於晶圓101之表面之一軸對準。X及Y在與晶圓101之表面對準之一平面中。類似地,Z’與法向於晶圓101之表面之一軸對準,且X’及Y’在與晶圓101之表面對準之一平面中。如圖6中描繪,x光照明光束117位於X’Z’平面內。角度f描述x光照明光束117相對於X’Z’平面中之晶圓之表面法線之定向。此外,角度θ描述X’Z’平面相對於XZ平面之定向。θ及φ一起獨有界定x光照明光束117相對於晶圓101之表面之定向。在此實例中,由繞法向於晶圓101之表面之一軸(即,Z軸)之一旋轉及繞與晶圓101之表面對準之一軸(即,Y’軸)之一旋轉描述x光照明光束相對於晶圓101之表面之定向。在一些其他實例中,由繞與晶圓101之表面對準之一第一軸及與晶圓101之表面對準且垂直於第一軸之另一軸之一旋轉描述x光照明光束相對於晶圓101之表面之定向,如參考圖1描述。 在一些實施例中,如本文中描述之計量目標特性化T-SAXS量測定位於在被量測晶圓之一刻劃道內。在此等實施例中,計量目標經定大小以配合於刻劃道之寬度內。在一些實例中,刻劃道寬度小於80微米。在一些實例中,刻劃道小於50微米。一般言之,半導體製造中採用之刻劃道之寬度趨於較小。 在一些實施例中,如本文中描述之計量目標特性化T-SAXS量測定位於在被量測晶圓之一作用晶粒區域內且係一功能積體電路之一部分(例如,記憶體、影像感測器、邏輯裝置等)。 一般言之,較佳照明光束光點大小緊密匹配被量測計量目標之橫向尺寸,以最小化來自被量測計量目標周圍之結構之污染信號。在一些實施例中,被量測計量目標在任何橫向尺寸中小於70微米。在一些實施例中,被量測計量目標在任何橫向尺寸中小於50微米。在一些實施例中,被量測計量目標在任何橫向尺寸中小於40微米。在一些實施例中,被量測計量目標在任何橫向尺寸中小於10微米。在一些實施例中,被量測計量目標藉由大於1微米之一總體高度(或等效地,深度)特性化。在一些實施例中,被量測計量目標藉由大於2微米之一總體高度(或等效地,深度)特性化。 一般言之,一計量目標藉由定義為計量目標之一最大高度尺寸(即,法向於晶圓表面之尺寸)除以一最大橫向範圍尺寸(即,與晶圓表面對準之尺寸)之一高寬比特性化。在一些實施例中,被量測計量目標具有至少20之一高寬比。在一些實施例中,計量目標具有至少40之一高寬比。 圖5A至圖5C分別描繪以本文中描述之方式經受量測之一典型3D快閃記憶體裝置190之一等角視圖、一俯視圖及一橫截面視圖。記憶體裝置190之總高度(或等效地,深度)在自1微米至若干微米之範圍內。記憶體裝置190係一垂直製造裝置。一垂直製造裝置(諸如記憶體裝置190)將一習知平坦記憶體裝置基本上轉動90度,使位元線及單元串垂直定向(垂直於晶圓表面)。為了提供足夠記憶體容量,大量不同材料之交替層沈積於晶圓上。此需要圖案化程序針對具有100奈米或更少之一最大橫向範圍之結構適當執行至若干微米之深度。因此,25比1或50比1之高寬比並非罕見。 圖7描繪一高高寬比孔結構陣列310之一俯視圖。如圖7中描繪,沿著平面311、312、313及314 (自圖向內及向外延伸)最緊密圖案化孔結構陣列。在一些實施例中,較佳依入射x光照明光束相對於位於其中最緊密圖案化一高高寬比結構陣列之平面內之被量測晶圓之表面之定向執行如本文中描述之高高寬比結構之量測。在圖7中描繪之實例中,較佳將x光照明提供至在其中最緊密圖案化孔結構陣列之平面311及312及313及314內之孔結構陣列310。 圖8A描繪一理想高高寬比孔結構320之一側視圖。圖8B描繪一傾斜孔結構321之一側視圖。圖8C描繪一漸進傾斜孔結構322之一側視圖,其中傾斜度隨深度而漸進增加。在許多實例中,不期望孔結構321及322。在一些實施例中,類似於孔結構321及322之孔結構藉由如本文中描述之T-SAXS量測特性化。在一個實例中,孔結構321藉由一傾斜角度參數α特性化。此外,依相對於表面法線之一角度f且依相反角度-f將x光照明光束117提供至孔結構321,如(例如)參考圖6描述。在一些實施例中,在此兩個照明案例中發生之經量測T-SAX信號之差異提供足夠信號資訊以準確估計傾斜角度α。 在另一實例中,孔結構322之分段藉由若干傾斜角度參數α1 、α2 及α3 特性化。類似地,按相對於表面法線之一角度f且按相反角度-f將x光照明光束117提供至孔結構322,如(例如)參考圖6描述。在一些實施例中,在此兩個照明案例中發生之經量測T-SAXS信號之差異提供足夠信號資訊以準確估計傾斜角度α1 、α2 及α3 。 應認知,可由一單一電腦系統130或替代地一多電腦系統130實行貫穿本發明描述之各種步驟。再者,系統100之不同子系統(諸如樣品定位系統140)可包含適合於實行本文中描述之步驟之至少一部分之一電腦系統。因此,不應將前述描述解譯為對本發明之一限制,而僅為一闡釋。此外,一或多個運算系統130可經組態以執行本文中描述之任何方法實施例之任何(若干)其他步驟。 另外,電腦系統130可以此項技術中已知之任何方式通信耦合至SAXS偵測器116及SAXS照明光學器件115。舉例而言,一或多個運算系統130可耦合至分別與SAXS偵測器116及SAXS照明光學器件115相關聯之運算系統。在另一實例中,可藉由耦合至電腦系統130之一單一電腦系統直接控制SAXS偵測器116及SAXS照明光學器件115之任何者。 電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如,SAXS偵測器116及SAXS照明光學器件115及類似者)接收及/或擷取資料或資訊。以此方式,傳輸媒體可充當電腦系統130與系統100之其他子系統之間之一資料鏈路。 計量系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或擷取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可充當電腦系統130與其他系統(例如,記憶體板上計量系統100、外部記憶體或外部系統)之間之一資料鏈路。舉例而言,運算系統130可經組態以經由一資料鏈路而自一儲存媒體(即,記憶體132或180)接收量測資料(例如,信號126)。舉例而言,使用任何SAXS偵測器116之一光譜儀獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如,記憶體132或180)中。在此方面,可自板上記憶體或自一外部記憶體系統匯入量測結果。再者,電腦系統130可經由一傳輸媒體而將資料發送至其他系統。舉例而言,由電腦系統130判定之樣品參數值170可儲存於一永久或半永久記憶體裝置(例如,記憶體180)中。在此方面,可將量測結果匯出至另一系統。 運算系統130可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、平行處理器或此項技術中已知之任何其他裝置。一般言之,術語「運算系統」可廣泛地定義為涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。 可經由諸如一導線、電纜或無線傳輸鏈路之一傳輸媒體傳輸實施諸如本文中描述之方法之方法之程式指令134。舉例而言,如圖1中繪示,經由匯流排133而將儲存於記憶體132中之程式指令傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。 在一些實施例中,實施如本文中描述之一散射測量分析作為一製程工具之部分。製程工具之實例包含(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。以此方式,使用一T-SAXS分析之結果以控制一製程。在一個實例中,將自一或多個目標收集之T-SAXS量測資料發送至一製程工具。如本文中描述般分析T-SAXS量測資料且結果用於調整製程工具之操作。 可使用如本文中描述之散射測量量測以判定各種半導體結構之特性。例示性結構包含(但不限於) FinFET、低維結構(諸如奈米線或石墨烯)、亞10 nm結構、微影結構、基板穿孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH、MRAM)及高高寬比記憶體結構。例示性結構特性包含(但不限於)幾何參數(諸如線邊緣粗糙度、線寬度粗糙度、孔徑、孔密度、側壁角、輪廓、臨界尺寸、節距)及材料參數(諸如電子密度、組合物、晶粒結構、形態、應力、應變及元素識別)。 圖9繪示適合於由本發明之計量系統100及200實施之一方法300。在一項態樣中,應認知,可經由由運算系統130之一或多個處理器執行之一預程式化演算法實行方法300之資料處理方塊。雖然在計量系統100及200之背景內容中提出以下描述,但本文中應認知,計量系統100及200之特定結構態樣不表示限制且應僅解譯為闡釋性。 在方塊301中,依相對於形成於一晶圓表面上之一量測目標之複數個不同定向使用x光輻射之一聚焦光束照明量測目標。量測目標包含一或多個高高寬比結構。另外,複數個不同定向在接近法向於晶圓表面較密集集中且依較遠離法向於晶圓表面之定向較不密集集中。 在方塊302中,回應於依各定向之x光輻射之入射光束而偵測各與自量測目標散射之一定量之輻射之一或多個繞射級相關聯之一或多個強度。 在方塊303中,基於依複數個定向之繞射級之經偵測強度判定與量測目標之一模型相關聯之一所關注參數之一值。 如本文中描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等)、任何兩個或兩個以上結構之間之一臨界尺寸(例如,兩個結構之間之距離)及兩個或兩個以上結構之間之一位移(例如,疊對光柵結構之間之疊對位移等)。結構可包含三維結構、圖案化結構、疊對結構等。 如本文中描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。 如本文中描述,術語「計量系統」包含至少部分採用以在任何態樣中特性化一樣品之任何系統,包含臨界尺寸應用及疊對計量應用。然而,此等技術術語不限制如本文中描述之術語「計量系統」之範疇。另外,本文中描述之計量系統可經組態以量測圖案化晶圓及/或未經圖案化晶圓。計量系統可組態為一LED檢測工具、邊緣檢測工具、背側檢測工具、巨集檢測工具或多模式檢測工具(涉及同時來自一或多個平台之資料)及受益於本文中描述之量測技術之任何其他計量或檢測工具。 本文中針對可用於處理一樣品之一半導體處理系統(例如,一檢測系統或一微影系統)描述各項實施例。術語「樣品」在本文中用以指代一晶圓、一倍縮光罩或可藉由此項技術中已知之構件處理(例如,印刷或檢測缺陷)之任何其他樣本。 如本文中使用,術語「晶圓」大體上指代由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板通常可在半導體製造廠中找到及/或處理。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「經圖案化」或「未經圖案化」。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。 一「倍縮光罩」可為處於一倍縮光罩製程之任何階段之一倍縮光罩,或為可能或可能未經釋放以於一半導體製造廠中使用之一完成倍縮光罩。一倍縮光罩或一「遮罩」大體上定義為具有形成於其上且以一圖案組態之實質上不透明區域之一實質上透射基板。基板可包含(例如)一玻璃材料,諸如非晶SiO2 。可在一微影程序之一曝光步驟期間將一倍縮光罩安置於一覆蓋有光阻劑之晶圓上方,使得可將倍縮光罩上之圖案轉印至光阻劑。 形成於一晶圓上之一或多個層可經圖案化或未經圖案化。例如,一晶圓可包含各具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理最終可導致完成裝置。許多不同類型的裝置可形成於一晶圓上,且如本文中使用之術語晶圓意欲涵蓋其上製造此項技術中已知之任何類型的裝置之一晶圓。 在一或多項例示性實施例中,所描述之功能可實施於硬體、軟體、韌體或其等之任何組合中。若在軟體中實施,則功能可作為一或多個指令或程式碼儲存於一電腦可讀媒體上或經由該電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,包含促進一電腦程式自一位置至另一位置之傳送之任何媒體。一儲存媒體可為可藉由一通用電腦或專用電腦存取之任何可用媒體。舉例而言(且非限制),此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於載送或儲存呈指令或資料結構之形式之所要程式碼構件且可藉由一通用電腦或專用電腦或一通用或專用處理器存取之任何其他媒體。再者,任何連接可被適當地稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之定義中。如本文中使用,磁碟及光碟包含光碟(CD)、雷射光碟、XRF碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地重現資料而光碟用雷射光學地重現資料。上述組合亦應包含於電腦可讀媒體之範疇內。 儘管上文為指導目的而描述某些特定實施例,但本專利文獻之教示具有一般適用性且不限於上文中描述之特定實施例。因此,在不脫離如申請專利範圍中闡述之本發明之範疇之情況下,可實踐所描述之實施例之各種特徵之各種修改、調適及組合。
100‧‧‧計量工具/計量系統 101‧‧‧樣品 102‧‧‧檢測區域 110‧‧‧x光照明源 111‧‧‧液體金屬容器 112‧‧‧液體金屬收集器 113‧‧‧電子束源 114‧‧‧電子光學器件 115‧‧‧x光光學器件 116‧‧‧x光偵測器 117‧‧‧x光照明光束 118‧‧‧電子流 119‧‧‧液體金屬噴流 125‧‧‧x光輻射/經散射x光 126‧‧‧輸出信號/量測資料 130‧‧‧運算系統 131‧‧‧處理器 132‧‧‧記憶體 133‧‧‧匯流排 134‧‧‧程式指令 137‧‧‧命令信號 140‧‧‧樣品定位系統 141‧‧‧邊緣夾持卡盤 142‧‧‧旋轉致動器 143‧‧‧周邊框架 144‧‧‧線性致動器 145‧‧‧運動控制器 146‧‧‧座標系統 150‧‧‧模型建立及分析引擎 151‧‧‧結構模型建立模組 152‧‧‧結構模型 153‧‧‧T-SAXS回應函數建立模組 155‧‧‧T-SAXS回應函數模型 157‧‧‧擬合分析模組 160‧‧‧真空腔室 161‧‧‧真空窗 162‧‧‧真空環境 170‧‧‧樣品參數值 180‧‧‧記憶體 190‧‧‧記憶體裝置 200‧‧‧計量工具/計量系統 300‧‧‧方法 301‧‧‧方塊 302‧‧‧方塊 303‧‧‧方塊 310‧‧‧高高寬比孔結構陣列 311‧‧‧平面 312‧‧‧平面 313‧‧‧平面 314‧‧‧平面 320‧‧‧理想高高寬比孔結構 321‧‧‧傾斜孔結構 322‧‧‧漸進傾斜孔結構 θ‧‧‧角度 f‧‧‧角度 -f‧‧‧角度f之相反角度 α‧‧‧傾斜角度參數 α1‧‧‧傾斜角度參數 α2‧‧‧傾斜角度參數 α3‧‧‧傾斜角度參數
圖1係繪示經組態以根據本文中描述之方法執行透射小角度x光散射測量(T-SAXS)量測之一計量系統100之一圖。 圖2係繪示經組態以根據本文中描述之方法執行T-SAXS量測之另一實施例中之一計量系統200之一圖。 圖3係繪示在與樣品101分離之一真空環境162中含有之計量系統100及200之一x光偵測器116之一圖。 圖4係繪示經組態以根據本文中描述之方法基於T-SAXS資料解析樣品參數值之一模型建立及分析引擎150之一圖。 圖5A至圖5C分別描繪以本文中描述之方式經受量測之一典型3D快閃記憶體裝置190之一等角視圖、一俯視圖及一橫截面視圖。 圖6描繪依由角度f及θ描述之一特定定向入射於晶圓101上之x光照明光束117。 圖7描繪一高高寬比孔結構陣列310之一俯視圖。 圖8A描繪一理想高高寬比孔結構320之一側視圖。 圖8B描繪一傾斜孔結構321之一側視圖。 圖8C描繪一漸進傾斜孔結構322之一側視圖,其中傾斜度隨深度而漸進增加。 圖9描繪繪示基於T-SAXS量測而量測高高寬比結構之一例示性方法300之一流程圖。
100‧‧‧計量工具/計量系統
101‧‧‧樣品
102‧‧‧檢測區域
110‧‧‧x光照明源
115‧‧‧x光光學器件
116‧‧‧x光偵測器
117‧‧‧x光照明光束
125‧‧‧x光輻射/經散射x光
126‧‧‧輸出信號/量測資料
130‧‧‧運算系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
137‧‧‧命令信號
140‧‧‧樣品定位系統
141‧‧‧邊緣夾持卡盤
142‧‧‧旋轉致動器
143‧‧‧周邊框架
144‧‧‧線性致動器
145‧‧‧運動控制器
146‧‧‧座標系統

Claims (21)

  1. 一種計量系統,其包括:一x光照明源,其經組態以產生一定量之x光輻射;一x光照明光學器件子系統,其經組態以依相對於形成於一晶圓表面上之一量測目標之複數個不同定向(orientations)使用該一定量之x光輻射之一聚焦光束照明該量測目標,其中該量測目標包含一或多個結構,且其中該複數個不同定向接近法向於該晶圓表面較密集集中且依較遠離法向於該晶圓表面之定向較不密集集中;一x光偵測器,其經組態以回應於依各定向之x光輻射之該入射光束而偵測各與自該量測目標散射之一定量之輻射之一或多個繞射級相關聯之一或多個強度;及一運算系統,其經組態以基於依該複數個不同定向之該等繞射級之該等經偵測強度判定與該量測目標之一模型相關聯之一所關注參數之一值。
  2. 如請求項1之計量系統,其中該所關注參數係一形狀參數。
  3. 如請求項1之計量系統,其中該一或多個結構具有至少1微米之一總體深度。
  4. 如請求項3之計量系統,其中該一或多個結構係一自旋轉移力矩隨機存取記憶體(STT-RAM)、一三維NAND記憶體(3D-NAND)、一動態隨機 存取記憶體(DRAM)、一三維快閃記憶體(3D-FLASH)、電阻性隨機存取記憶體(Re-RAM)及一相變隨機存取記憶體(PC-RAM)之任何者。
  5. 如請求項1之計量系統,其中該一或多個結構具有至少20之一高寬比,其中該高寬比定義為一最大高度尺寸除以一最大橫向範圍尺寸。
  6. 如請求項1之計量系統,其中該一或多個結構包括不同材料之交替層。
  7. 如請求項1之計量系統,其中該x光照明源包含一液體金屬噴流x光照明源、一固體陽極x光照明源及一逆康普頓x光照明源之任何者。
  8. 如請求項1之計量系統,其中該量測目標定位於一刻劃道區域內或一作用晶粒區域內。
  9. 如請求項1之計量系統,其中該判定該所關注參數涉及使用一幾何參數化回應模型之該等繞射級之該等經偵測強度之一擬合分析。
  10. 如請求項9之計量系統,其中該運算系統進一步經組態以基於依該複數個不同定向之該等繞射級之該等經偵測強度判定該量測目標之一多維影像。
  11. 如請求項10之計量系統,其中該運算系統進一步經組態以基於該量測目標之該影像與該所關注參數之間之一差異修改該量測目標之該幾何參數 化回應模型。
  12. 如請求項1之計量系統,其中該判定該所關注參數之該值涉及使用一組合的幾何參數化回應模型之依該複數個不同定向之該等繞射級之該等經偵測強度及經偵測光學強度之一組合擬合分析,其中該等光學強度藉由一光學計量工具量測。
  13. 一種方法,其包括:依相對於形成於一晶圓表面上之一量測目標之複數個不同定向使用x光輻射之一聚焦光束照明該量測目標,其中該量測目標包含一或多個結構,且其中該複數個不同定向接近法向於該晶圓表面較密集集中且依較遠離法向於該晶圓表面之定向較不密集集中;回應於依各定向之x光輻射之該入射光束而偵測各與自該量測目標散射之一定量之輻射之一或多個繞射級相關聯之一或多個強度;及基於依該複數個不同定向之該等繞射級之該等經偵測強度判定與該量測目標之一模型相關聯之一所關注參數之一值。
  14. 如請求項13之方法,其中該一或多個結構具有至少1微米之一總體深度。
  15. 如請求項13之方法,其中該一或多個結構具有至少20之一高寬比,其中該高寬比定義為一最大高度尺寸除以一最大橫向範圍尺寸。
  16. 如請求項13之方法,其中該判定該所關注參數涉及使用一幾何參數化回應模型之該等繞射級之該等經偵測強度之一擬合分析。
  17. 如請求項16之方法,其進一步包括:基於依該複數個不同定向之該等繞射級之該等經偵測強度判定該量測目標之一多維影像。
  18. 如請求項17之方法,其進一步包括:基於該量測目標之該影像與該所關注參數之間之一差異修改該量測目標之該幾何參數化回應模型。
  19. 如請求項13之方法,其中該所關注參數之該值之該判定涉及使用一組合的幾何參數化回應模型之依該複數個不同定向之該等繞射級之該等經偵測強度及經偵測光學強度之一組合擬合分析,其中該等光學強度藉由一光學計量工具量測。
  20. 一種計量系統,其包括:一x光照明源,其經組態以產生一定量之x光輻射;一x光照明光學器件子系統,其經組態以依相對於形成於一晶圓表面上之一量測目標之複數個不同定向使用該一定量之x光輻射之一聚焦光束照明該量測目標,其中該量測目標包含一或多個結構,且其中該複數個不同定向接近法向於該晶圓表面較密集集中且依較遠離法向於該晶圓表面之定向較不密集集中; 一x光偵測器,其經組態以回應於依各定向之x光輻射之該入射光束而偵測各與自該量測目標散射之一定量之輻射之一或多個繞射級相關聯之一或多個強度;及一非暫時性電腦可讀媒體,其包括:用於引起一運算系統基於依該複數個定向之該等繞射級之該等經偵測強度判定與該量測目標之一模型相關聯之一所關注參數之一值之程式碼。
  21. 如請求項20之計量系統,其中該所關注參數之該值之該判定涉及使用一組合的幾何參數化回應模型之依該複數個不同定向之該等繞射級之該等經偵測強度及經偵測光學強度之一組合擬合分析,其中該等光學強度藉由一光學計量工具量測。
TW105129968A 2015-12-11 2016-09-14 用於高高寬比結構之x光散射測量計量 TWI689702B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562266447P 2015-12-11 2015-12-11
US62/266,447 2015-12-11
US15/230,336 US10352695B2 (en) 2015-12-11 2016-08-05 X-ray scatterometry metrology for high aspect ratio structures
US15/230,336 2016-08-05

Publications (2)

Publication Number Publication Date
TW201730514A TW201730514A (zh) 2017-09-01
TWI689702B true TWI689702B (zh) 2020-04-01

Family

ID=59014021

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105129968A TWI689702B (zh) 2015-12-11 2016-09-14 用於高高寬比結構之x光散射測量計量

Country Status (7)

Country Link
US (1) US10352695B2 (zh)
JP (1) JP6815401B2 (zh)
KR (1) KR102363266B1 (zh)
CN (1) CN108401437B (zh)
IL (1) IL259489B (zh)
TW (1) TWI689702B (zh)
WO (1) WO2017099870A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
WO2018154587A1 (en) * 2017-02-27 2018-08-30 Nova Measuring Instruments Ltd. Tem-based metrology method and system
JP6904731B2 (ja) * 2017-03-01 2021-07-21 株式会社Zozo サイズ測定装置、管理サーバ、ユーザ端末及びサイズ測定システム
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10748736B2 (en) * 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
CN108151640B (zh) * 2018-01-17 2024-04-16 中国科学院宁波材料技术与工程研究所 弹性导体在测量尺中的应用、电子测量尺及测量方法
CN111837226B (zh) * 2018-03-05 2024-03-08 科磊股份有限公司 三维半导体结构的可视化
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
JP2019191167A (ja) * 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
US10845491B2 (en) 2018-06-04 2020-11-24 Sigray, Inc. Energy-resolving x-ray detection system
GB2591630B (en) 2018-07-26 2023-05-24 Sigray Inc High brightness x-ray reflection source
US11703464B2 (en) 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
US10962491B2 (en) 2018-09-04 2021-03-30 Sigray, Inc. System and method for x-ray fluorescence with filtering
DE112019004478T5 (de) 2018-09-07 2021-07-08 Sigray, Inc. System und verfahren zur röntgenanalyse mit wählbarer tiefe
US11562289B2 (en) 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11308606B2 (en) * 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11581264B2 (en) 2019-08-21 2023-02-14 Micron Technology, Inc. Electronic devices comprising overlay marks, memory devices comprising overlay marks, and related methods
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11143605B2 (en) 2019-09-03 2021-10-12 Sigray, Inc. System and method for computed laminography x-ray fluorescence imaging
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11335608B2 (en) 2020-04-15 2022-05-17 Kla Corporation Electron beam system for inspection and review of 3D devices
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
JP7395775B2 (ja) 2020-05-18 2023-12-11 シグレイ、インコーポレイテッド 結晶解析装置及び複数の検出器素子を使用するx線吸収分光法のためのシステム及び方法
JP7458935B2 (ja) 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US11798828B2 (en) 2020-09-04 2023-10-24 Kla Corporation Binning-enhanced defect detection method for three-dimensional wafer structures
WO2022061347A1 (en) 2020-09-17 2022-03-24 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11686692B2 (en) 2020-12-07 2023-06-27 Sigray, Inc. High throughput 3D x-ray imaging system using a transmission x-ray source
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
US20220252395A1 (en) * 2021-02-10 2022-08-11 Kla Corporation Methods And Systems For Accurate Measurement Of Deep Structures Having Distorted Geometry
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US20220404143A1 (en) * 2021-06-18 2022-12-22 Kla Corporation Methods And Systems For Measurement Of Tilt And Overlay Of A Structure
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
WO2023013036A1 (ja) * 2021-08-06 2023-02-09 川崎車両株式会社 構造物の製造方法、構造物製造用の識別子、構造物の製造システム及び機械加工プログラム
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
WO2023177981A1 (en) 2022-03-15 2023-09-21 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
JP2023137548A (ja) 2022-03-18 2023-09-29 キオクシア株式会社 計測装置及び計測方法
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source
CN115344937B (zh) * 2022-10-20 2023-03-17 三一筑工科技股份有限公司 一种钢筋标注方法、装置、电子设备及存储介质
CN116499401A (zh) * 2023-06-29 2023-07-28 深圳市圭华智能科技有限公司 基于X-ray的晶圆级玻璃通孔TGV检测装置及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7245696B2 (en) * 2002-05-29 2007-07-17 Xradia, Inc. Element-specific X-ray fluorescence microscope and method of operation
US20080273662A1 (en) * 2007-05-04 2008-11-06 Xradia, Inc. CD-GISAXS System and Method
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5798846A (en) * 1980-12-11 1982-06-19 Nec Corp X-ray diffractometer
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
GB2297835A (en) * 1995-02-08 1996-08-14 Secr Defence Three dimensional detection of contraband using x rays
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6917419B2 (en) 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
CN1270176C (zh) * 2002-12-02 2006-08-16 中国科学技术大学 对组合样品的结构和成分进行测量分析的方法及装置
US7076024B2 (en) 2004-12-01 2006-07-11 Jordan Valley Applied Radiation, Ltd. X-ray apparatus with dual monochromators
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP2006275901A (ja) * 2005-03-30 2006-10-12 Seiko Epson Corp 結晶評価装置および結晶評価方法
KR101374308B1 (ko) * 2005-12-23 2014-03-14 조르단 밸리 세미컨덕터즈 리미티드 Xrf를 사용한 층 치수의 정밀 측정법
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP2007285923A (ja) * 2006-04-18 2007-11-01 Jordan Valley Semiconductors Ltd 反射モードのx線回折を用いた限界寸法の測定
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
KR101041840B1 (ko) 2008-05-15 2011-06-17 주식회사 쎄크 불량검사장치 및 그 제어방법
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
BR112013005913A2 (pt) * 2010-09-13 2016-05-17 Thunder Bay Regional Res Inst métodos de apagar uma imagem residual, de obter uma imagem de raio-x, de pré-condicionar um dispositivo de formação de imagem de válvula de luz de raio-x, sistema para medir imagens de raio-x, e, dispositivo de formação de imagem de válvula de luz de raio-x
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
CN103207195B (zh) * 2013-04-08 2015-01-14 中国科学技术大学 一种小角和广角x射线散射联用装置及其实验测试方法
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9778213B2 (en) * 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US10460999B2 (en) 2013-11-27 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metrology device and metrology method thereof
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7245696B2 (en) * 2002-05-29 2007-07-17 Xradia, Inc. Element-specific X-ray fluorescence microscope and method of operation
US20080273662A1 (en) * 2007-05-04 2008-11-06 Xradia, Inc. CD-GISAXS System and Method
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate

Also Published As

Publication number Publication date
CN108401437A (zh) 2018-08-14
JP2019505766A (ja) 2019-02-28
KR102363266B1 (ko) 2022-02-14
US20170167862A1 (en) 2017-06-15
CN108401437B (zh) 2021-02-26
TW201730514A (zh) 2017-09-01
IL259489B (en) 2021-09-30
KR20180083435A (ko) 2018-07-20
WO2017099870A1 (en) 2017-06-15
IL259489A (en) 2018-07-31
US10352695B2 (en) 2019-07-16
JP6815401B2 (ja) 2021-01-20

Similar Documents

Publication Publication Date Title
TWI689702B (zh) 用於高高寬比結構之x光散射測量計量
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
US11955391B2 (en) Process monitoring of deep structures with X-ray scatterometry
US11428650B2 (en) Computationally efficient x-ray based overlay measurement
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
TWI783988B (zh) 傳輸小角度x射線散射度量系統
US9494535B2 (en) Scatterometry-based imaging and critical dimension metrology
US10801975B2 (en) Metrology tool with combined X-ray and optical scatterometers
TW201510477A (zh) 組合之x光及光學量測
US20180188192A1 (en) X-Ray Zoom Lens For Small Angle X-Ray Scatterometry