KR20180083435A - 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측 - Google Patents

고 애스펙트비 구조체에 대한 x 선 산란측정법 계측 Download PDF

Info

Publication number
KR20180083435A
KR20180083435A KR1020187019108A KR20187019108A KR20180083435A KR 20180083435 A KR20180083435 A KR 20180083435A KR 1020187019108 A KR1020187019108 A KR 1020187019108A KR 20187019108 A KR20187019108 A KR 20187019108A KR 20180083435 A KR20180083435 A KR 20180083435A
Authority
KR
South Korea
Prior art keywords
ray
measurement target
saxs
aspect ratio
sample
Prior art date
Application number
KR1020187019108A
Other languages
English (en)
Other versions
KR102363266B1 (ko
Inventor
테디우스 제라드 지우라
안토니오 아리온 겔리노
안드레이 브이. 쉬체그로프
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20180083435A publication Critical patent/KR20180083435A/ko
Application granted granted Critical
Publication of KR102363266B1 publication Critical patent/KR102363266B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Toxicology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

투과, 소각 x 선 산란(T-SAXS) 기술을 사용하여 고 애스펙트비의 수직으로 제조된 디바이스의 치수 및 재료 속성을 특성 묘사하기 위한 방법 및 시스템이 본원에서 설명된다. 예시적인 구조체는, 스핀 전달 토크 랜덤 액세스 메모리(STT-RAM), 수직 NAND 메모리(V-NAND), 동적 랜덤 액세스 메모리(DRAM), 삼차원 FLASH 메모리(3D-FLASH), 저항성 랜덤 액세스 메모리(Re-RAM ) 및 PC-RAM을 포함한다. 하나의 양태에서, 수직 입사각 근처에서 더 조밀하게 집중되고 수직 입사각으로부터 더 멀리 있는 방위에서 덜 조밀하게 집중되는 다수의 상이한 방위에서 T-SAXS 측정이 수행된다. 또 다른 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정 구조체의 이미지를 생성하기 위해 사용된다. 다른 추가 양태에서, 계측 시스템은 결합된 x 선 및 광학 측정 분석을 위한 모델을 생성하도록 구성된다.

Description

고 애스펙트비 구조체에 대한 X 선 산란측정법 계측
본 특허 출원은, 35 U.S.C. §119 하에서, 2015년 12월 11일자로 출원된 발명의 명칭이 "X-ray Scattering for Vertically Manufactured Devices"인 미국 특허 가출원 제62/266,447호로부터의 우선권을 주장하는데, 이 미국 특허 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.
설명되는 실시형태는 계측 시스템 및 방법에 관한 것으로, 특히, 향상된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조될 수도 있고, 그 다음, 개개의 반도체 디바이스로 분리될 수도 있다.
계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 더 높은 수율을 촉진시키기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 사용된다. 나노 스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터의 특성을 묘사하기 위해, 산란측정법 구현(scatterometry implementation)과 반사측정법 구현(reflectometry implementation) 및 관련 분석 알고리즘을 포함하는 다수의 계측 기반 기술이 일반적으로 사용된다.
전통적으로, 박막 및/또는 반복된 주기적 구조체로 이루어지는 타겟에 대해, 산란측정법 임계 치수(scatterometry critical dimension; SCD) 측정이 수행된다. 디바이스 제조 동안, 이들 막과 주기적인 구조체는, 통상적으로, 실제 디바이스 기하학적 형상(geometry)과 재료 구조체 또는 중간 설계를 나타낸다. 디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 작은 나노미터 스케일의 치수를 향해 이동함에 따라, 특성 묘사가 더욱 어려워지게 된다. 복잡한 삼차원의 기하학적 형상 및 다양한 물리적 속성(property)을 갖는 재료를 통합하는 디바이스는 특성 묘사의 어려움에 기여한다. 예를 들면, 현대의 메모리 구조체는, 종종, 광학적 방사선(optical radiation)이 하부 층(bottom layer)까지 침투하는 것을 어렵게 만드는 고 애스펙트비의 삼차원 구조체이다. 적외선 내지 가시 광선을 활용하는 광학적 계측 툴은 반투명 재료의 많은 층을 통과할 수 있지만, 그러나 양호한 침투 깊이를 제공하는 더 긴 파장은 작은 변형에 대해 충분한 감도를 제공하지 못한다. 또한, 복잡한 구조체(예를 들면, FinFET)를 특성 묘사하는 데 필요로 되는 파라미터의 증가하는 수는, 증가하는 파라미터 상관 관계로 이어진다. 결과적으로, 타겟을 특성 묘사하는 파라미터는, 종종, 이용 가능한 측정을 통해 신뢰성 있게 분리될 수 없다.
하나의 예에서, 스택의 교호(alternating) 재료 중 하나로서 폴리실리콘을 활용하는 3D FLASH 디바이스에 대한 침투 이슈를 극복하기 위한 시도에서, 더 긴 파장(예를 들면, 근적외선)이 활용되었다. 그러나, 3D FLASH의 미러형 구조체는 본질적으로, 조명이 필름 스택 안으로 더 깊숙이 전파함에 따라 광 강도 감소를 야기한다. 이것은 깊이에서의 감도 손실 및 상관 관계 이슈를 야기한다. 이 시나리오에서, SCD는 높은 민감도 및 낮은 상관 관계를 갖는 계측 치수의 감소된 세트만을 성공적으로 추출할 수 있다.
다른 예에서, 불투명한 고 유전율 재료가 현대의 반도체 구조체에 점점 더 많이 활용되고 있다. 광학적 방사선은 종종 이들 재료로 구성되는 층을 투과할 수 없다. 결과적으로, 타원편광 해석기(ellipsometer) 또는 반사계(reflectometer)와 같은 박막 산란측정법 툴을 사용한 측정은 점점 더 어려워지고 있다.
이들 도전 과제(challenge)에 응답하여, 보다 복잡한 광학 계측 툴이 개발되었다. 예를 들면, 다수의 조명 각도, 더 짧은 조명 파장, 더 큰 범위의 조명 파장, 및 반사된 신호로부터의 더 많은 완전한 정보 획득을 갖춘(예를 들면, 보다 전통적인 반사율 또는 타원편광 해석 신호(ellipsometric signal) 외에 다수의 뮬러(Mueller) 매트릭스 요소를 측정하는) 툴이 개발되었다. 그러나, 이들 접근법(approach)은, 많은 고급 타겟(예를 들면, 복잡한 3D 구조체, 10 nm보다 작은 구조체, 불투명한 재료를 활용하는 구조체)의 측정 및 측정 애플리케이션(예를 들면, 라인 에지 조도(line edge roughness) 및 라인 폭 조도(line width roughness) 측정)과 관련되는 근본적인 도전 과제를 신뢰성 있게 극복하지 못했다.
원자력 현미경(Atomic force microscopes; AFM) 및 주사 터널 현미경(scanning-tunneling microscopes; STM)은, 원자 분해능(atomic resolution)을 달성할 수 있지만, 그러나 그들은 시료의 표면만을 조사할 수 있다. 또한, AFM 및 STM 현미경은 긴 주사 시간을 필요로 한다. 주사 전자 현미경(scanning electron microscopes; SEM)은 중간 분해능 레벨을 달성하지만, 그러나 충분한 깊이까지 구조체를 침투할 수 없다. 따라서, 고 애스펙트비의 홀은 특성이 잘 묘사되지 않는다. 또한, 시료의 필수적 대전(charging)은 이미징 성능에 악영향을 미친다. X 선 반사계는 또한 고 애스펙트비 구조체를 측정할 때 그들의 유효성을 제한하는 침투 이슈로 인해 어려움을 겪는다.
침투 깊이 이슈를 극복하기 위해, TEM, SEM 등과 같은 전통적인 이미징 기술은, 집속 이온 빔(focused ion beam; FIB) 기계가공, 이온 밀링, 블랭킷 또는 선택적 에칭, 등등과 같은 파괴적인 샘플 준비 기술과 함께 활용된다. 예를 들면, 투과 전자 현미경(transmission electron microscopes; TEM)은 높은 분해능 레벨을 달성하고 임의의 깊이를 조사할 수 있지만, 그러나 TEM은 시료의 파괴적인 절단을 필요로 한다. 재료 제거 및 측정의 여러 번의 반복은 일반적으로 삼차원 구조체 전반에 걸친 임계 계측 파라미터를 측정하는 데 필요로 되는 정보를 제공한다. 그러나, 이들 기술은 샘플 파괴 및 긴 프로세스 시간을 필요로 한다. 이들 타입의 측정을 완료하기 위한 복잡성 및 시간은, 에칭 및 계측 단계의 드리프트로 인해 큰 부정확성을 도입한다. 게다가, 이러한 기술은 레지스트레이션 에러(registration error)를 도입하는 수많은 반복을 필요로 한다.
디바이스 성능을 더욱 향상시키기 위해, 반도체 산업계는, 측방(lateral) 스케일링보다는, 수직 통합에 계속 초점을 맞추고 있다. 따라서, 실행 가능성 및 지속적인 스케일링 향상을 보장하기 위해서는, 복잡하고, 전체적으로 삼차원인 구조체의 정확한 측정이 중요하다. 미래의 계측 애플리케이션은, 점점 더 작아지는 분해능 요건, 다중 파라미터 상관 관계, 고 애스펙트비 구조체를 포함하는 점점 더 복잡해지는 기하학적 형상의 구조체, 및 불투명 재료의 증가하는 사용으로 인해, 도전 과제를 제시한다. 따라서, 향상된 CD 측정을 위한 방법 및 시스템이 소망된다.
투과, 소각 x 선 산란(transmission, small-angle x-ray scattering; T-SAXS) 기술을 사용하여 고 애스펙트비의 수직으로 제조된 디바이스의 치수 및 재료 속성을 특성 묘사하기 위한 방법 및 시스템이 본원에서 설명된다. 몇몇 예에서, T-SAXS는, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 수직 NAND 메모리(vertical NAND memory; V-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 삼차원 FLASH 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAM), 및 PC-RAM을 포함하는 그러나 이들로 제한되지는 않는 고 애스펙트비 반도체 구조체의 임계 치수, 두께, 오버레이, 및 재료 속성을 측정하기 위해 활용된다.
T-SAXS 측정은, 샘플과 관련하여 하나 이상의 방위에서 X 선 빔으로 샘플을 조명하는 것 및 각각의 방위에 대한 결과적으로 나타나는 회절 차수의 강도를 검출하는 것을 수반한다. T-SAXS에 기초한 CD 계측은, 측정된 데이터와 함께 미리 결정된 기하학적 형상의 모델의 회귀에 의한 측정으로부터 샘플의 치수를 결정하는 것을 수반한다.
하나의 양태에서, 특정한 검사 영역의 T-SAXS 측정은, 샘플링 계획에 따라 다수의 상이한 방위에서 수행된다. 샘플링 계획은, 수직 입사각 근처에서 더욱 조밀하게 집중되며 수직 입사각으로부터 더 먼 방위에서 덜 조밀하게 집중되는 불균일한 간격의 방위를 포함한다.
또 다른 추가적인 양태에서, 계측 시스템은 시료의 측정된 구조체의 구조적 모델(예를 들면, 기하학적 형상 모델, 재료 모델, 또는 결합된 기하학적 형상 및 재료 모델)을 생성하도록, 구조적 모델로부터 적어도 하나의 기하학적 형상 파라미터를 포함하는 T-SAXS 응답 모델을 생성하도록, 그리고 T-SAXS 응답 모델을 사용한 T-SAXS 측정 데이터의 피팅 분석(fitting analysis)을 수행하는 것에 의해 적어도 하나의 시료 파라미터 값을 결정하도록 구성된다. 이러한 방식에서, 시뮬레이팅된 T-SAXS 신호와 측정된 데이터의 비교는, 기하학적 형상의 특성뿐만 아니라 전자 밀도 및 원소 식별 및 샘플의 조성과 같은 재료 속성의 결정을 가능하게 한다.
또 다른 양태에서, 주목하는 하나 이상의 파라미터의 값의 초기 추정치는, 측정 타겟에 대한 입사 x 선 빔의 단일의 방위에서 수행되는 T-SAXS 측정에 기초하여 결정된다. 초기의 추정된 값은, 다수의 방위에서 T-SAXS 측정으로부터 수집되는 측정 데이터를 사용한 측정 모델의 회귀에 대한 주목하는 파라미터의 시작 값으로 구현된다. 이러한 방식에서, 주목하는 파라미터의 가까운 추정치가 상대적으로 적은 양의 계산 노력으로 결정되고, 이 가까운 추정치를 훨씬 더 큰 데이터 세트에 걸친 회귀에 대한 시작점으로서 구현하는 것에 의해, 더 적은 전체적인 계산 노력으로, 주목하는 파라미터의 개선된 추정치가 획득된다.
또 다른 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정된 구조체의 이미지를 생성하기 위해 사용된다. 몇몇 실시형태에서, T-SAXS 응답 함수 모델은, 일반 전자 밀도 메쉬로부터의 산란을 설명하기 위해 일반화된다. 이 메쉬에서 모델링된 전자 밀도를 제한하여 연속성 및 희소한 에지를 강제하면서, 측정된 신호에 이 모델을 매치시키는 것은 샘플의 삼차원 이미지를 제공한다.
또 다른 양태에서, 결합된 x 선 및 광학 측정 분석을 위한 모델을 생성하도록 계측 시스템이 구성된다.
상기의 내용은 개요이며, 따라서, 필요에 의해, 세부 사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것이며 어떠한 방식으로든 제한하는 것은 아니다는 것을 기술 분야의 숙련된 자는 인식할 것이다. 본원에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 기술되는 비제한적인 상세한 설명에서 명확해질 것이다.
도 1은, 본원에서 설명되는 방법에 따라 투과, 소각 x 선 산란측정법(T-SAXS) 측정을 수행하도록 구성되는 계측 시스템(100)을 예시하는 도면이다.
도 2는 본원에서 설명되는 방법에 따라 T-SAXS 측정을 수행하도록 구성되는 다른 실시형태의 계측 시스템(200)을 예시하는 도면이다.
도 3은 시료(101)로부터 떨어진 진공 환경(162)에 포함되는 계측 시스템(100, 200 및 300)의 x 선 검출기(116)를 예시하는 도면이다.
도 4는 본원에서 설명되는 방법에 따라 T-SAXS 데이터에 기초하여 시료 파라미터 값을 결정하도록 구성되는 모델 구축 및 분석 엔진(model building and analysis engine)(150)을 예시하는 도면이다.
도 5a 내지 도 5c는 본원에서 설명되는 방식으로 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스(190)의 등각 투영도(isometric view), 평면도 및 단면도를 각각 묘사한다.
도 6은 각도 φ 및 θ에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(117)을 묘사한다.
도 7은 고 애스펙트비 홀 구조체의 어레이(310)의 평면도를 묘사한다.
도 8a는 이상적인 고 애스펙트비 홀 구조체(320)의 측면도를 묘사한다.
도 8b는 경사진 홀 구조체(321)의 측면도를 묘사한다.
도 8c는 점진적으로 기울어진 홀 구조체(322)의 측면도를 묘사하는데, 여기서 경사도는 깊이와 함께 점진적으로 증가한다.
도 9는 T-SAXS 측정에 기초하여 고 애스펙트비 구조체를 측정하는 예시적인 방법(300)을 설명하는 플로우차트를 묘사한다.
이제, 배경 기술의 예 및 본 발명의 몇몇 실시형태에 대한 상세한 참조가 이루어질 것인데, 본 발명의 실시형태의 예는 첨부의 도면에서 예시된다.
투과, 소각 x 선 산란(T-SAXS) 기술을 사용하여 고 애스펙트비의 수직으로 제조된 디바이스의 치수 및 재료 속성을 특성 묘사하기 위한 방법 및 시스템이 본원에서 설명된다. 이러한 시스템 및 기술은 상이한 반도체 제조 프로세스와 관련되는 구조적 그리고 재료적 특성을 측정하기 위해 활용된다. 몇몇 예에서, T-SAXS는, 스핀 전달 토크 랜덤 액세스 메모리(STT-RAM), 삼차원 NAND 메모리(three dimensional NAND memory; 3D-NAND) 또는 수직 NAND 메모리(V-NAND), 동적 랜덤 액세스 메모리(DRAM), 삼차원 FLASH 메모리(3D-FLASH), 저항성 랜덤 액세스 메모리(Re-RAM), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM)를 포함하는 그러나 이들로 제한되지는 않는 고 애스펙트비 반도체 구조체의 임계 치수, 두께, 오버레이, 및 재료 속성을 측정하기 위해 활용된다.
T-SAXS 측정은, 샘플을 X 선 빔으로 조명하는 것 및 샘플에 대한 하나 이상의 입사각에 대한 결과적으로 나타나는 회절 차수의 강도를 검출하는 것을 포함한다. T-SAXS에 기초한 CD 계측은, 측정된 데이터와 함께 미리 결정된 기하학적 형상의 모델의 회귀에 의한 측정으로부터 샘플의 치수를 결정하는 것을 수반한다. 기하학적 형상 모델은 약간의(대략 10개) 조정 가능한 파라미터를 포함하며 시료의 기하학적 형상 및 광학적 속성을 나타낸다.
하나의 양태에서, 고 애스펙트비 구조체를 그들 전체 깊이에 걸쳐 특성 묘사하기 위해 충분한 분해능 및 침투 깊이를 제공하는 일정 범위의 입사각에 걸쳐 T-SAXS 측정이 수행된다. 본 발명자들은, 반도체 웨이퍼의 평면에 대한 조명 x 선 빔의 하나 이상의 비 수직 방위에서, 특히 수직 입사 근처의 방위에서 측정이 이루어질 때, x 선 회절 신호가 강하고 독특한 방식으로 영향을 받는다는 것을 발견하였다.
고휘도 T-SAXS의 사용은, 타겟의 불투명 영역 안으로의 고 플럭스 x 선 방사선 침투를 가능하게 한다. T-SAXS를 사용하여 측정 가능한 기하학적 형상 파라미터의 예는, 기공(pore) 사이즈, 기공 밀도, 라인 에지 조도, 라인 폭 조도, 측벽 각도, 프로파일, 임계 치수, 오버레이, 에지 배치 에러, 및 피치를 포함한다. 측정 가능한 재료 파라미터의 예는 전자 밀도를 포함한다. 몇몇 예에서 T-SAXS는, 10 nm보다 작은 피쳐뿐만 아니라, 기하학적 형상 파라미터 및 재료 파라미터의 측정이 필요한, STT-RAM, V-NAND, DRAM, PC-RAM 및 Re-RAM과 같은 첨단 반도체 구조체의 측정을 가능하게 한다.
도 1은 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 계측 툴(100)의 실시형태를 예시한다. 도 1에서 도시되는 바와 같이, 시스템(100)은 시료 위치결정(positioning) 시스템(140) 상에 배치되는 시료(101)의 검사 영역(102)에 걸쳐 T-SAXS 측정을 수행하기 위해 사용될 수도 있다. 몇몇 실시형태에서, 검사 영역(102)은 80 마이크로미터 이하의 스팟 사이즈를 갖는다. 몇몇 실시형태에서, 검사 영역(102)은 50 마이크로미터 이하의 스팟 사이즈를 갖는다. 몇몇 실시형태에서, 검사 영역(102)은 40 마이크로미터 이하의 스팟 사이즈를 갖는다.
묘사된 실시형태에서, 계측 툴(100)은 SAXS 측정에 적합한 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스(110)를 포함한다. 몇몇 실시형태에서, x 선 조명 시스템(110)은 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. x 선 조명 소스(110)는 시료(101)의 검사 영역(102) 상에 입사하는 x 선 빔(117)을 생성한다.
일반적으로, T-SAXS 측정을 위한 x 선 조명을 제공하기 위해, 높은 스루풋의 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 x 선을 생성할 수 있는 임의의 적합한 고휘도 x 선 조명 소스가 고려될 수도 있다. 몇몇 실시형태에서, x 선 소스는, x 선 소스가 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 하는 조정 가능한 모노크로메이터(tunable monochromator)를 포함한다.
몇몇 실시형태에서, 전체 디바이스뿐만 아니라 웨이퍼 기판을 통한 충분한 투과를 허용하는 파장에서 x 선 소스가 광을 공급하는 것을 보장하기 위해, 15 keV보다 더 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 x 선 소스가 활용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 고정식 고체 애노드 소스(stationary, solid anode source), 마이크로포커스 소스(microfocus source), 마이크로포커스 회전 애노드 소스(microfocus rotating anode source), 및 역 콤프턴(Compton) 소스 중 임의의 것이 x 선 소스(110)로서 활용될 수도 있다. 하나의 예에서, 미국 캘리포니아 팔로 알토(Palo Alto)의 Lyncean Technologies, Inc.에서 입수 가능한 역 콤프턴 소스가 고려될 수도 있다. 역 콤프턴 소스는, 일정 범위의 광자 에너지에 걸쳐 x 선을 생성할 수 있고, 그에 의해 x 선 소스가, 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 할 수 있다는 추가적인 이점을 갖는다.
예시적인 x 선 소스는, 고체 또는 액체 타겟에 충격을 주어 x 선 방사선을 자극하도록 구성되는 전자 빔 소스를 포함한다. 도 2는, 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 계측 툴(200)을 묘사한다. 계측 툴(100 및 200)의 유사한 번호의 엘리먼트는 유사하다. 그러나, 도 2에서 묘사되는 실시형태에서, x 선 조명 소스(110)는 액체 금속 기반의 x 선 조명 시스템이다. 액체 금속(119)의 분사(jet)가 액체 금속 컨테이너(111)로부터 생성되고 액체 금속 콜렉터(112)에서 수집된다. 액체 금속 순환 시스템(도시되지 않음)은 콜렉터(112)에 의해 수집되는 액체 금속을 액체 금속 컨테이너(111)로 복귀시킨다. 액체 금속의 분사(119)는 하나 이상의 원소를 포함한다. 비제한적인 예로서, 액체 금속의 분사(119)는 알루미늄, 갈륨, 인듐, 주석, 탈륨 및 비스무스 중 임의의 것을 포함한다. 이러한 방식에서, 액체 금속의 분사(119)는 자신의 구성 원소와 대응하는 x 선 라인을 생성한다. 하나의 실시형태에서, 액체 금속의 분사는 갈륨 및 인듐 합금을 포함한다. 몇몇 실시형태에서, x 선 조명 시스템(110)은 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. 전자 빔 소스(113)(예를 들면, 전자 총)는, 전자 광학장치(electron optics)(114)에 의해 액체 금속의 분사(119)로 지향되는 전자의 스트림(118)을 생성한다. 적합한 전자 광학장치(114)는, 전자 빔을 집속하고 그 빔을 액체 금속 분사로 지향시키기 위한 전자석, 영구 자석, 또는 전자석과 영구 자석의 조합을 포함한다. 액체 금속의 분사(119)와 전자의 스트림(118)의 동시발생(coincidence)은, 시료(101)의 검사 영역(102)에 입사하는 x 선 빔(117)을 생성한다.
하나의 실시형태에서, 입사 x 선 빔(117)은 24.2 keV의 인듐 kα 라인에 있다. x 선 빔은 T-SAXS 측정을 위한 다중 층 x 선 광학장치(multi-layer x-ray optics)를 사용하여 1 밀리라디안 미만의 발산으로 하방 시준된다.
몇몇 실시형태에서, 본원에서 설명되는 x 선 산란 측정은 x 선 소스와 피측정 시료 사이에 위치되는 스크린을 사용하지 않고 달성된다. 이들 실시형태에서, 일정 범위의 입사각에 걸친 회절 차수의 측정된 강도, 다수의 파장, 또는 둘 모두의 조합은, 측정된 구조체의 소망되는 재료 속성(예를 들면, 복합 굴절률, 전자 밀도, 또는 흡수율)의 분포 맵(즉, 이미지)를 결정하기에 충분한 정보를 제공한다. 그러나, 몇몇 다른 예에서, 핀홀 또는 다른 어퍼쳐는, x 선 빔의 시준을 향상시키기 위해 x 선 소스와 피측정 시료 사이에 위치되는 다른 불투명한 스크린 상에 위치된다. 회절 패턴의 강도는 어퍼쳐의 여러 위치에 대해 측정된다. 몇몇 다른 실시형태에서, 의사 랜덤 어퍼쳐 패턴을 갖는 스크린이 사용되고, 회절 패턴은 다수의 스크린에 대해 측정된다. 이들 접근법은 또한, 측정된 구조체의 소망되는 재료 속성의 삼차원 분포를 결정하기 위한 추가적인 정보를 제공하기 위해 고려될 수도 있다.
고휘도, 액체 금속 x 선 조명을 생성하기 위한 방법 및 시스템은, KLA-Tencor Corp.에게 2011년 4월 19일자로 발행된 미국 특허 제7,929,667호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
도 1에서 묘사되는 바와 같이, x 선 광학장치(115)는 입사 x 선 빔(117)을 성형하여 시료(101)에 지향시킨다. 몇몇 예에서, x 선 광학장치(115)는, 시료(101) 상에 입사하는 x 선 빔을 단색화하는(monochromatize) x 선 모노크로메이터를 포함한다. 하나의 예에서, Loxley-Tanner-Bowen(록슬리-탠너-보웬) 모노크로메이터와 같은 결정 모노크로메이터(crystal monochromator)가 x 선 방사선의 빔을 단색화하기 위해 활용된다. 몇몇 예에서, x 선 광학장치(115)는 다층 x 선 광학장치를 사용하여 x 선 빔(117)을 시료(101)의 검사 영역(102) 상으로 1 밀리라디안 미만의 발산으로 시준 또는 집속한다. 몇몇 실시형태에서, x 선 광학장치(115)는, 하나 이상의 x 선 시준용 미러(x-ray collimating mirror), x 선 어퍼쳐, x 선 빔 스톱, 굴절 x 선 광학장치, 구역 플레이트와 같은 회절 광학장치, 스침 입사 타원체 미러(grazing incidence ellipsoidal mirror)와 같은 거울반사 x 선 광학장치(specular x-ray optics), 중공 모세관 x 선 도파관(hollow capillary x-ray waveguide)과 같은 다중모세관 광학장치(polycapillary optics), 다층 광학장치 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 추가적인 세부 사항은 미국 특허 공개 공보 제2015/0110249호에서 설명되는데, 이 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.
x 선 검출기(116)는 시료(101)로부터 산란되는 x 선 방사선(125)을 수집하고, T-SAXS 측정 양상에 따라 입사 x 선 방사선에 민감한 시료(101)의 속성을 나타내는 출력 신호(126)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(125)은 x 선 검출기(116)에 의해 수집되고, 반면 시료 위치결정 시스템(140)은 각도 분해된 산란된 x 선을 생성하도록 시료(101)를 위치 결정하고 배향시킨다. 몇몇 실시형태에서, x 선 검출기(116)는 하나 이상의 x 선 광자 에너지를 분해할 수 있고, 시료의 속성을 나타내는 각각의 x 선 에너지 성분에 대한 신호를 생성한다. 몇몇 실시형태에서, x 선 검출기(116)는, CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터, 또는 형광 재료 중 임의의 것을 포함한다. 몇몇 실시형태에서, x 선 검출기(116)는 검출된 광자의 위치 및 수를 검출하는 단일의 광자 계수 검출기(photon counting detector)를 포함한다.
몇몇 실시형태에서, x 선 검출기(116)는 시료(101)와 동일한 대기 환경(예를 들면, 가스 퍼지 환경)에서 유지된다. 그러나, 몇몇 실시형태에서, 시료(101)와 x 선 검출기(116) 사이의 거리는 길다(예를 들면, 1 미터보다 더 크다). 이들 실시형태에서, 환경 교란(예를 들면, 공기 난류)은 검출된 신호에 노이즈를 기여한다. 그러므로, 몇몇 실시형태에서, x 선 검출기 중 하나 이상은, 진공 창(vacuum window)에 의해 시료(예를 들면, 시료(101))로부터 분리되는 국소화된 진공 환경에서 유지된다.
도 3은 하나의 실시형태에서 x 선 검출기(116)를 포함하는 진공 챔버(160)를 예시하는 도면이다. 바람직한 실시형태에서, 진공 챔버(160)는 시료(101)와 x 선 검출기(116) 사이의 경로의 상당한 부분을 포함한다. 진공 챔버(160)의 개구는 진공 창(161)에 의해 덮여 있다. 진공 창(161)은 x 선 방사선에 대해 실질적으로 투명한 임의의 적절한 재료(예를 들면, 베릴륨)로 구성될 수도 있다. 산란된 x 선 방사선(125)은 진공 창(161)을 통과하여, 진공 챔버(160)로 진입하고 x 선 검출기(116) 상에 입사한다. 산란된 x 선 방사선(125)에 대한 교란을 최소화하기 위해, 적절한 진공 환경(162)이 진공 챔버(160) 내에서 유지된다.
계측 툴(100)은 또한, SAXS 검출기(116)에 의해 생성되는 신호(126)를 획득하도록 그리고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하도록 활용되는 컴퓨팅 시스템(130)을 포함한다. 도 1에서 예시되는 바와 같이, 컴퓨팅 시스템(130)은 SAXS 검출기(116)에 통신 가능하게 커플링된다.
T-SAXS 측정에서, 고 애스펙트비의 수직으로 제조된 구조체는 시준된 X 선 빔을 회절 차수로 회절시킨다. 각각의 회절 차수는, 특히 예측 가능한 특정 방향으로 이동한다. 회절 차수의 각도 간격은, 파장에 의해 나누어지는 시료의 격자 상수에 반비례한다. 회절 차수는 웨이퍼로부터 어떤 거리에 배치되는 검출기 어레이에 의해 개별적으로 검출될 수 있다. 검출기의 각각의 픽셀은 픽셀에 충돌하는 광자의 수를 나타내는 신호를 출력한다. 동일한 회절 차수에 속하는 픽셀의 출력은 결합된다. 회절 차수의 강도는 형태 I(m, n, q, j, λ)를 갖는다. {m, n}은 회절 차수의 정수 인덱스이다. {q, j}는 입사 빔의 방위각 및 앙각(즉, 웨이퍼에 고정되는 좌표 시스템에 대한 입사된 주 광선의 극 좌표)이다. λ는 입사 X 선의 파장이다.
회절된 방사선의 강도의 측정치가, 웨이퍼 표면 법선에 대한 x 선 입사각의 함수로서 수집된다. 다수의 회절 차수에 포함되는 정보는 통상적으로 고려 하에 있는 각각의 모델 파라미터 사이에서 고유하다. 따라서, x 선 산란은 작은 에러 및 감소된 파라미터 상관 관계를 갖는 주목하는 파라미터의 값에 대한 추정 결과를 산출한다.
다른 추가적인 양태에서, 컴퓨팅 시스템(130)은 시료의 측정된 구조체의 구조적 모델(예를 들면, 기하학적 형상 모델, 재료 모델, 또는 결합된 기하학적 형상 및 재료 모델)을 생성하도록, 구조적 모델로부터의 적어도 하나의 기하학적 형상 파라미터를 포함하는 T-SAXS 응답 모델을 생성하도록, 그리고 T-SAXS 응답 모델을 사용한 T-SAXS 측정 데이터의 피팅 분석을 수행하는 것에 의해 적어도 하나의 시료 파라미터 값을 결정하도록 구성된다. 분석 엔진은, 시뮬레이팅된 T-SAXS 신호를 측정된 데이터와 비교하고 그에 의해 기하학적 형상 속성뿐만 아니라 샘플의 전자 밀도와 같은 재료 속성의 결정을 허용하기 위해 사용된다. 도 1에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은, 본원에서 설명되는 바와 같은 모델 구축 및 분석 기능성(functionality)을 구현하도록 구성되는 모델 구축 및 분석 엔진으로서 구성된다.
도 4는 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 모델 구축 및 분석 엔진(150)을 예시하는 도면이다. 도 4에서 묘사되는 바와 같이, 모델 구축 및 분석 엔진(150)은, 시료의 측정된 구조체의 구조적 모델(152)을 생성하는 구조적 모델 구축 모듈(151)을 포함한다. 몇몇 실시형태에서, 구조적 모델(152)은 또한 시료의 재료 속성을 포함한다. 구조적 모델(152)은 T-SAXS 응답 함수 구축 모듈(153)에 대한 입력으로서 수신된다. T-SAXS 응답 함수 구축 모듈(153)은 구조적 모델(152)에 적어도 부분적으로 기초하여 T-SAXS 응답 함수 모델(155)을 생성한다. 몇몇 예에서, T-SAXS 응답 함수 모델(155)은, 다음의 x 선 폼팩터에 기초한다.
Figure pct00001
여기서, F는 폼팩터이고, q는 산란 벡터이며, ρ(r)은 구 좌표에서의 시료의 전자 밀도이다. 그러면, x 선 산란 강도는, 다음의 식에 의해 주어진다.
Figure pct00002
T-SAXS 응답 함수 모델(155)은 피팅 분석 모듈(157)에 대한 입력으로서 수신된다. 피팅 분석 모듈(157)은 모델링된 T-SAXS 응답을 대응하는 측정된 데이터와 비교하여, 시료의 기하학적 형상의 속성 및 재료 속성을 결정한다.
몇몇 예에서, 실험 데이터에 대한 모델링된 데이터의 피팅은 카이 제곱 값(chi-squared value)을 최소화하는 것에 의해 달성된다. 예를 들면, T-SAXS 측정의 경우, 카이 제곱 값은 다음과 같이 정의될 수 있다.
Figure pct00003
여기서,
Figure pct00004
는 "채널" j에서의 측정된 T-SAXS 신호(126)이고, 여기서 인덱스 j는 회절 차수, 에너지, 각도 좌표, 등등과 같은 시스템 파라미터의 세트를 설명한다.
Figure pct00005
는, 구조체 (타겟) 파라미터(v1, ..., vL)의 세트에 대해 평가되는, "채널" j에 대한 모델링된 T-SAXS 신호(Sj)이고, 여기서 이들 파라미터는 기하학적 형상(예를 들면, CD, 측벽 각도, 오버레이, 등등) 및 재료(전자 밀도, 등등)를 설명한다. 는 j 번째 채널과 관련되는 불확실성이다. NSAXS는 x 선 계측에서의 채널의 총 수이다. L은 계측 타겟을 특성 묘사하는 파라미터의 수이다.
식 (3)은 상이한 채널과 관련되는 불확실성이 상관되지 않는다는 것을 가정한다. 상이한 채널과 관련되는 불확실성이 상관되는 예에서, 불확실성 사이의 공분산이 계산될 수 있다. 이들 예에서, T-SAXS 측정을 위한 카이 제곱 값은 다음과 같이 표현될 수 있다.
Figure pct00007
여기서, VSAXS는 SAXS 채널 불확실성의 공분산 매트릭스이고, T는 전치 행렬(transpose)을 나타낸다.
몇몇 예에서, 피팅 분석 모듈(157)은 T-SAXS 응답 모델(155)을 사용한 T-SAXS 측정 데이터(126)에 대해 피팅 분석을 수행하는 것에 의해 적어도 하나의 시료 파라미터 값을 결정한다. 몇몇 예에서,
Figure pct00008
가 최적화된다.
본원의 상기에서 설명되는 바와 같이, T-SAXS 데이터의 피팅은 카이 제곱 값의 최소화에 의해 달성된다. 그러나, 일반적으로, T-SAXS 데이터의 피팅은 다른 함수에 의해 달성될 수도 있다.
T-SAXS 계측 데이터의 피팅은, 주목하는 기하학적 형상 파라미터 및/또는 재료 파라미터에 대한 감도를 제공하는 임의의 타입의 T-SAXS 기술에 대해 유리하다. 시료 파라미터는, 시료와의 T-SAXS 빔 상호 작용을 설명하는 적절한 모델이 사용되는 한, 결정론적일 수 있거나(예를 들면, CD, SWA, 등등) 또는 통계적일 수 있다(예를 들면, 측벽 조도의 rms 높이, 조도 상관 길이, 등등).
일반적으로, 컴퓨팅 시스템(130)은, 실시간 임계 치수 기입(Real Time Critical Dimensioning; RTCD)을 활용하여 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 또는 그것은 시료(101)와 관련되는 적어도 하나의 시료 파라미터 값의 값을 결정하기 위해 사전 계산된 모델의 라이브러리에 액세스할 수도 있다. 일반적으로, CD 엔진의 몇몇 형태는, 시료의 할당된 CD 파라미터와 측정된 시료와 관련되는 CD 파라미터 사이의 차이를 평가하기 위해 사용될 수도 있다. 시료 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2010년 11월 2일자로 발행된 미국 특허 제7,826,071호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
몇몇 예에서, 모델 구축 및 분석 엔진(150)은, 피드 사이드웨이 분석(feed sideways analysis), 피드 포워드 분석(feed forward analysis), 및 병렬 분석의 임의의 조합에 의해, 측정된 파라미터의 정확도를 향상시킨다. 피드 사이드웨이 분석은, 동일한 시료의 상이한 영역 상에서 다수의 데이터 세트를 취하고 제1 데이터 세트로부터 결정되는 공통 파라미터를 분석을 위해 제2 데이터세트 상으로 전달하는 것을 가리킨다. 피드 포워드 분석은, 상이한 시료 상에서 데이터 세트를 취하고 공통 파라미터를 단계별 사본의 정확한 파라미터 피드 포워드 접근법(a stepwise copy exact parameter feed forward approach)을 사용하여 후속하는 분석으로 순방향으로 전달하는 것을 의미한다. 병렬 분석은, 피팅 동안 적어도 하나의 공통 파라미터가 커플링되는 다수의 데이터세트에 대한 비선형 피팅 방법론의 병렬 또는 동시 적용을 가리킨다.
다수의 툴 및 구조체 분석은, 회귀 분석, 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터세트의 다른 피팅 프로시져에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 가리킨다. 다수의 툴 및 구조체 분석을 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2009년 1월 13일에 발행된 미국 특허 제7,478,019호에서 설명되는데, 이 미국 특허의 전체 내용은 참조에 의해 본원에 통합된다.
하나의 추가적인 양태에서, 계측 툴(100)은 본원에서 설명되는 바와 같은 빔 제어 기능성을 구현하도록 구성되는 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(130))을 포함한다. 도 1에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은, 입사하는 SAXS 조명 빔(117)의 강도, 발산, 스팟 사이즈, 편광, 스펙트럼, 및 위치결정과 같은 조명 속성 중 임의의 것을 제어하도록 동작 가능한 빔 컨트롤러로서 구성된다.
도 1에서 예시되는 바와 같이, 컴퓨팅 시스템(130)은 SAXS 검출기(116)에 통신 가능하게 커플링된다. 컴퓨팅 시스템(130)은 SAXS 검출기(116)로부터 측정 데이터(126)를 수신하도록 구성된다. 하나의 예에서, 측정 데이터(126)는 시료의 측정된 SAXS 응답의 표시(indication)(즉, 회절 차수의 강도)를 포함한다. 검출기(116)의 표면 상에서의 측정된 SAXS 응답의 분포에 기초하여, 시료(101) 상의 SAXS 조명 빔(117)의 입사의 위치 및 영역은 컴퓨팅 시스템(130)에 의해 결정된다. 하나의 예에서, 측정 데이터(126)에 기초하여 시료(101) 상의 SAXS 조명 빔(117)의 입사의 위치 및 영역을 결정하기 위해, 패턴 인식 기술이 컴퓨팅 시스템(130)에 의해 적용된다. 몇몇 예에서, 컴퓨팅 시스템(130)은, 소망되는 조명 파장을 선택하기 위해 커맨드 신호(137)를 조명 광학장치(115)에 전달하고, 입사 SAXS 조명 빔(117)이 시료(101)에 대하여 소망되는 위치 및 각도 방위에 도달하도록 SAXS 조명 빔(117)을 재지향 및 재성형한다(reshape). 몇몇 다른 예에서, 컴퓨팅 시스템(130)은, 입사 SAXS 조명 빔(117)이 시료(101)에 대해 소망되는 위치 및 각도 방위에 도달하도록 시료(101)를 위치시키고 배향하기 위해, 웨이퍼 위치결정 시스템(140)으로 커맨드 신호를 전달한다. 몇몇 다른 예에서, 컴퓨팅 시스템(130)은, 소망되는 조명 파장을 선택하기 위해 커맨드 신호(137)를 x 선 소스(110)로 전달하고, 입사 SAXS 조명 빔(117)이 시료(101)에 대해 소망되는 위치 및 각도 방위에 도달하도록 SAXS 조명 빔(117)을 재지향 및 재성형한다.
하나의 양태에서, 특정한 검사 영역의 T-SAXS 측정은 샘플링 계획에 따라 다수의 상이한 방위에서 수행된다. 하나의 또 다른 양태에서, 샘플링 계획은, 수직 입사각 근처에서(예를 들면, 웨이퍼 표면에 대한 법선의 4 도 이내의 범위 이내에서) 더욱 조밀하게 집중되며 수직 입사각으로부터 더 먼 방위에서(예를 들면, 수직 각도로부터 10 도와 40 도 사이의 범위 이내에서) 덜 조밀하게 집중되는 불균일한 간격의 방위를 포함한다.
몇몇 실시형태에서, 도 1에 묘사되는 좌표 시스템(146)에 의해 나타내어지는 x 및 y 축 주위의 회전에 의해 설명되는 상이한 방위에서 측정을 수행하는 것이 바람직하다. 이것은, 다양한 큰 각도의 평면 외 방위를 포함하도록 분석에 대해 이용 가능한 데이터 세트의 수와 다양성을 확장시키는 것에 의해, 파라미터 사이의 상관 관계를 감소시키고 측정된 파라미터의 정밀도 및 정확도를 증가시킨다. 더 깊고 더 다양한 데이터 세트를 사용하여 시료 파라미터를 측정하는 것도 또한, 파라미터 사이의 상관 관계를 감소시키고 측정 정확도를 향상시킨다. 예를 들면, 법선 방위에서, SAXS는 피쳐의 임계 치수를 분해할 수 있지만, 그러나 피쳐의 측벽 각도 및 높이에 대해서는 크게 민감하지 않다. 그러나, 광범위한 평면 외 각도 위치에 걸쳐 측정 데이터를 수집하는 것에 의해, 피쳐의 측벽 각도 및 높이가 분해될 수 있다.
도 1에서 예시되는 바와 같이, 계측 툴(100)은 SAXS 산란계에 대한 큰 범위의 평면 외 각도 방위에 걸쳐 시료(101)를 정렬하는 것 및 시료(101)를 배향하는 것 둘 다를 하도록 구성되는 시료 위치결정 시스템(140)을 포함한다. 다시 말하면, 시료 위치결정 시스템(140)은, 시료(101)의 표면과 평면 내에(in-plane) 정렬되는 하나 이상의 회전 축을 중심으로 큰 각도 범위에 걸쳐 시료(101)를 회전시키도록 구성된다. 몇몇 실시형태에서, 시료 위치결정 시스템(140)은 시료(101)의 표면과 평면 내에 정렬되는 하나 이상의 회전 축을 중심으로 적어도 90 도의 범위 내에서 시료(101)를 회전시키도록 구성된다. 몇몇 실시형태에서, 시료 위치결정 시스템은, 시료(101)의 표면과 평면 내에 정렬되는 하나 이상의 회전 축을 중심으로 적어도 60 도의 범위 내에서 시료(101)를 회전시키도록 구성된다. 몇몇 다른 실시형태에서, 시료 위치결정 시스템은, 시료(101)의 표면과 평면 내 정렬되는 하나 이상의 회전 축을 중심으로 적어도 1 도의 범위 내에서 시료(101)를 회전시키도록 구성된다. 이러한 방식에서, 시료(101)의 각도 분해 측정치는, 시료(101)의 표면 상의 임의의 수의 위치에 걸쳐 계측 시스템(100)에 의해 수집된다. 하나의 예에서, 컴퓨팅 시스템(130)은 시료(101)의 소망되는 위치를 나타내는 시료 위치결정 시스템(140)의 모션 컨트롤러(145)에 커맨드 신호를 전달한다. 응답에서, 모션 컨트롤러(145)는, 시료(101)의 소망되는 위치결정을 달성하기 위해 시료 위치결정 시스템(140)의 다양한 액추에이터로의 커맨드 신호를 생성한다.
비제한적인 예로서, 도 1에서 예시되는 바와 같이, 시료 위치결정 시스템(140)은 시료 위치결정 시스템(140)에 시료(101)를 고정적으로 부착하기 위한 에지 그립 척(edge grip chuck; 141)을 포함한다. 회전 액추에이터(142)는 주변 프레임(143)에 대해 에지 그립 척(141) 및 부착된 시료(101)를 회전시키도록 구성된다. 묘사된 실시형태에서, 회전 액추에이터(142)는 도 1에 예시되는 좌표 시스템(146)의 x 축을 중심으로 시료(101)를 회전시키도록 구성된다. 도 1에서 묘사되는 바와 같이, z 축에 대한 시료(101)의 회전은 시료(101)의 평면 내 회전이다. x 축 및 y 축(도시되지 않음)을 중심으로 하는 회전은, 계측 시스템(100)의 계측 엘리먼트에 대하여 시료의 표면을 유효하게 기울이는 시료(101)의 평면 외 회전(out of plane rotation)이다. 비록 예시되지는 않았지만, 제2 회전 액추에이터는 y 축을 중심으로 시료(101)를 회전시키도록 구성된다. 선형 액추에이터(144)는 x 방향에서 주변 프레임(143)을 직동시키도록(translate) 구성된다. 다른 선형 액추에이터(도시되지 않음)는 y 방향에서 주변 프레임(143)을 직동시키도록 구성된다. 이러한 방식에서, 시료(101)의 표면 상의 모든 위치는, 일정 범위의 평면 외 각도 위치에 걸친 측정에 대해 이용 가능하다. 예를 들면, 하나의 실시형태에서, 시료(101)의 위치는 시료(101)의 법선 방위(normal orientation)에 대해 -45 도 내지 +45 도의 범위 내에서 여러 번의 각도 증분에 걸쳐 측정된다.
일반적으로, 시료 위치결정 시스템(140)은, 고니오미터(goniometer) 스테이지, 헥사포드(hexapod) 스테이지, 각도 스테이지(angular stage), 및 선형 스테이지(linear stage)를 포함하는 그러나 이들로 제한되지는 않는, 소망되는 선형 및 각도 위치 설정 성능을 달성하기 위한 기계적 엘리먼트의 임의의 적절한 조합을 포함할 수도 있다.
또 다른 양태에서, 주목하는 하나 이상의 파라미터의 값의 초기 추정치는, 측정 타겟에 대한 입사 x 선 빔의 단일의 방위에서 수행되는 T-SAXS 측정치에 기초하여 결정된다. 초기의 추정된 값은, 다수의 방위에서 T-SAXS 측정으로부터 수집되는 측정 데이터를 사용한 측정 모델의 회귀에 대한 주목하는 파라미터의 시작 값으로 구현된다. 이러한 방식에서, 주목하는 파라미터의 가까운 추정치가 상대적으로 적은 양의 계산 노력으로 결정되고, 이 가까운 추정치를 훨씬 더 큰 데이터 세트에 걸친 회귀에 대한 시작점으로서 구현하는 것에 의해, 더 적은 전체적인 계산 노력으로, 주목하는 파라미터의 개선된 추정치가 획득된다.
또 다른 양태에서, T-SAXS 측정 데이터는, 검출된 회절 차수의 측정된 강도에 기초하여 측정된 구조체의 이미지를 생성하기 위해 사용된다. 몇몇 실시형태에서, T-SAXS 응답 함수 모델은, 일반 전자 밀도 메쉬로부터의 산란을 설명하기 위해 일반화된다. 이 메쉬에서 모델링된 전자 밀도를 제한하여 연속성 및 희소한 에지를 강제하면서, 측정된 신호에 이 모델을 매치시키는 것은 샘플의 삼차원 이미지를 제공한다.
비록 T-SAXS 측정에 기초한 임계 치수(CD) 계측에 대해 기하학적 형상의 모델 기반의 파라메트릭 반전이 바람직하지만, 동일한 T-SAXS 측정 데이터로부터 생성되는 시료의 맵은, 측정된 시료가 기하학적 형상 모델의 가정치로부터 벗어날 때 모델 에러를 식별 및 보정하는 데 유용하다.
몇몇 예에서, 이미지는 동일한 산란측정법 측정 데이터의 기하학적 형상의 모델 기반의 파라메트릭 반전에 의해 추정되는 구조적 특성에 비교된다. 불일치는, 측정된 구조체의 기하학적 형상 모델을 업데이트하기 위해 그리고 측정 성능을 향상시키기 위해 사용된다. 정확한 파라메트릭 측정 모델에 수렴하는 능력은, 집적 회로의 제조 프로세스를 제어, 모니터링, 및 문제 해결하기 위해 집적 회로를 측정할 때 특히 중요하다.
몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복합 굴절률, 또는 이들 재료 특성의 조합의 이차원(two dimensional; 2-D) 맵이다. 몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복합 굴절률, 또는 이들 재료 특성의 조합의 삼차원(three dimensional; 3-D) 맵이다. 맵은 상대적으로 적은 물리적 제약을 사용하여 생성된다. 몇몇 예에서, 임계 치수(CD), 측벽 각도(sidewall angle; SWA), 오버레이, 에지 배치 오차, 피치 워크, 등등과 같은 주목하는 하나 이상의 파라미터는 결과적으로 나타나는 맵으로부터 직접적으로 추정된다. 몇몇 다른 예에서, 샘플 기하학적 형상 또는 재료가 모델 기반의 CD 측정을 위해 활용되는 파라메트릭 구조적 모델에 의해 기대되는 기대 값의 범위를 벗어날 때, 맵은 웨이퍼 프로세스를 디버깅하는 데 유용하다. 하나의 예에서, 구조체의 측정된 파라미터에 따라 파라메트릭 구조적 모델에 의해 예측되는 구조체의 렌더링과 맵 사이의 차이는, 파라메트릭 구조적 모델을 업데이트하기 위해 그리고 그것의 측정 성능을 향상시키기 위해 사용된다. 또 다른 세부 사항은 미국 특허 공개 공보 제2015/0300965호에서 설명되는데, 이 미국 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다. 추가적인 세부 사항은 미국 특허 공보 제2015/0117610호에서 설명되는데, 이 미국 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.
또 다른 양태에서, 모델 구축 및 분석 엔진(150)은 결합된 x 선 및 광학 측정 분석을 위한 모델을 생성하기 위해 활용된다. 몇몇 예에서, 광학적 시뮬레이션은, 예를 들면, 광학적 신호 예컨대 상이한 편광에 대한 반사율, 타원편광 해석 파라미터, 상 변화, 등등을 계산하기 위해 맥스웰(Maxwell) 방정식을 풀어야 하는 엄격한 결합파 분석(rigorous coupled-wave analysis; RCWA)에 기초한다.
주목하는 하나 이상의 관심 파라미터의 값은, 결합된 기하학적으로 파라미터화된 응답 모델을 사용한, 검출된 광학적 강도 및 복수의 상이한 입사각에서의 x 선 회절 차수의 검출된 강도의 결합된 피팅 분석에 기초하여 결정된다. 광학적 강도는, 도 1 및 도 2에 각각 묘사되는 시스템(100 및 200)과 같은 x 선 계측 시스템과 기계적으로 통합될 수도 있는 또는 통합되지 않을 수도 있는 광학 계측 툴에 의해 측정된다. 또 다른 세부 사항은, 미국 특허 공개 공보 제2014/0019097호 및 미국 특허 공보 제2013/0304424호에서 설명되는데, 이들 특허 공개 공보 각각의 내용은 그 전체가 참조에 의해 본원에 통합된다.
본원에 설명되는 바와 같이, T-SAXS 측정은 반도체 웨이퍼의 표면 법선에 대한 조명 x 선 빔의 다수의 방위에서 수행된다. 각각의 방위는 x 선 조명 빔에 대한 웨이퍼(101)의 임의의 두 번의 각도 회전에 의해 설명되거나, 또는 그 반대도 가능하다. 하나의 예에서, 방위는 웨이퍼에 고정되는 좌표 시스템과 관련하여 설명될 수 있다. 도 6은 각도 φ 및 θ에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(117)을 묘사한다. 좌표 프레임 XYZ는 계측 시스템에 고정되고 좌표 프레임 X'Y'Z'는 웨이퍼(101)에 고정된다. Z는 웨이퍼(101)의 표면에 수직인 축과 정렬된다. X 및 Y는 웨이퍼(101)의 표면과 정렬되는 평면 내에 있다. 마찬가지로, Z'는 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X' 및 Y'는 웨이퍼(101)의 표면과 정렬되는 평면 내에 있다. 도 6에서 묘사되는 바와 같이, x 선 조명 빔(117)은 X'Z' 평면 내에 놓여 있다. 각도 φ는, X'Z' 평면에서의 웨이퍼의 표면 법선에 대한 x 선 조명 빔(117)의 방위를 설명한다. 또한, 각도 θ는 XZ 평면에 대한 X'Z' 평면의 방위를 설명한다. 함께 θ 및 φ는, 웨이퍼(101)의 표면에 대한 x 선 조명 빔(117)의 방위를 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면에 수직인 축(즉, Z 축)을 중심으로 하는 회전 및 웨이퍼(101)의 표면과 정렬되는 축(즉, Y' 축)을 중심으로 하는 회전에 의해 설명된다. 몇몇 다른 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면과 정렬되는 제1 축 및 웨이퍼(101)의 표면과 정렬되며 도 1을 참조로 설명되는 바와 같은 제1 축에 수직인 다른 축을 중심으로 하는 회전에 의해 설명된다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 T-SAXS 측정에 의해 특성 묘사되는 계측 타겟은 피측정 웨이퍼의 스크라이브(scribe) 라인 내에 위치된다. 이들 실시형태에서, 계측 타겟은 스크라이브 라인의 폭 내에 맞도록 사이즈가 정해진다. 몇몇 예에서, 스크라이브 라인 폭은 80 마이크로미터 미만이다. 몇몇 예에서, 스크라이브 라인은 50 마이크로미터 미만이다. 일반적으로, 반도체 제조에서 활용되는 스크라이브 라인의 폭은 점점 더 작아지고 있는 추세이다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 T-SAXS 측정에 의해 특성 묘사되는 계측 타겟은 피측정 웨이퍼의 활성 다이(die) 영역 내에 위치되고 기능적 집적 회로(예를 들면, 메모리, 이미지 센서, 로직 디바이스, 등등)의 일부이다.
일반적으로, 조명 빔 스팟 사이즈는, 측정 중인 계측 타겟을 둘러싸고 있는 구조체로부터의 오염 신호를 최소화하기 위해, 측정 중인 계측 타겟의 측방 치수와 밀접하게 매칭되는 것이 바람직하다. 몇몇 실시형태에서, 측정 중인 계측 타겟은 임의의 측방 치수에서 70 마이크로미터 미만이다. 몇몇 실시형태에서, 측정 중인 계측 타겟은 임의의 측방 치수에서 50 마이크로미터 미만이다. 몇몇 실시형태에서, 측정 중인 계측 타겟은 임의의 측방 치수에서 40 마이크로미터 미만이다. 몇몇 실시형태에서, 측정 중인 계측 타겟은 임의의 측방 치수에서 10 마이크로미터 미만이다. 몇몇 실시형태에서, 측정 중인 계측 타겟은 1 마이크로미터보다 더 큰 전체 높이(또는 등가적으로, 깊이)에 의해 특성 묘사된다. 몇몇 실시형태에서, 측정 중인 계측 타겟은 2 마이크로미터를 초과하는 전체 높이(또는 등가적으로, 깊이)에 의해 특성 묘사된다.
일반적으로, 계측 타겟은, 계측 타겟의 최대 측방 범위 치수(즉, 웨이퍼 표면과 정렬되는 치수)에 의해 나누어지는 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)로서 정의되는 애스펙트비에 의해 특성 묘사된다. 몇몇 실시형태에서, 측정 중인 계측 타겟은 적어도 20의 애스펙트비를 갖는다. 몇몇 실시형태에서, 계측 타겟은 적어도 40의 애스펙트비를 갖는다.
도 5a 내지 도 5c는, 본원에서 설명되는 방식으로 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스(190)의 등각 투영도, 평면도, 및 단면도를 각각 묘사한다. 메모리 디바이스(190)의 전체 높이(또는 등가적으로 깊이)는 1 내지 수 마이크로미터의 범위에 걸친다. 메모리 디바이스(190)는 수직으로 제조된 디바이스이다. 메모리 디바이스(190)와 같은 수직으로 제조된 디바이스는, 본질적으로, 종래의 평면의 메모리 디바이스를 90 도 회전시켜, 비트 라인 및 셀 스트링을 (웨이퍼 표면에 수직인) 수직으로 배향한다. 충분한 메모리 용량을 제공하기 위해, 상이한 재료의 많은 수의 교호층이 웨이퍼 상에 퇴적된다. 이것은, 100 나노미터 이하의 최대 측방 범위를 갖는 구조체에 대해 수 미크론의 깊이까지 잘 수행할 것을 패턴화 프로세스에게 요구한다. 결과적으로, 25 대 1 또는 50 대 1의 애스펙트비가 보기 드문 것은 아니다.
도 7은 고 애스펙트비 홀 구조체의 어레이(310)의 평면도를 묘사한다. 도 7에서 묘사되는 바와 같이, 홀 구조체의 어레이는 (도면으로부터 내측 및 외측으로 연장하는) 평면(311, 312, 313 및 314)을 따라 가장 근접하게 패턴화된다. 몇몇 실시형태에서, 고 애스펙트비 구조체의 어레이가 가장 근접하게 패턴화되는 평면 내에 놓여 있는 피측정 웨이퍼의 표면에 대한 입사 x 선 조명 빔의 방위에서 본원에서 설명되는 바와 같은 고 애스펙트비 구조체의 측정을 수행하는 것이 바람직하다. 도 7에서 묘사되는 예에서, 홀 구조체의 어레이가 가장 근접하게 패턴화되는 평면(311 및 312 및 313 및 314) 내의 홀 구조체의 어레이(310)에 x 선 조명을 제공하는 것이 바람직하다.
도 8a는 이상적인 고 애스펙트비 홀 구조체(320)의 측면도를 묘사한다. 도 8b는 경사진 홀 구조체(321)의 측면도를 묘사한다. 도 8c는 점진적으로 기울어진 홀 구조체(322)의 측면도를 묘사하는데, 여기서 경사도는 깊이와 함께 점진적으로 증가한다. 많은 예에서, 홀 구조체(321 및 322)는 바람직하지 않다. 몇몇 실시형태에서, 홀 구조체(321 및 322)를 닮은 홀 구조체는 본원에 설명된 바와 같은 T-SAXS 측정에 의해 특성 묘사된다. 하나의 예에서, 홀 구조체(321)는 경사각 파라미터 α에 의해 특성 묘사된다. 또한, x 선 조명 빔(117)은, 예를 들면, 도 6을 참조하여 설명되는 바와 같이, 표면 법선에 대하여 각도 φ에서, 그리고, 반대 각도 -φ에서 홀 구조체(321)로 제공된다. 몇몇 실시형태에서, 이들 두 개의 조명 시나리오에서 발생하는 측정된 T-SAX 신호에서의 차이는, 경사각 α를 정확하게 추정하기에 충분한 신호 정보를 제공한다.
다른 예에서, 홀 구조체(322)는 다수의 경사각 파라미터 α1, α2 및 α3에 의해 조각별로(piecewise) 특성 묘사된다. 마찬가지로, x 선 조명 빔(117)은, 예를 들면, 도 6을 참조하여 설명되는 바와 같이, 표면 법선에 대하여 각도 φ에서, 그리고 반대 각도 -φ에서, 홀 구조체(322)에 제공된다. 몇몇 실시형태에서, 이들 두 개의 조명 시나리오에서 발생하는 측정된 T-SAX 신호에서의 차이는, 경사각 α1, α2 및 α3을 정확하게 추정하기에 충분한 신호 정보를 제공한다.
본 개시의 전체에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(130), 또는, 대안적으로, 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 시료 위치결정 시스템(140)과 같은 시스템(100)의 상이한 서브시스템은, 본원에서 설명되는 단계의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(130)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(130)은 기술 분야에서 공지되어 있는 임의의 방식으로 SAXS 검출기(116) 및 SAXS 조명 광학장치(115)에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(130)은 SAXS 검출기(116) 및 SAXS 조명 광학장치(115)와 각각 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, SAXS 검출기(116) 및 SAXS 조명 광학장치(115) 중 임의의 것은 컴퓨터 시스템(130)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
컴퓨터 시스템(130)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템(예를 들면, SAXS 검출기(116) 및 SAXS 조명 광학장치(115), 및 등등)의 서브시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는, 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수도 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(100), 외부 메모리, 또는 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 180))로부터 측정 데이터(예를 들면, 신호(126))를 수신하도록 구성될 수도 있다. 예를 들면, SAXS 검출기(116) 중 임의의 것의 분광계를 사용하여 획득되는 스펙트럼 결과는, 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(132 또는 180))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(130)에 의해 결정되는 시료 파라미터 값(170)은 영구적 또는 반영구적 메모리 디바이스(예컨대, 메모리(180))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(130)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 망라하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(134)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 1에서 예시되는 바와 같이, 메모리(132)에 저장되는 프로그램 명령어는 버스(133)를 통해 프로세서(131)로 송신된다. 프로그램 명령어(134)는 컴퓨터 판독 가능 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 산란측정법 분석은 제조 프로세스 툴의 일부로서 구현된다. 제조 프로세스 툴의 예는, 리소그래피 노광 툴, 막 퇴적 툴, 임플란트 툴, 및 에칭 툴을 포함하지만 그러나 이들로 제한되는 것은 아니다. 이러한 방식에서, T-SAXS 분석의 결과는 제조 프로세스를 제어하기 위해 사용된다. 하나의 예에서, 하나 이상의 타겟으로부터 수집되는 T-SAXS 측정 데이터는 제조 프로세스 툴로 전송된다. T-SAXS 측정 데이터는 본원에서 설명되는 바와 같이 분석되며 결과는 제조 프로세스 툴의 동작을 조정하도록 사용된다.
본원에서 설명되는 바와 같은 산란측정법 측정은, 다양한 반도체 구조체의 특성을 결정하기 위해 사용될 수도 있다. 예시적인 구조체는, FinFET, 나노와이어 또는 그래핀과 같은 저차원 구조체(low-dimensional structure), 10 nm 미만의 구조체, 리소그래피 구조체, 기판 관통 비아(through substrate via; TSV), 메모리 구조체 예컨대 DRAM, DRAM 4F2, FLASH, MRAM 및 고 애스펙트비 메모리 구조체를 포함하지만, 그러나 이들로 제한되는 것은 아니다. 예시적인 구조적 특성은, 기하학적 형상 파라미터 예컨대 라인 에지 조도, 라인 폭 조도, 기공 사이즈, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 및 재료적 파라미터 예컨대 전자 밀도, 조성, 입자 구조(grain structure), 형태(morphology), 응력, 변형률(strain), 및 원소 신원(elemental identification)을 포함하지만, 그러나 이들로 제한되지는 않는다.
도 9는 본 발명의 계측 시스템(100 및 200)에 의한 구현에 적합한 방법(300)을 예시한다. 하나의 양태에서, 방법(300)의 데이터 프로세싱 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수도 있다는 것이 인식된다. 다음의 설명은 계측 시스템(100 및 200)의 맥락에서 제시되지만, 계측 시스템(100 및 200)의 특정한 구조적 양태는 제한을 나타내지 않으며, 단지 예시적인 것으로 해석되어야 한다는 것이 본원에서 인식된다.
블록 301에서, 웨이퍼 표면 상에 형성되는 측정 타겟은, 측정 타겟에 대한 복수의 상이한 방위에서 x 선 방사선의 집속된 빔으로 조명된다. 측정 타겟은 하나 이상의 고 애스펙트비 구조체를 포함한다. 또한, 복수의 상이한 방위는, 웨이퍼 표면에 대한 법선 근처에서 더욱 조밀하게 집중되고, 웨이퍼 표면에 대한 법선으로부터 더 멀리 있는 방위에서 덜 조밀하게 집중된다.
블록 302에서, 각각의 방위에서의 x 선 방사선의 입사 빔에 응답하여, 측정 타겟으로부터 산란되는 일정 양의 방사선의 하나 이상의 회절 차수와 각각 관련되는 하나 이상의 강도가 검출된다.
블록 303에서, 측정 타겟의 모델과 관련되는 주목하는 파라미터의 값은, 복수의 방위에서의 회절 차수의 검출된 강도에 기초하여 결정된다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는, 구조체의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이, 등등), 임의의 둘 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 둘 이상의 구조체 사이의 변위(예를 들면, 중첩하는 격자 구조체 사이의 오버레이 변위, 등등)를 포함한다. 구조체는 삼차원 구조체, 패턴화된 구조체, 오버레이 구조체, 등등을 포함할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 애플리케이션 및 오버레이 계측 애플리케이션을 비롯한, 임의의 양태에서 시료를 특성 묘사하기 위해 적어도 부분적으로 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 이러한 용어는 본원에서 설명되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지는 않는다. 게다가, 본원에서 설명되는 계측 시스템은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은, LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴, 또는 멀티 모드 검사 툴(동시적으로 하나 이상의 플랫폼으로부터의 데이터를 수반함), 및 본원에서 설명되는 측정 기술로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
시료를 프로세싱하기 위해 사용될 수도 있는 반도체 프로세싱 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지되어 있는 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 비화 갈륨, 및 인화 인듐을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 이러한 기판은 반도체 제조 설비에서 공통적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패턴화될" 수도 있거나 또는 "패턴화되지 않을" 수도 있다. 예를 들면, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서의 사용을 위해 발매될(released) 수도 있는 또는 발매되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질의 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수도 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 재료의 이러한 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지되어 있는 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 설명되는 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독 가능 매체 상에 저장될 수도 있거나 또는 컴퓨터 판독 가능 매체를 통해 송신될 수도 있다. 컴퓨터 판독 가능 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적용 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 비제한적인 예로서, 이러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 소망되는 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 사용될 수 있으며 범용 컴퓨터나 특수 목적용 컴퓨터, 또는 범용 프로세서나 특수 목적용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 송신되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 컴팩트 디스크(compact disc; CD), 레이저 디스크, XRF 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는 데, 여기서 디스크(disk)는 보통 데이터를 자기적으로 재생하고, 디스크(disc)는 레이저를 이용하여 데이터를 광학적으로 재생한다. 상기의 조합도 컴퓨터 판독 가능 매체의 범위 내에 또한 포함되어야 한다.
소정의 특정한 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 상기에서 설명되는 특정한 실시형태로 제한되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합은 청구범위에서 기술되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (21)

  1. 계측 시스템에 있어서,
    일정 양의 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스;
    웨이퍼 표면 상에 형성되는 측정 타겟을, 상기 측정 타겟에 대한 복수의 방위들에서 상기 일정 양의 x 선 방사선의 집속된 빔으로 조명하도록 구성되는 x 선 조명 광학장치(optics) 서브시스템으로서, 상기 측정 타겟은 하나 이상의 고 애스펙트비 구조체를 포함하고, 상기 복수의 상이한 방위는 상기 웨이퍼 표면에 대한 법선 근처에서 더 조밀하게 집중되고 상기 웨이퍼 표면에 대한 법선으로부터 더 멀리 있는 방위에서 덜 조밀하게 집중되는 것인, 상기 x 선 조명 광학장치 서브시스템;
    각각의 방위에서의 상기 x 선 방사선의 입사 빔에 응답하여, 상기 측정 타겟으로부터 산란되는 일정 양의 방사선의 하나 이상의 회절 차수와 각각 관련되는 하나 이상의 강도를 검출하도록 구성되는 x 선 검출기; 및
    컴퓨팅 시스템
    을 포함하고, 상기 컴퓨팅 시스템은,
    상기 복수의 상이한 방위들에서의 상기 회절 차수의 상기 검출된 강도에 기초하여 상기 측정 타겟의 모델과 관련되는 주목하는 파라미터의 값을 결정하도록 구성되는 것인, 계측 시스템.
  2. 제1항에 있어서,
    상기 주목하는 파라미터는 형상(shape) 파라미터인 것인, 계측 시스템.
  3. 제1항에 있어서,
    상기 하나 이상의 고 애스펙트비 구조체는 적어도 1 마이크로미터의 전체 깊이를 갖는 것인, 계측 시스템.
  4. 제3항에 있어서,
    상기 하나 이상의 고 애스펙트비 구조체는, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 삼차원 NAND 메모리(three dimensional NAND memory; 3D-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 삼차원 FLASH 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAMPC), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM) 중 임의의 것인, 계측 시스템.
  5. 제1항에 있어서,
    상기 하나 이상의 고 애스펙트비 구조체는 적어도 20의 애스펙트비를 가지며, 상기 애스펙트비는 최대 측방(lateral) 범위 치수에 의해 나누어지는 최대 높이 치수로서 정의되는 것인, 계측 시스템.
  6. 제1항에 있어서,
    상기 하나 이상의 고 애스펙트비 구조체는 상이한 재료들의 교호(alternating) 층들을 포함하는 것인, 계측 시스템.
  7. 제1항에 있어서,
    상기 X 선 조명 소스는, 액체 금속 분사 x 선 조명 소스, 고체 애노드 x 선 조명 소스, 및 역 콤프턴(inverse Compton) x 선 조명 소스 중 임의의 것을 포함하는 것인, 계측 시스템.
  8. 제1항에 있어서,
    상기 측정 타겟은 스크라이브(scribe) 라인 영역 내에 또는 활성 다이(die) 영역 내에 위치되는 것인, 계측 시스템.
  9. 제1항에 있어서,
    상기 주목하는 적어도 하나의 파라미터를 결정하는 단계는, 기하학적으로 파라미터화된 응답 모델을 사용한 상기 회절 차수의 상기 검출된 강도의 피팅(fitting) 분석을 수반하는 것인, 계측 시스템.
  10. 제9항에 있어서,
    상기 컴퓨터 서브시스템은 또한, 상기 복수의 상이한 방위들에서의 상기 회절 차수의 상기 검출된 강도에 기초하여 상기 측정 타겟의 다차원 이미지를 결정하도록 구성되는 것인, 계측 시스템.
  11. 제10항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 측정 타겟의 상기 이미지와 상기 주목하는 적어도 하나의 파라미터 사이의 차이에 기초하여 상기 측정 타겟의 상기 기하학적으로 파라미터화된 응답 모델을 수정하도록 구성되는 것인, 계측 시스템.
  12. 제1항에 있어서,
    상기 주목하는 파라미터의 상기 값의 상기 결정은, 결합된 기하학적으로 파라미터화된 응답 모델을 사용한, 검출된 광학적 강도 및 상기 복수의 상이한 방위들에서의 상기 회절 차수의 상기 검출된 강도의 결합된 피팅 분석을 수반하고, 상기 광학적 강도는 광학적 계측 툴에 의해 측정되는 것인, 계측 시스템.
  13. 방법에 있어서,
    웨이퍼 표면 상에 형성되는 측정 타겟을, 상기 측정 타겟에 대한 복수의 상이한 방위들에서 x 선 방사선의 집속된 빔으로 조명하는 단계로서, 상기 측정 타겟은 하나 이상의 고 애스펙트비 구조체를 포함하고, 상기 복수의 상이한 방위들은 상기 웨이퍼 표면에 대한 법선 근처에서 더 조밀하게 집중되고 상기 웨이퍼 표면에 대한 법선으로부터 더 멀리 있는 방위들에서 덜 조밀하게 집중되는 것인, 상기 조명하는 단계;
    각각의 방위에서의 상기 x 선 방사선의 입사 빔에 응답하여, 상기 측정 타겟으로부터 산란되는 일정 양의 방사선의 하나 이상의 회절 차수와 각각 관련되는 하나 이상의 강도를 검출하는 단계; 및
    상기 복수의 상이한 방위들에서의 상기 회절 차수의 상기 검출된 강도에 기초하여 상기 측정 타겟의 모델과 관련되는 주목하는 파라미터의 값을 결정하는 단계
    를 포함하는, 방법.
  14. 제13항에 있어서,
    상기 하나 이상의 고 애스펙트비 구조체는 적어도 1 마이크로미터의 전체 깊이를 갖는 것인, 방법.
  15. 제13항에 있어서,
    상기 하나 이상의 고 애스펙트비 구조체는 적어도 20의 애스펙트비를 가지며, 상기 애스펙트비는 최대 측방 범위 치수에 의해 나누어지는 최대 높이 치수로서 정의되는 것인, 방법.
  16. 제13항에 있어서,
    상기 주목하는 적어도 하나의 파라미터를 결정하는 단계는, 기하학적으로 파라미터화된 응답 모델을 사용한 상기 회절 차수의 상기 검출된 강도의 피팅 분석을 수반하는 것인, 방법.
  17. 제16항에 있어서,
    상기 복수의 상이한 방위들에서의 상기 회절 차수의 상기 검출된 강도에 기초하여 상기 측정 타겟의 다차원 이미지를 결정하는 단계를 더 포함하는, 방법.
  18. 제17항에 있어서,
    상기 측정 타겟의 상기 이미지와 상기 주목하는 적어도 하나의 파라미터 사이의 차이에 기초하여 상기 측정 타겟의 상기 기하학적으로 파라미터화된 응답 모델을 수정하는 단계를 더 포함하는, 방법.
  19. 제13항에 있어서,
    상기 주목하는 파라미터의 상기 값의 상기 결정은, 결합된 기하학적으로 파라미터화된 응답 모델을 사용한, 검출된 광학적 강도 및 상기 복수의 상이한 방위에들서의 상기 회절 차수의 상기 검출된 강도의 결합된 피팅 분석을 수반하고, 상기 광학적 강도는 광학적 계측 툴에 의해 측정되는 것인, 방법.
  20. 계측 시스템에 있어서,
    일정 양의 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스;
    웨이퍼 표면 상에 형성되는 측정 타겟을, 상기 측정 타겟에 대한 복수의 상이한 방위들에서 상기 일정 양의 x 선 방사선의 집속된 빔으로 조명하도록 구성되는 x 선 조명 광학장치 서브시스템으로서, 상기 측정 타겟은 하나 이상의 고 애스펙트비 구조체를 포함하고, 상기 복수의 상이한 방위들은 상기 웨이퍼 표면에 대한 법선 근처에서 더 조밀하게 집중되고 상기 웨이퍼 표면에 대한 법선으로부터 더 멀리 있는 방위들에서 덜 조밀하게 집중되는 것인, 상기 x 선 조명 광학장치 서브시스템;
    각각의 방위에서의 상기 x 선 방사선의 입사 빔에 응답하여, 상기 측정 타겟으로부터 산란되는 일정 양의 방사선의 하나 이상의 회절 차수와 각각 관련되는 하나 이상의 강도를 검출하도록 구성되는 x 선 검출기; 및
    비일시적 컴퓨터 판독 가능 매체
    를 포함하고, 상기 비일시적 컴퓨터 판독 가능 매체는,
    컴퓨팅 시스템으로 하여금, 상기 복수의 방위들에서의 상기 회절 차수의 상기 검출된 강도에 기초하여 상기 측정 타겟의 모델과 관련되는 주목하는 파라미터의 값을 결정하도록 하기 위한 코드를 포함하는 것인, 계측 시스템.
  21. 제20항에 있어서,
    상기 주목하는 파라미터의 상기 값의 상기 결정은, 결합된 기하학적으로 파라미터화된 응답 모델을 사용한, 검출된 광학적 강도 및 상기 복수의 상이한 방위들에서의 상기 회절 차수의 상기 검출된 강도의 결합된 피팅 분석을 수반하고, 상기 광학적 강도는 광학적 계측 툴에 의해 측정되는 것인, 계측 시스템.
KR1020187019108A 2015-12-11 2016-09-30 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측 KR102363266B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562266447P 2015-12-11 2015-12-11
US62/266,447 2015-12-11
US15/230,336 2016-08-05
US15/230,336 US10352695B2 (en) 2015-12-11 2016-08-05 X-ray scatterometry metrology for high aspect ratio structures
PCT/US2016/054758 WO2017099870A1 (en) 2015-12-11 2016-09-30 X-ray scatterometry metrology for high aspect ratio structures

Publications (2)

Publication Number Publication Date
KR20180083435A true KR20180083435A (ko) 2018-07-20
KR102363266B1 KR102363266B1 (ko) 2022-02-14

Family

ID=59014021

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187019108A KR102363266B1 (ko) 2015-12-11 2016-09-30 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측

Country Status (7)

Country Link
US (1) US10352695B2 (ko)
JP (1) JP6815401B2 (ko)
KR (1) KR102363266B1 (ko)
CN (1) CN108401437B (ko)
IL (1) IL259489B (ko)
TW (1) TWI689702B (ko)
WO (1) WO2017099870A1 (ko)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
TWI744493B (zh) * 2017-02-27 2021-11-01 以色列商諾發測量儀器股份有限公司 控制系統
JP6904731B2 (ja) * 2017-03-01 2021-07-21 株式会社Zozo サイズ測定装置、管理サーバ、ユーザ端末及びサイズ測定システム
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
CN108151640B (zh) * 2018-01-17 2024-04-16 中国科学院宁波材料技术与工程研究所 弹性导体在测量尺中的应用、电子测量尺及测量方法
JP7189959B2 (ja) * 2018-03-05 2022-12-14 ケーエルエー コーポレイション 三次元半導体構造の可視化
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
JP2019191167A (ja) * 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
DE112019002822T5 (de) 2018-06-04 2021-02-18 Sigray, Inc. Wellenlängendispersives röntgenspektrometer
GB2591630B (en) 2018-07-26 2023-05-24 Sigray Inc High brightness x-ray reflection source
US11703464B2 (en) 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
WO2020051061A1 (en) 2018-09-04 2020-03-12 Sigray, Inc. System and method for x-ray fluorescence with filtering
WO2020051221A2 (en) 2018-09-07 2020-03-12 Sigray, Inc. System and method for depth-selectable x-ray analysis
US11562289B2 (en) 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
JP7168985B2 (ja) 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11308606B2 (en) * 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11581264B2 (en) 2019-08-21 2023-02-14 Micron Technology, Inc. Electronic devices comprising overlay marks, memory devices comprising overlay marks, and related methods
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
CN114729907B (zh) 2019-09-03 2023-05-23 斯格瑞公司 用于计算机层析x射线荧光成像的系统和方法
US11867595B2 (en) * 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11335608B2 (en) 2020-04-15 2022-05-17 Kla Corporation Electron beam system for inspection and review of 3D devices
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
JP7395775B2 (ja) 2020-05-18 2023-12-11 シグレイ、インコーポレイテッド 結晶解析装置及び複数の検出器素子を使用するx線吸収分光法のためのシステム及び方法
JP7458935B2 (ja) 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US11798828B2 (en) 2020-09-04 2023-10-24 Kla Corporation Binning-enhanced defect detection method for three-dimensional wafer structures
DE112021004828T5 (de) 2020-09-17 2023-08-03 Sigray, Inc. System und verfahren unter verwendung von röntgenstrahlen für tiefenauflösende messtechnik und analyse
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
WO2022126071A1 (en) 2020-12-07 2022-06-16 Sigray, Inc. High throughput 3d x-ray imaging system using a transmission x-ray source
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
US20220252395A1 (en) * 2021-02-10 2022-08-11 Kla Corporation Methods And Systems For Accurate Measurement Of Deep Structures Having Distorted Geometry
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US20220404143A1 (en) * 2021-06-18 2022-12-22 Kla Corporation Methods And Systems For Measurement Of Tilt And Overlay Of A Structure
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
WO2023013036A1 (ja) * 2021-08-06 2023-02-09 川崎車両株式会社 構造物の製造方法、構造物製造用の識別子、構造物の製造システム及び機械加工プログラム
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
US11992350B2 (en) 2022-03-15 2024-05-28 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
JP2023137548A (ja) 2022-03-18 2023-09-29 キオクシア株式会社 計測装置及び計測方法
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source
CN115344937B (zh) * 2022-10-20 2023-03-17 三一筑工科技股份有限公司 一种钢筋标注方法、装置、电子设备及存储介质
CN116499401A (zh) * 2023-06-29 2023-07-28 深圳市圭华智能科技有限公司 基于X-ray的晶圆级玻璃通孔TGV检测装置及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20150110249A1 (en) * 2013-10-21 2015-04-23 Kla-Tencor Corporation Small-angle scattering x-ray metrology systems and methods
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5798846A (en) * 1980-12-11 1982-06-19 Nec Corp X-ray diffractometer
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
GB2297835A (en) * 1995-02-08 1996-08-14 Secr Defence Three dimensional detection of contraband using x rays
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7245696B2 (en) * 2002-05-29 2007-07-17 Xradia, Inc. Element-specific X-ray fluorescence microscope and method of operation
CN1270176C (zh) * 2002-12-02 2006-08-16 中国科学技术大学 对组合样品的结构和成分进行测量分析的方法及装置
US7076024B2 (en) 2004-12-01 2006-07-11 Jordan Valley Applied Radiation, Ltd. X-ray apparatus with dual monochromators
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP2006275901A (ja) * 2005-03-30 2006-10-12 Seiko Epson Corp 結晶評価装置および結晶評価方法
KR101374308B1 (ko) * 2005-12-23 2014-03-14 조르단 밸리 세미컨덕터즈 리미티드 Xrf를 사용한 층 치수의 정밀 측정법
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP2007285923A (ja) * 2006-04-18 2007-11-01 Jordan Valley Semiconductors Ltd 反射モードのx線回折を用いた限界寸法の測定
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
KR101041840B1 (ko) 2008-05-15 2011-06-17 주식회사 쎄크 불량검사장치 및 그 제어방법
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9348038B2 (en) * 2010-09-13 2016-05-24 Thunder Bay Regional Institute Systems and methods for resetting photoconductive x-ray imaging detectors
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
CN103207195B (zh) * 2013-04-08 2015-01-14 中国科学技术大学 一种小角和广角x射线散射联用装置及其实验测试方法
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10460999B2 (en) 2013-11-27 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metrology device and metrology method thereof
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20150110249A1 (en) * 2013-10-21 2015-04-23 Kla-Tencor Corporation Small-angle scattering x-ray metrology systems and methods
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology

Also Published As

Publication number Publication date
KR102363266B1 (ko) 2022-02-14
JP6815401B2 (ja) 2021-01-20
IL259489A (en) 2018-07-31
TWI689702B (zh) 2020-04-01
US10352695B2 (en) 2019-07-16
IL259489B (en) 2021-09-30
CN108401437A (zh) 2018-08-14
WO2017099870A1 (en) 2017-06-15
JP2019505766A (ja) 2019-02-28
TW201730514A (zh) 2017-09-01
US20170167862A1 (en) 2017-06-15
CN108401437B (zh) 2021-02-26

Similar Documents

Publication Publication Date Title
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
US11428650B2 (en) Computationally efficient x-ray based overlay measurement
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
KR102580560B1 (ko) 투과 소각 x 선 산란 계측 시스템
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant