CN108401437A - 用于高高宽比结构的x光散射测量计量 - Google Patents

用于高高宽比结构的x光散射测量计量 Download PDF

Info

Publication number
CN108401437A
CN108401437A CN201680070562.0A CN201680070562A CN108401437A CN 108401437 A CN108401437 A CN 108401437A CN 201680070562 A CN201680070562 A CN 201680070562A CN 108401437 A CN108401437 A CN 108401437A
Authority
CN
China
Prior art keywords
diffraction
saxs
width ratio
sample
metering system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680070562.0A
Other languages
English (en)
Other versions
CN108401437B (zh
Inventor
T·G·奇乌拉
A·A·吉里纽
A·V·舒杰葛洛夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN108401437A publication Critical patent/CN108401437A/zh
Application granted granted Critical
Publication of CN108401437B publication Critical patent/CN108401437B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Public Health (AREA)
  • Toxicology (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

本文描述用于使用透射小角度x光散射T‑SAXS技术特性化高高宽比垂直制造装置的尺寸及材料性质的方法及系统。示范性结构包含自旋转移力矩随机存取存储器STT‑RAM、垂直NAND存储器V‑NAND、动态随机存取存储器DRAM、三维快闪存储器3D‑FLASH、电阻性随机存取存储器Re‑RAM及PC‑RAM。在一个方面中,以接近法向入射角较密集集中且以较远离所述法向入射角的定向较不密集集中的若干不同定向执行T‑SAXS测量。在另一方面中,使用T‑SAXS测量数据以基于检测到的衍射级的经测量强度产生经测量结构的图像。在又一方面中,计量系统经配置以产生针对组合的x光及光学测量分析的模型。

Description

用于高高宽比结构的X光散射测量计量
相关申请案的交叉参考
本专利申请案根据35 U.S.C.§119(e)规定主张2015年12月11日申请的标题为“垂直制造装置的X光散射(X-ray Scattering for Vertically Manufactured Devices)”的第62/266,447号美国临时专利申请案的优先权,所述美国专利申请案的标的物以全文引用的方式并入本文中。
技术领域
所描述的实施例涉及计量系统及方法,且更特定来说涉及用于改进的测量准确度的方法及系统。
背景技术
例如逻辑及存储器装置的半导体装置通常由应用于样品的一系列处理步骤制造。半导体装置的各种特征及多个结构层级是由这些处理步骤形成。举例来说,其中光刻是涉及在半导体晶片上产生图案的一种半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可在单个半导体晶片上制造且接着分离成个别半导体装置。
在半导体制造工艺期间的各个步骤使用计量过程以检测晶片上的缺陷以促进较高良率。通常使用包含散射测量及反射测量实施方案以及相关联的分析算法的若干基于计量的技术以特性化临界尺寸、膜厚度、组合物及纳米级结构的其它参数。
传统上,对由薄膜及/或重复周期性结构组成的目标执行散射测量临界尺寸(SCR)测量。在装置制造期间,这些膜及周期性结构通常表示实际装置几何形状及材料结构或中间设计。随着装置(例如,逻辑及存储器装置)迈向更小纳米级尺寸,特性化变得更困难。并入复杂三维几何形状及具有多种物理性质的材料的装置造成特性化困难。举例来说,现代存储器结构通常是高高宽比三维结构,其使得光学辐射难以穿透到底层。利用红外到可见光的光学计量工具可穿透许多半透明材料层,但提供良好的穿透深度的较长波长不提供对小异常的足够敏感度。另外,特性化复杂结构(例如,FinFET)所需的增大数目个参数导致渐大的参数相关性。因此,特性化目标的参数通常无法可靠地脱离可用测量。
在一个实例中,已采用较长波长(例如,近红外)以试图克服利用多晶硅作为堆叠中的一种替代材料的3D FLASH装置的穿透问题。然而,3D FLASH的镜状结构随着照明更深地传播到膜堆叠中而固有地引起减小的光强度。这引起深度下的敏感度损耗及相关性问题。在此场景中,SCD仅能够以高敏感度及低相关性成功提取一组精简的计量尺寸。
在另一实例中,在现代半导体结构中越来越多地采用不透明高k值材料。光学辐射通常无法穿透由这些材料构成的层。因此,使用薄膜散射测量工具(例如椭偏仪或反射计)的测量变得越来越具挑战性。
响应于这些挑战,已开发更复杂的光学计量工具。举例来说,已开发具有多个照明角度、较短照明波长、较广照明波长范围及从反射信号的更完整信息获取(例如,除了较常规的反射率或椭偏测量信号之外,还测量多个穆勒(Mueller)矩阵元素)的工具。然而,这些方法未可靠克服与许多先进目标(例如,复杂3D结构、小于10nm的结构、采用不透明材料的结构)的测量及测量应用(例如,线边缘粗糙度及线宽度粗糙度测量)相关联的根本挑战。
原子力显微镜(AFM)及扫描穿隧显微镜(STM)能够实现原子分辨率但其仅可探测样品的表面。另外,AFM及STM显微镜需要长扫描时间。扫描电子显微镜(SEM)实现中间分辨率水平,但无法穿透结构到足够深度。因此,未良好特性化高高宽比孔。另外,样品所需的充电对成像性能具有不利影响。X光反射计还遭受当测量高高宽比结构时限制其有效性的穿透问题。
为了克服穿透深度问题,结合破坏性样本制备技术(例如聚焦离子束(FIB)加工、离子铣削、毯覆式或选择性蚀刻等)采用传统成像技术(例如TEM、SEM等)。举例来说,透射电子显微镜(TEM)实现高分辨率水平且能够探测任意深度,但TEM需要样品的破坏性分段。材料移除及测量的若干反复通常提供遍及三维结构测量临界计量参数所需的信息。但是,这些技术需要样本破坏及长过程时间。完成这些类型的测量的复杂性及时间归因于蚀刻及计量步骤的漂移而引入大的不准确度。另外,这些技术需要引入配准误差的若干反复。
为了进一步改进装置性能,半导体产业继续关注于垂直集成而非横向按比例调整。因此,复杂、完全三维结构的精确测量对于确保可行性及持续按比例调整改进至关重要。未来计量应用归因于越来越小的分辨率要求、多参数相关性、越来越复杂的几何结构(包含高高宽比结构)及不透明材料的越来越多的使用而存在计量挑战。因此,期望用于改进CD测量的方法及系统。
发明内容
本文描述用于使用透射小角度x光散射(T-SAXS)技术特性化高高宽比垂直制造装置的尺寸及材料性质的方法及系统。在一些实例中,采用T-SAXS以测量高高宽比半导体结构的临界尺寸、厚度、重叠及材料性质,包含(但不限于)自旋转移力矩随机存取存储器(STT-RAM)、垂直NAND存储器(V-NAND)、动态随机存取存储器(DRAM)、三维快闪存储器(3D-FLASH)、电阻性随机存取存储器(Re-RAM)及PC-RAM。
T-SAXS测量涉及以相对于样本的一或多个定向使用X光光束照明所述样本及针对每一定向检测所得衍射级的强度。基于T-SAXS的CD计量涉及通过经测量数据的经预先确定几何模型的回归而从测量确定样本的尺寸。
一方面,根据取样计划以若干不同定向执行特定检验区域的T-SAXS测量。取样计划包含接近法向入射角较密集集中且以较远离所述法向入射角的定向的较不密集集中的定向的非均匀间距。
另一方面,计量系统经配置以产生样品的经测量结构的结构模型(例如,几何模型、材料模型或组合的几何及材料模型),从所述结构模型产生包含至少一个几何参数的T-SAXS响应模型,且通过使用T-SAXS响应模型执行T-SAXS测量数据的拟合分析而分辨至少一个样品参数值。以此方式,经模拟T-SAXS信号与经测量数据的比较实现例如电子密度及元素识别及样本的组合物的几何以及材料性质的确定。
另一方面,基于以所述入射x光光束相对于所述测量目标的单个定向执行的T-SAXS测量来确定一或多个所关注参数的值的初始估计。所述初始估计值实施为针对使用以多个定向从T-SAXS测量收集的测量数据的测量模型的回归的所述所关注参数的起始值。以此方式,使用相对少量的运算工作量确定所关注参数的接近估计,且通过将此接近估计实施为针对更大数据集内的回归的起始点,使用较少总体计算工作量获得所述所关注参数的细化估计。
另一方面,使用T-SAXS测量数据以基于所述检测到的衍射级的所述经测量强度产生经测量结构的图像。在一些实施例中,一般化T-SAXS响应函数模型以描述来自通用电子密度网的散射。使此模型与经测量信号匹配同时约束此网中的建模电子密度以实施连续及稀疏边缘提供所述样本的三维图像。
另一方面,计量系统经配置以产生针对组合的x光及光学测量分析的模型。
前述是发明内容且因此必然含有细节的简化、概括及省略;因此,所属领域的技术人员将了解,发明内容仅是说明性且不以任何方式具限制性。本文中描述的装置及/或过程的其它方面、发明特征及优点将在本文中所陈述的非限制性详细描述中变得显而易见。
附图说明
图1是说明经配置以根据本文中描述的方法执行透射小角度x光散射测量(T-SAXS)测量的计量系统100的图。
图2是说明经配置以根据本文中描述的方法执行T-SAXS测量的另一实施例中的计量系统200的图。
图3是说明在与样品101分离的真空环境162中含有的计量系统100及200的x光检测器116的图。
图4是说明经配置以根据本文中描述的方法基于T-SAXS数据分辨样品参数值的模型建立及分析引擎150的图。
图5A到5C分别描绘以本文中描述的方式经受测量的典型3D快闪存储器装置190的等角视图、俯视图及横截面视图。
图6描绘以由角度φ及θ描述的特定定向入射于晶片101上的x光照明光束117。
图7描绘高高宽比孔结构阵列310的俯视图。
图8A描绘理想高高宽比孔结构320的侧视图。
图8B描绘倾斜孔结构321的侧视图。
图8C描绘渐进倾斜孔结构322的侧视图,其中倾斜度随深度而渐进增加。
图9描绘说明基于T-SAXS测量而测量高高宽比结构的示范性方法300的流程图。
具体实施方式
现在将详细参考本发明的背景实例及一些实施例,在附图中说明其实例。
本文描述用于使用透射小角度x光散射(T-SAXS)技术特性化高高宽比垂直制造装置的尺寸及材料性质的方法及系统。采用此类系统及技术以测量与不同半导体制造工艺相关联的结构及材料特性。在一些实例中,采用T-SAXS以测量高高宽比半导体结构的临界尺寸、厚度、重叠及材料性质,包含(但不限于)自旋转移力矩随机存取存储器(STT-RAM)、三维NAND存储器(3D-NAND)或垂直NAND存储器(V-NAND)、动态随机存取存储器(DRAM)、三维快闪存储器(3D-FLASH)、电阻性随机存取存储器(Re-RAM)及相变随机存取存储器(PC-RAM)。
T-SAXS测量涉及使用X光光束照明样本及针对相对于样本的一或多个入射角检测所得衍射级的强度。基于T-SAXS的CD计量涉及通过使用经测量数据的经预先确定几何模型的回归而从测量确定样本的尺寸。几何模型包含若干(十的数量级)可调整参数且表示样品的几何形状及光学性质。
一方面,在提供足够分辨率及穿透深度的入射角的范围内执行T-SAXS测量以通过高高宽比结构的整个深度特性化高高宽比结构。发明者已发现,当以照明x光光束相对于半导体晶片的平面的一或多个非法向定向且尤其在接近法向入射的定向进行测量时以强且独有方式影响x光衍射信号。
高亮度T-SAXS的使用实现到目标的不透明区域中的高通量x光辐射穿透。使用T-SAXS的可测量几何参数的实例包含孔径、孔密度、线边缘粗糙度、线宽度粗糙度、侧壁角、轮廓、临界尺寸、重叠、边缘放置误差及节距。可测量材料参数的实例包含电子密度。在一些实例中,T-SAXS实现小于10nm的特征以及其中需要几何参数及材料参数的测量的先进半导体结构(例如STT-RAM、V-NAND、DRAM、PC-RAM及Re-RAM)的测量。
图1说明用于根据本文中提出的示范性方法测量样品的特性的计量工具100的实施例。如图1中展示,系统100可用于在安置于样品定位系统140上的样品101的检验区域102上方执行T-SAXS测量。在一些实施例中,检验区域102具有80微米或更小的光点大小。在一些实施例中,检验区域102具有50微米或更小的光点大小。在一些实施例中,检验区域102具有40微米或更小的光点大小。
在所描绘的实施例中,计量工具100包含经配置以产生适合于SAXS测量的x光辐射的x光照明源110。在一些实施例中,x光照明系统110经配置以产生在0.01纳米与1纳米之间的波长。X光照明源110产生入射于样品101的检验区域102上的x光光束117。
一般来说,可预期能够在足以实现高处理量、线内计量的通量级下产生高亮度x光的任何适合高亮度x光照明源供应用于T-SAXS测量的x光照明。在一些实施例中,x光源包含使x光源能够以不同可选择波长递送x光辐射的可调谐单色器。
在一些实施例中,采用发射具有大于15keV的光子能量的辐射的一或多个x光源以确保x光源以允许穿过整个装置以及晶片衬底的充分透射的波长供应光。举非限制性实例,粒子加速器源、液体阳极源、旋转阳极源、固定固体阳极源、微聚焦源、微聚焦旋转阳极源及逆康普顿(Compton)源的任何者可用作x光源110。在一个实例中,可预期可购自(美国)加利福尼亚州帕洛阿尔托市(Palo Alto)的山猫技术有限公司(LynceanTechnologies,Inc.)的逆康普顿源。逆康普顿源具有能够在光子能量的范围内产生x光,借此使x光源能够以不同可选择波长递送x光辐射的额外优点。
示范性x光源包含经配置以轰击固体或液体目标以激发x光辐射的电子束源。图2描绘用于根据本文中提出的示范性方法测量样品的特性的计量工具200。计量工具100及200的相同编号的元件类似。然而,在图2中描绘的实施例中,x光照明源110是基于液体金属的x光照明系统。液体金属喷流119从液体金属容器111产生且收集于液体金属收集器112中。液体金属循环系统(未展示)将由收集器112收集的液体金属返回到液体金属容器111。液体金属喷流119包含一或多个元素。举非限制性实例,液体金属喷流119包含铝、镓、铟、锡、铊及铋的任何者。以此方式,液体金属喷流119产生对应于其成分元素的x光线。在一个实施例中,液体金属喷流包含镓及铟合金。在一些实施例中,x光照明系统110经配置以产生在0.01纳米与1纳米之间的波长。电子束源113(例如,电子枪)产生由电子光学器件114引导到液体金属喷流119的电子流118。适合电子光学器件114包含用于聚焦电子束且将束引导于液体金属喷流处的电磁铁、永久磁铁或电磁铁及永久磁铁的组合。液体金属喷流119及电子流118的重合产生入射于样品101的检验区域102上的x光光束117。
在一个实施例中,入射x光光束117在24.2keV的铟kα线处。使用用于T-SAXS测量的多层x光光学器件将x光光束向下准直到小于1毫弧度发散。
在一些实施例中,在不使用定位于x光源与所测量样品之间的屏幕的情况下实现本文中描述的x光散射测量。在这些实施例中,在入射角、多个波长或两者的组合的范围内的衍射级的经测量强度提供足够信息,以分辨经测量结构的所要材料性质(例如,复折射率、电子密度或吸收率)的分布图(即,图像)。然而,在一些其它实例中,针孔或另一孔径定位于处于x光源与所测量样品之间的另外不透明屏幕上以改进x光光束的准直。针对孔径的若干位置测量衍射图案的强度。在一些其它实施例中,使用具有伪随机孔径图案的屏幕且针对多个屏幕测量衍射图案。也可预期这些方法提供额外信息以分辨经测量结构的所要材料性质的三维分布。
在2011年4月19日颁予科磊公司(KLA-Tencor Corp.)的第7,929,667号美国专利中描述用于产生高亮度液体金属x光照明的方法及系统,所述专利全文以引用的方式并入本文中。
如图1中描绘,x光光学器件115塑形入射x光光束117且将入射x光光束117引导到样品101。在一些实例中,x光光学器件115包含x光单色器以使入射于样品101上的x光光束单色化。在一个实例中,采用晶体单色器(例如Loxley-Tanner-Bowen单色器)以使x光辐射的光束单色化。在一些实例中,x光光学器件115使用多层x光光学器件将x光光束117准直或聚焦到样品101的检验区域102上到小于1毫弧度发散。在一些实施例中,x光光学器件115包含一或多个x光准直镜、x光孔径、x光光束光阑、折射x光光学器件、衍射光学器件(例如波带片)、镜面x光光学器件(例如掠入射椭球面镜)、多毛细管光学器件(例如中空毛细管x光波导)、多层光学器件或系统或其任何组合。在第2015/0110249号美国专利公开案中描述另外细节,所述美国专利公开案的内容以全文引用的方式并入本文中。
X光检测器116收集从样品101散射的x光辐射125且根据T-SAXS测量模态产生指示对入射x光辐射灵敏的样品101的性质的输出信号126。在一些实施例中,由x光检测器116收集经散射x光125,同时样品定位系统140定位且定向样品101以产生角度分辨的经散射x光。在一些实施例中,x光检测器116能够分辨一或多个x光光子能量且针对每一x光能量分量产生指示样品的性质的信号。在一些实施例中,x光检测器116包含CCD阵列、微通道板、光电二极管阵列、微带比例计数器、充气比例计数器、闪烁器或荧光材料的任何者。在一些实施例中,x光检测器116包含检测所检测光子的位置及数目的单个光子计数检测器。
在一些实施例中,x光检测器116维持于与样品101相同的大气环境(例如,气体冲洗环境)中。然而,在一些实施例中,样品101与x光检测器116之间的距离是长的(例如,大于1米)。在这些实施例中,环境扰动(例如,空气紊流)给检测到的信号带来噪声。因此,在一些实施例中,一或多个x光检测器维持于由真空窗而与样品(例如,样品101)分离的局部真空环境中。
图3是说明在一个实施例中含有x光检测器116的真空腔室160的图。在优选实施例中,真空腔室160包含样品101与x光检测器116之间的路径的实质部分。真空腔室160的开口由真空窗161覆盖。真空窗161可由基本上透射x光辐射的任何适合材料(例如,铍)构成。经散射x光辐射125穿过真空窗161,进入真空腔室160且入射于x光检测器116上。在真空腔室160内维持适合真空环境162以最小化对经散射x光辐射125的扰动。
计量工具100还包含用于获取由SAXS检测器116产生的信号且至少部分基于经获取信号确定样品的性质的计算系统130。如图1中说明,计算系统130通信耦合到SAXS检测器116。
在T-SAXS测量中,高高宽比垂直制造结构将准直x光光束衍射成衍射级。每一衍射级在特定可预测方向上行进。衍射级的角间距与样品的晶格常数除以波长成反比。衍射级可由放置于距晶片某一距离处的检测器阵列个别检测。检测器中的每一像素输出指示命中像素的光子的数目的信号。组合属于相同衍射级的像素的输出。衍射级的强度为I(m,n,q,j,λ)形式。{m,n}是衍射级的整数指数。{q,j}是入射光束的方位角及仰角(即,入射主光相对于固定到晶片的坐标系统的极坐标)。λ是入射X光的波长。
收集依据相对于晶片表面法线的x光入射角而变化的经衍射辐射的强度的测量。多个衍射级中含有的信息通常在考虑中的每一模型参数之间独有。因此,x光散射产生具有小误差及减小的参数相关性的针对所关注参数的值的估计结果。
另一方面,计算系统130经配置以产生样品的经测量结构的结构模型(例如,几何模型、材料模型或组合的几何及材料模型),产生包含来自结构模型的至少一个几何参数的T-SAXS响应模型且通过使用T-SAXS响应模型执行T-SAXS测量数据的拟合分析而分辨至少一个样品参数值。使用分析引擎以比较经模拟T-SAXS信号与经测量数据,借此允许确定几何以及材料性质(例如样本的电子密度)。在图1中描绘的实施例中,计算系统130经配置为模型建立及分析引擎,所述模型建立及分析引擎经配置以实施如本文中描述的模型建立及分析功能性。
图4是说明由计算系统130实施的示范性模型建立及分析引擎150的图。如图4中描绘,模型建立及分析引擎150包含产生样品的经测量结构的结构模型152的结构模型建立模块151。在一些实施例中,结构模型152还包含样品的材料性质。接收结构模型152作为到T-SAXS响应函数建立模块153的输入。T-SAXS响应函数建立模块153至少部分基于结构模型152产生T-SAXS响应函数模型155。在一些实例中,T-SAXS响应函数模型155是基于x光形状因子
其中F是形状因子,q是散射向量,且ρ(r)是球面坐标中样品的电子密度。接着通过以下给定x光散射强度
接收T-SAXS响应函数模型155作为到拟合分析模块157的输入。拟合分析模块157比较建模T-SAXS响应与对应经测量数据以确定样品的几何以及材料性质。
在一些实例中,通过最小化卡方值而实现建模数据到实验数据的拟合。举例来说,针对T-SAXS测量,卡方值可定义为
其中,是在“通道”j中的经测量T-SAXS信号126,其中指数j描述一组系统参数,例如衍射级、能量、角坐标等。是针对一组结构(目标)参数V1,...,VL评估的针对“通道”j的建模T-SAXS信号Sj,其中这些参数描述几何(CD、侧壁角、重叠等)及材料(电子密度等)。σSAXS,j是与第j个通道相关联的不确定性。NSAXS是在x光计量中的通道的总数目。L是特性化计量目标的参数的数目。
方程式(3)假定与不同通道相关联的不确定性不相关。在其中与不同通道相关联的不确定性相关的实例中,可计算不确定性之间的协方差。在这些实例中,针对T-SAXS测量的卡方值可表达为
其中VSAXS是SAXS通道不确定性的协方差矩阵且T表示转置。
在一些实例中,拟合分析模块157通过使用T-SAXS响应模型155对T-SAXS测量数据126执行拟合分析而分辨至少一个样品参数值。在一些实例中,优化
如前文描述,通过最小化卡方值而实现T-SAXS数据的拟合。然而,一般来说,可由其它函数实现T-SAXS数据的拟合。
T-SAXS计量数据的拟合对于提供对所关注几何及/或材料参数的敏感度的任何类型的T-SAXS技术有利。样品参数可为确定性(例如,CD、SWA等)或统计性(例如,侧壁粗糙度的均方根高度、粗糙度相关性长度等)的,只要使用描述与样品的T-SAXS光束交互的适当模型。
一般来说,计算系统130经配置以采用实时临界尺寸(RTCD)实时存取模型参数,或其可存取预计算模型库以确定与样品101相关联的至少一个样品参数值的值。一般来说,可使用某一形式的CD引擎以评估样品的经指派CD参数与与经测量样品相关联的CD参数之间的差异。在2010年11月2日颁予科磊公司的第7,826,071号美国专利中描述用于计算样品参数值的示范性方法及系统,所述美国专利的全文以引用的方式并入本文中。
在一些实例中,模型建立及分析引擎150通过侧馈分析、前馈分析及平行分析的任何组合而改进经测量参数的准确度。侧馈分析是指在相同样品的不同区域上获取多个数据集且将从第一数据集确定的共同参数传递到第二数据集上以用于分析。前馈分析是指在不同样品上获取数据集且使用逐步复制精确参数前馈方法将共同参数正向传递到后续分析。平行分析是指将非线性拟合方法平行或同时应用到多个数据集,其中在拟合期间耦合至少一个共同参数。
多工具及结构分析是指基于回归、查找表(即,“库”匹配)或多个数据集的另一拟合程序的前馈、侧馈或平行分析。在2009年1月13日颁予科磊公司的第7,478,019号美国专利中描述用于多工具及结构分析的示范性方法及系统,所述专利的全文以引用的方式并入本文中。
另一方面,计量工具100包含经配置以实施如本文中描述的光束控制功能性的计算系统(例如,计算系统130)。在图1中描绘的实施例中,计算系统130经配置为可操作以控制例如入射SAXS照明光束117的强度、发散、光点大小、偏光、光谱及定位的任何照明性质的光束控制器。
如图1中说明,计算系统130通信耦合到SAXS检测器116。计算系统130经配置以从SAXS检测器116接收测量数据126。在一个实例中,测量数据126包含样品的经测量SAXS响应的指示(即,衍射级的强度)。基于经测量SAXS响应在检测器116的表面上的分布,由计算系统130确定SAXS照明光束117入射于样品101上的位置及区域。在一个实例中,由计算系统130应用图案识别技术以基于测量数据126确定SAXS照明光束117入射于样品101上的位置及区域。在一些实例中,计算系统130将命令信号137传达到照明光学器件115以选择所要照明波长且重新引导且重新塑形SAXS照明光束117,使得入射SAXS照明光束117到达相对于样品101的所要位置及角定向。在一些其它实例中,计算系统130将命令信号传达到晶片定位系统140以定位且定向样品101,使得入射SAXS照明光束117到达相对于样品101的所要位置及角定向。在一些其它实例中,计算系统130将命令信号137传达到x光源110以选择所要照明波长且重新引导且重新塑形SAXS照明光束117,使得入射SAXS照明光束117到达相对于样品101的所要位置及角定向。
一方面,根据取样计划以若干不同定向执行特定检验区域的T-SAXS测量。另一方面,取样计划包含接近法向入射角较密集集中(例如,在距垂直于晶片表面四度内的范围内)且以较远离法向入射角的定向较不密集集中(例如,在距法向角十度与四十度之间的范围内)的定向的非均匀间距。
在一些实施例中,可期望以由绕由图1中描绘的坐标系统146指示的x及y轴的旋转描述的不同定向执行测量。此通过扩展可用于分析的数据集的数目及多样性以包含各种大角度、平面外定向而增加经测量参数的精确度及准确度且减少参数之间的相关性。测量具有较深、较多样数据集的样品参数还减少参数之间的相关性且改进测量准确度。举例来说,以法向定向,SAXS能够分辨特征的临界尺寸,但对于特征的侧壁角及高度在很大程度上不灵敏。然而,通过收集在平面外角位置的广范围内的测量数据,可分辨特征的侧壁角及高度。
如图1中说明,计量工具100包含经配置以在相对于SAXS散射计的平面外角定向的大范围内对准样品101且定向样品101两者的样品定位系统140。换句话来说,样品定位系统140经配置以使样品101绕与样品101的表面平面内对准的一或多个旋转轴在大角度范围内旋转。在一些实施例中,样品定位系统140经配置以使样品101绕与样品101的表面平面内对准的一或多个旋转轴在至少90度的范围内旋转。在一些实施例中,样品定位系统经配置以使样品101绕与样品101的表面平面内对准的一或多个旋转轴在至少60度的范围内旋转。在一些其它实施例中,样品定位系统经配置以使样品101绕与样品101的表面平面内对准的一或多个旋转轴在至少1度的范围内旋转。以此方式,由计量系统100在样品101的表面上的任何数目个位置上方收集样品101的角度分辨测量。在一个实例中,计算系统130将指示样品101的所要位置的命令信号传达到样品定位系统140的运动控制器145。作为响应,运动控制器145产生命令信号到样品定位系统140的各种致动器以实现样品101的所要定位。
举非限制性实例,如图1中说明,样品定位系统140包含边缘夹持卡盘141以将样品101固定附接到样品定位系统140。旋转致动器142经配置以使边缘夹持卡盘141及经附接样品101相对于周长框架143旋转。在所描绘的实施例中,旋转致动器142经配置以使样品101绕图1中说明的坐标系统146的x轴旋转。如图1中描绘,样品101绕z轴的旋转是样品101的平面内旋转。绕x轴及y轴(未展示)的旋转是相对于计量系统100的计量元件有效倾斜样品的表面的样品101的平面外旋转。虽然未说明第二旋转致动器,但第二旋转致动器经配置以使样品101绕y轴旋转。线性致动器144经配置以在x方向上平移周长框架143。另一线性致动器(未展示)经配置以在y方向上平移周长框架143。以此方式,样品101的表面上的每个位置可用于在平面外角位置的范围内的测量。举例来说,在一个实施例中,在相对于样品101的法向定向-45度到+45度的范围内的若干角度增量内测量样品101的位置。
一般来说,样品定位系统140可包含机械元件的任何适合组合以实现所要线性及角度定位性能,包含(但不限于)测角器台、六足台、角度台及线性台。
另一方面,基于以入射x光光束相对于测量目标的单个定向执行的T-SAXS测量来确定一或多个所关注参数的值的初始估计。初始估计值实施为针对使用以多个定向从T-SAXS测量收集的测量数据的测量模型的回归的所关注参数的起始值。以此方式,使用相对少量的计算工作量确定所关注参数的接近估计,且通过将此接近估计实施为针对大得多的数据集内的回归的起始点,使用较少总体计算工作量获得所关注参数的细化估计。
另一方面,使用T-SAXS测量数据以基于检测到的衍射级的经测量强度产生经测量结构的图像。在一些实施例中,一般化T-SAXS响应函数模型以描述从通用电子密度网的散射。使此模型与经测量信号匹配,同时约束此网中的建模电子密度以实施连续及稀疏边缘提供样本的三维图像。
虽然基于模型的几何参数反演对于基于T-SAXS测量的临界尺寸(CD)计量是优选的,但从相同T-SAXS测量数据产生的样品的图可用于当经测量样品偏离几何模型的假定时识别且校正模型误差。
在一些实例中,比较图像与由相同散射测量测量数据的基于模型的几何参数反演估计的结构特性。使用差异以更新经测量结构的几何模型且改进测量性能。收敛于准确参数测量模型的能力在测量集成电路以控制、监测且故障查找其制造工艺时尤其重要。
在一些实例中,图像是电子密度、吸收性、复折射率或这些材料特性的组合的二维(2-D)图。在一些实例中,图像是电子密度、吸收性、复折射率或这些材料特性的组合的三维(3-D)图。使用相对少的物理约束产生所述图。在一些实例中,直接从所得图估计一或多个所关注参数,例如临界尺寸(CD)、侧壁角(SWA)、重叠、边缘放置误差、节距游动(pitchwalk)等。在一些其它实例中,图可用于当样本几何形状或材料偏离到由用于基于模型的CD测量的参数结构模型所预期的预期值的范围之外时对晶片工艺除错。在一个实例中,使用图与由参数结构模型根据其经测量参数预测的结构的再现之间的差异,以更新参数结构模型且改进其测量性能。在第2015/0300965号美国专利公开案中描述另外细节,所述美国专利公开案的内容以全文引用的方式并入本文中。在第2015/0117610号美国专利公开案中描述额外细节,所述美国专利公开案的内容以全文引用的方式并入本文中。
另一方面,采用模型建立及分析引擎150以产生针对组合的x光及光学测量分析的模型。在一些实例中,光学模拟是基于(例如)严格耦合波分析(RCWA),其中求解马克士威(Maxwell)方程式以计算光学信号,例如针对不同偏光的反射率、椭偏测量参数、相位变化等。
基于使用组合的几何参数化响应模型的以多个不同入射角的x光衍射级的检测到的强度及检测到的光学强度的组合拟合分析来确定一或多个所关注参数的值。由可与x光计量系统机械集成或不可与x光计量系统(例如分别在图1及2中描绘的系统100及200)集成的光学计量工具测量光学强度。在第2014/0019097号美国专利公开案及第2013/0304424号美国专利公开案中描述另外细节,所述美国专利公开案中的每一者的内容以全文引用的方式并入本文中。
如本文中描述,以照明x光光束相对于半导体晶片的表面法线的多个定向执行T-SAXS测量。由晶片101相对于x光照明光束的任何两个角旋转描述每一定向或反之亦然。在一个实例中,可关于固定到晶片的坐标系统描述定向。图6描绘以由角度φ及θ描述的特定定向入射于晶片101上的x光照明光束117。坐标系XYZ固定到计量系统且坐标系X’Y’Z’固定到晶片101。Z与垂直于晶片101的表面的轴对准。X及Y在与晶片101的表面对准的平面中。类似地,Z’与垂直于晶片101的表面的轴对准,且X’及Y’在与晶片101的表面对准的平面中。如图6中描绘,x光照明光束117位于X’Z’平面内。角度φ描述x光照明光束117相对于X’Z’平面中的晶片的表面法线的定向。此外,角度θ描述X’Z’平面相对于XZ平面的定向。θ及φ一起独有界定x光照明光束117相对于晶片101的表面的定向。在此实例中,由绕垂直于晶片101的表面的轴(即,Z轴)的旋转及绕与晶片101的表面对准的轴(即,Y’轴)的旋转描述x光照明光束相对于晶片101的表面的定向。在一些其它实例中,由绕与晶片101的表面对准的第一轴及与晶片101的表面对准且垂直于第一轴的另一轴的旋转描述x光照明光束相对于晶片101的表面的定向,如参考图1描述。
在一些实施例中,如本文中描述的计量目标特性化T-SAXS测量定位于在被测量晶片的刻划道内。在这些实施例中,计量目标经设定大小以配合于刻划道的宽度内。在一些实例中,刻划道宽度小于80微米。在一些实例中,刻划道小于50微米。一般来说,半导体制造中采用的刻划道的宽度趋于较小。
在一些实施例中,如本文中描述的计量目标特性化T-SAXS测量定位于在被测量晶片的有源裸片区域内且是功能集成电路的一部分(例如,存储器、图像传感器、逻辑装置等)。
一般来说,优选地,照明光束光点大小紧密匹配被测量计量目标的横向尺寸,以最小化来自被测量计量目标周围的结构的污染信号。在一些实施例中,被测量计量目标在任何横向尺寸中小于70微米。在一些实施例中,被测量计量目标在任何横向尺寸中小于50微米。在一些实施例中,被测量计量目标在任何横向尺寸中小于40微米。在一些实施例中,被测量计量目标在任何横向尺寸中小于10微米。在一些实施例中,被测量计量目标由大于1微米的总体高度(或等效地,深度)特性化。在一些实施例中,被测量计量目标由大于2微米的总体高度(或等效地,深度)特性化。
一般来说,计量目标由定义为计量目标的最大高度尺寸(即,垂直于晶片表面的尺寸)除以最大横向范围尺寸(即,与晶片表面对准的尺寸)的高宽比特性化。在一些实施例中,被测量计量目标具有至少20的高宽比。在一些实施例中,计量目标具有至少40的高宽比。
图5A到5C分别描绘以本文中描述的方式经受测量的典型3D快闪存储器装置190的等角视图、俯视图及横截面视图。存储器装置190的总高度(或等效地,深度)在从1微米到若干微米的范围内。存储器装置190是垂直制造装置。垂直制造装置(例如存储器装置190)将常规平坦存储器装置基本上转动90度,使位线及单元串垂直定向(垂直于晶片表面)。为了提供足够存储器容量,大量不同材料的交替层沉积于晶片上。此需要图案化过程针对具有100纳米或更少的最大横向范围的结构适当执行到若干微米的深度。因此,25比1或50比1的高宽比并非罕见。
图7描绘高高宽比孔结构阵列310的俯视图。如图7中描绘,沿着平面311、312、313及314(从图向内及向外延伸)最紧密图案化孔结构阵列。在一些实施例中,优选地以入射x光照明光束相对于位于其中最紧密图案化高高宽比结构阵列的平面内的被测量晶片的表面的定向执行如本文中描述的高高宽比结构的测量。在图7中描绘的实例中,优选地将x光照明提供到在其中最紧密图案化孔结构阵列的平面311及312及313及314内的孔结构阵列310。
图8A描绘理想高高宽比孔结构320的侧视图。图8B描绘倾斜孔结构321的侧视图。图8C描绘渐进倾斜孔结构322的侧视图,其中倾斜度随深度而渐进增加。在许多实例中,孔结构321及322是不合意的。在一些实施例中,类似于孔结构321及322的孔结构由如本文中描述的T-SAXS测量特性化。在一个实例中,孔结构321由倾斜角度参数α特性化。此外,以相对于表面法线的角度φ且以相反角度-φ将x光照明光束117提供到孔结构321,如(例如)参考图6描述。在一些实施例中,在这两个照明场景中发生的经测量T-SAX信号的差异提供足够信号信息以准确估计倾斜角度α。
在另一实例中,孔结构322由若干倾斜角度参数α1、α2及α3分段特性化。类似地,按相对于表面法线的角度φ且按相反角度-φ将x光照明光束117提供到孔结构322,如(例如)参考图6描述。在一些实施例中,在这两个照明案例中发生的经测量T-SAX信号的差异提供足够信号信息以准确估计倾斜角度α1、α2及α3
应认识到,可由单计算机系统130或替代地多计算机系统130实施贯穿本发明描述的各种步骤。此外,系统100的不同子系统(例如样品定位系统140)可包含适合于实施本文中描述的步骤的至少一部分的计算机系统。因此,不应将前述描述解译为对本发明的限制,而仅为说明。此外,一或多个计算系统130可经配置以执行本文中描述的任何方法实施例的任何(若干)其它步骤。
另外,计算机系统130可以所属领域中已知的任何方式通信耦合到SAXS检测器116及SAXS照明光学器件115。举例来说,一或多个计算系统130可耦合到分别与SAXS检测器116及SAXS照明光学器件115相关联的计算系统。在另一实例中,可由耦合到计算机系统130的单个计算机系统直接控制SAXS检测器116及SAXS照明光学器件115的任何者。
计算机系统130可经配置以由可包含有线及/或无线部分的传输媒体从系统的子系统(例如,SAXS检测器116及SAXS照明光学器件115及类似物)接收及/或获取数据或信息。以此方式,传输媒体可充当计算机系统130与系统100的其它子系统之间的数据链路。
计量系统100的计算机系统130可经配置以由可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,测量结果、建模输入、建模结果等)。以此方式,传输媒体可充当计算机系统130与其它系统(例如,存储器板上计量系统100、外部存储器或外部系统)之间的数据链路。举例来说,计算系统130可经配置以经由数据链路而从存储媒体(即,存储器132或180)接收测量数据(例如,信号126)。例如,使用任何SAXS检测器116的光谱仪获得的光谱结果可存储于永久或半永久存储器装置(例如,存储器132或180)中。在此方面,可从板上存储器或从外部存储器系统导入测量结果。此外,计算机系统130可经由传输媒体而将数据发送到其它系统。例如,由计算机系统130确定的样品参数值170可存储于永久或半永久存储器装置(例如,存储器180)中。在此方面,可将测量结果导出到另一系统。
计算系统130可包含(但不限于)个人计算机系统、主机计算机系统、工作站、图像计算机、并行处理器或所属领域中已知的任何其它装置。一般来说,术语“计算系统”可广泛地定义为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可经由例如导线、电缆或无线传输链路的传输媒体传输实施例如本文中描述的方法的方法的程序指令134。举例来说,如图1中说明,经由总线133而将存储于存储器132中的程序指令传输到处理器131。程序指令134存储于计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
在一些实施例中,实施如本文中描述的散射测量分析作为制造工艺工具的部分。制造工艺工具的实例包含(但不限于)光刻曝光工具、膜沉积工具、植入工具及蚀刻工具。以此方式,使用T-SAXS分析的结果以控制制造工艺。在一个实例中,将从一或多个目标收集的T-SAXS测量数据发送到制造工艺工具。如本文中描述那样分析T-SAXS测量数据且结果用于调整制造工艺工具的操作。
可使用如本文中描述的散射测量测量以确定多种半导体结构的特性。示范性结构包含(但不限于)FinFET、低维结构(例如纳米线或石墨烯)、亚10nm结构、光刻结构、衬底穿孔(TSV)、存储器结构(例如DRAM、DRAM 4F2、FLASH、MRAM)及高高宽比存储器结构。示范性结构特性包含(但不限于)几何参数(例如线边缘粗糙度、线宽度粗糙度、孔径、孔密度、侧壁角、轮廓、临界尺寸、节距)及材料参数(例如电子密度、组合物、晶粒结构、形态、应力、应变及元素识别)。
图9说明适合于由本发明的计量系统100及200实施的方法300。一方面,应认识到,可经由由计算系统130的一或多个处理器执行的预编程算法实施方法300的数据处理块。虽然在计量系统100及200的上下文中提出以下描述,但本文中应认识到,计量系统100及200的特定结构方面不表示限制且应仅解译为说明性。
在框301中,以相对于形成于晶片表面上的测量目标的多个不同定向使用x光辐射的聚焦光束照明测量目标。测量目标包含一或多个高高宽比结构。另外,多个不同定向在接近垂直于晶片表面较密集集中且以较远离垂直于晶片表面的定向较不密集集中。
在框302中,响应于以每一定向的x光辐射的入射光束而检测各自与从测量目标散射的一定量的辐射的一或多个衍射级相关联的一或多个强度。
在框303中,基于以多个定向的衍射级的检测到的强度确定与测量目标的模型相关联的所关注参数的值。
如本文中描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角、光栅高度等)、任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离)及两个或两个以上结构之间的位移(例如,重叠光栅结构之间的重叠位移等)。结构可包含三维结构、图案化结构、重叠结构等。
如本文中描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中描述,术语“计量系统”包含至少部分采用以在任何方面中特性化样品的任何系统,包含临界尺寸应用及重叠计量应用。然而,此类技术术语不限制如本文中描述的术语“计量系统”的范围。另外,本文中描述的计量系统可经配置以测量图案化晶片及/或未经图案化晶片。计量系统可配置为LED检验工具、边缘检验工具、背侧检验工具、宏检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)及受益于本文中描述的测量技术的任何其它计量或检测工具。
本文中针对可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)描述各项实施例。术语“样品”在本文中用以指代晶片、光罩或可由所属领域中已知的方式处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中使用,术语“晶片”大体上是指由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。此类衬底通常可在半导体制造厂中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可“经图案化”或“未经图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为处于光罩制造工艺的任何阶段的光罩,或为可能或可能未经释放以在半导体制造厂中使用的完成光罩。光罩或“掩模”大体上定义为具有形成于其上且以图案配置的基本上不透明区域的基本上透射衬底。衬底可包含(例如)玻璃材料,例如非晶SiO2。可在光刻工艺的曝光步骤期间将光罩安置于覆盖有抗蚀剂的晶片上方,使得可将光罩上的图案转印到抗蚀剂。
形成于晶片上的一或多个层可经图案化或未经图案化。举例来说,晶片可包含各自具有可重复图案特征的多个裸片。此类材料层的形成及处理最终可导致完成装置。许多不同类型的装置可形成于晶片上,且如本文中使用的术语晶片希望涵盖其上制造所属领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可实施于硬件、软件、固件或其任何组合中。如果在软件中实施,那么功能可作为一或多个指令或代码存储于计算机可读媒体上或经由所述计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,包含促进计算机程序从一位置到另一位置的传送的任何媒体。存储媒体可为可由通用计算机或专用计算机存取的任何可用媒体。举例来说(且非限制),此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置或可用于载送或存储呈指令或数据结构的形式的所要程序代码构件且可由通用计算机或专用计算机或通用或专用处理器存取的任何其它媒体。而且,任何连接可被适当地称为计算机可读媒体。举例来说,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外、无线电及微波)包含于媒体的定义中。如本文中使用,磁盘及光盘包含光盘(CD)、激光光盘、XRF盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地重现数据而光盘用使用激光光学地重现数据。上述组合也应包含于计算机可读媒体的范围内。
尽管上文为指导目的而描述某些特定实施例,但本专利文件的教示具有一般适用性且不限于上文中描述的特定实施例。因此,在不脱离如权利要求书中陈述的本发明的范围的情况下,可实践所描述的实施例的各种特征的各种修改、调适及组合。

Claims (21)

1.一种计量系统,其包括:
x光照明源,其经配置以产生一定量的x光辐射;
x光照明光学器件子系统,其经配置以以相对于形成于晶片表面上的测量目标的多个定向使用所述一定量的x光辐射的聚焦光束照明所述测量目标,其中所述测量目标包含一或多个高高宽比结构,且其中所述多个不同定向接近法向于所述晶片表面较密集集中且以较远离法向于所述晶片表面的定向较不密集集中;
x光检测器,其经配置以响应于以每一定向的x光辐射的所述入射光束而检测各与自所述测量目标散射的一定量的辐射的一或多个衍射级相关联的一或多个强度;
计算系统,其经配置以
基于以所述多个不同定向的所述衍射级的所述检测到的强度确定与所述测量目标的模型相关联的所关注参数的值。
2.根据权利要求1所述的计量系统,其中所述所关注参数是形状参数。
3.根据权利要求1所述的计量系统,其中所述一或多个高高宽比结构具有至少1微米的总体深度。
4.根据权利要求3所述的计量系统,其中所述一或多个高高宽比结构是自旋转移力矩随机存取存储器STT-RAM、三维NAND存储器3D-NAND、动态随机存取存储器DRAM、三维快闪存储器3D-FLASH、电阻性随机存取存储器Re-RAMPC及相变随机存取存储器PC-RAM的任何者。
5.根据权利要求1所述的计量系统,其中所述一或多个高高宽比结构具有至少20的高宽比,其中所述高宽比定义为最大高度尺寸除以最大横向范围尺寸。
6.根据权利要求1所述的计量系统,其中所述一或多个高高宽比结构包括不同材料的交替层。
7.根据权利要求1所述的计量系统,其中所述x光照明源包含液体金属喷流x光照明源、固体阳极x光照明源及逆康普顿x光照明源的任何者。
8.根据权利要求1所述的计量系统,其中所述测量目标定位于刻划道区域内或有源裸片区域内。
9.根据权利要求1所述的计量系统,其中所述确定所述至少一个所关注参数涉及使用几何参数化响应模型的所述衍射级的所述检测到的强度的拟合分析。
10.根据权利要求9所述的计量系统,其中计算机子系统进一步经配置以基于以所述多个不同定向的所述衍射级的所述检测到的强度确定所述测量目标的多维图像。
11.根据权利要求10所述的计量系统,其中所述计算系统进一步经配置以基于所述测量目标的所述图像与所述至少一个所关注参数之间的差异修改所述测量目标的所述几何参数化响应模型。
12.根据权利要求1所述的计量系统,其中所述确定所述所关注参数的所述值涉及使用组合的几何参数化响应模型的以所述多个不同定向的所述衍射级的所述检测到的强度及检测到的光学强度的组合拟合分析,其中所述光学强度由光学计量工具测量。
13.一种方法,其包括:
以相对于形成于晶片表面上的测量目标的多个不同定向使用x光辐射的聚焦光束照明所述测量目标,其中所述测量目标包含一或多个高高宽比结构,且其中所述多个不同定向接近法向于所述晶片表面较密集集中且以较远离法向于所述晶片表面的定向较不密集集中;
响应于以每一定向的x光辐射的所述入射光束而检测各自与从所述测量目标散射的一定量的辐射的一或多个衍射级相关联的一或多个强度;及
基于以所述多个不同定向的所述衍射级的所述检测到的强度确定与所述测量目标的模型相关联的所关注参数的值。
14.根据权利要求13所述的方法,其中所述一或多个高高宽比结构具有至少1微米的总体深度。
15.根据权利要求13所述的方法,其中所述一或多个高高宽比结构具有至少20的高宽比,其中所述高宽比定义为最大高度尺寸除以最大横向范围尺寸。
16.根据权利要求13所述的方法,其中所述确定所述至少一个所关注参数涉及使用几何参数化响应模型的所述衍射级的所述检测到的强度的拟合分析。
17.根据权利要求16所述的方法,其进一步包括:
基于以所述多个不同定向的所述衍射级的所述检测到的强度确定所述测量目标的多维图像。
18.根据权利要求17所述的方法,其进一步包括:
基于所述测量目标的所述图像与所述至少一个所关注参数之间的差异修改所述测量目标的所述几何参数化响应模型。
19.根据权利要求13所述的方法,其中所述所关注参数的所述值的所述确定涉及使用组合的几何参数化响应模型的以所述多个不同定向的所述衍射级的所述检测到的强度及检测到的光学强度的组合拟合分析,其中所述光学强度由光学计量工具测量。
20.一种计量系统,其包括:
x光照明源,其经配置以产生一定量的x光辐射;
x光照明光学器件子系统,其经配置以以相对于形成于晶片表面上的测量目标的多个不同定向使用所述一定量的x光辐射的聚焦光束照明所述测量目标,其中所述测量目标包含一或多个高高宽比结构,且其中所述多个不同定向接近法向于所述晶片表面较密集集中且以较远离法向于所述晶片表面的定向较不密集集中;
x光检测器,其经配置以响应于以每一定向的x光辐射的所述入射光束而检测各自与从所述测量目标散射的一定量的辐射的一或多个衍射级相关联的一或多个强度;及
非暂时性计算机可读媒体,其包括:
用于引起计算系统基于以所述多个定向的所述衍射级的所述检测到的强度确定与所述测量目标的模型相关联的所关注参数的值的代码。
21.根据权利要求20所述的计量系统,其中所述所关注参数的所述值的所述确定涉及使用组合的几何参数化响应模型的以所述多个不同定向的所述衍射级的所述检测到的强度及检测到的光学强度的组合拟合分析,其中所述光学强度由光学计量工具测量。
CN201680070562.0A 2015-12-11 2016-09-30 用于高高宽比结构的x光散射测量计量 Active CN108401437B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562266447P 2015-12-11 2015-12-11
US62/266,447 2015-12-11
US15/230,336 2016-08-05
US15/230,336 US10352695B2 (en) 2015-12-11 2016-08-05 X-ray scatterometry metrology for high aspect ratio structures
PCT/US2016/054758 WO2017099870A1 (en) 2015-12-11 2016-09-30 X-ray scatterometry metrology for high aspect ratio structures

Publications (2)

Publication Number Publication Date
CN108401437A true CN108401437A (zh) 2018-08-14
CN108401437B CN108401437B (zh) 2021-02-26

Family

ID=59014021

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680070562.0A Active CN108401437B (zh) 2015-12-11 2016-09-30 用于高高宽比结构的x光散射测量计量

Country Status (7)

Country Link
US (1) US10352695B2 (zh)
JP (1) JP6815401B2 (zh)
KR (1) KR102363266B1 (zh)
CN (1) CN108401437B (zh)
IL (1) IL259489B (zh)
TW (1) TWI689702B (zh)
WO (1) WO2017099870A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116499401A (zh) * 2023-06-29 2023-07-28 深圳市圭华智能科技有限公司 基于X-ray的晶圆级玻璃通孔TGV检测装置及方法

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10916404B2 (en) * 2017-02-27 2021-02-09 Nova Measuring Instruments Ltd. TEM-based metrology method and system
JP6904731B2 (ja) * 2017-03-01 2021-07-21 株式会社Zozo サイズ測定装置、管理サーバ、ユーザ端末及びサイズ測定システム
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
CN108151640B (zh) * 2018-01-17 2024-04-16 中国科学院宁波材料技术与工程研究所 弹性导体在测量尺中的应用、电子测量尺及测量方法
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
WO2019173170A1 (en) * 2018-03-05 2019-09-12 Kla-Tencor Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
JP2019191169A (ja) * 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
WO2019236384A1 (en) 2018-06-04 2019-12-12 Sigray, Inc. Wavelength dispersive x-ray spectrometer
US10658145B2 (en) 2018-07-26 2020-05-19 Sigray, Inc. High brightness x-ray reflection source
US11703464B2 (en) 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
DE112019004433T5 (de) 2018-09-04 2021-05-20 Sigray, Inc. System und verfahren für röntgenstrahlfluoreszenz mit filterung
CN112823280A (zh) 2018-09-07 2021-05-18 斯格瑞公司 用于深度可选x射线分析的系统和方法
US11562289B2 (en) 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11308606B2 (en) * 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11581264B2 (en) 2019-08-21 2023-02-14 Micron Technology, Inc. Electronic devices comprising overlay marks, memory devices comprising overlay marks, and related methods
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
CN114729907B (zh) 2019-09-03 2023-05-23 斯格瑞公司 用于计算机层析x射线荧光成像的系统和方法
US11867595B2 (en) * 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11335608B2 (en) 2020-04-15 2022-05-17 Kla Corporation Electron beam system for inspection and review of 3D devices
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
CN115667896A (zh) 2020-05-18 2023-01-31 斯格瑞公司 使用晶体分析器和多个检测器元件的x射线吸收光谱的系统和方法
JP7458935B2 (ja) 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US11798828B2 (en) 2020-09-04 2023-10-24 Kla Corporation Binning-enhanced defect detection method for three-dimensional wafer structures
WO2022061347A1 (en) 2020-09-17 2022-03-24 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
DE112021006348T5 (de) 2020-12-07 2023-09-21 Sigray, Inc. 3d-röntgenbildgebungssystem mit hohem durchsatz, das eine transmissionsröntgenquelle verwendet
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
US20220252395A1 (en) * 2021-02-10 2022-08-11 Kla Corporation Methods And Systems For Accurate Measurement Of Deep Structures Having Distorted Geometry
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US20220404143A1 (en) * 2021-06-18 2022-12-22 Kla Corporation Methods And Systems For Measurement Of Tilt And Overlay Of A Structure
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
CN117529391A (zh) * 2021-08-06 2024-02-06 川崎车辆株式会社 构造物的制造方法、构造物制造用的标识符、构造物的制造系统及机械加工程序
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
JP2023137548A (ja) 2022-03-18 2023-09-29 キオクシア株式会社 計測装置及び計測方法
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source
CN115344937B (zh) * 2022-10-20 2023-03-17 三一筑工科技股份有限公司 一种钢筋标注方法、装置、电子设备及存储介质

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5798846A (en) * 1980-12-11 1982-06-19 Nec Corp X-ray diffractometer
CN1181133A (zh) * 1995-02-08 1998-05-06 大不列颠及北爱尔兰联合王国国防大臣 X射线检查系统
CN1504744A (zh) * 2002-12-02 2004-06-16 中国科学技术大学 对组合样品的结构和成分进行测量分析的方法及装置
US20060115047A1 (en) * 2004-12-01 2006-06-01 Boris Yokhin X-ray apparatus with dual monochromators
JP2006275901A (ja) * 2005-03-30 2006-10-12 Seiko Epson Corp 結晶評価装置および結晶評価方法
US20080049895A1 (en) * 2005-12-23 2008-02-28 Dileep Agnihotri Accurate measurement of layer dimensions using XRF
CN103119929A (zh) * 2010-09-13 2013-05-22 雷湾地区研究所 重置光导x射线成像检测器的系统和方法
CN103207195A (zh) * 2013-04-08 2013-07-17 中国科学技术大学 一种小角和广角x射线散射联用装置及其实验测试方法
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20150110249A1 (en) * 2013-10-21 2015-04-23 Kla-Tencor Corporation Small-angle scattering x-ray metrology systems and methods
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology
US20150146841A1 (en) * 2013-11-27 2015-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Metrology device and metrology method thereof

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7245696B2 (en) * 2002-05-29 2007-07-17 Xradia, Inc. Element-specific X-ray fluorescence microscope and method of operation
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP2007285923A (ja) * 2006-04-18 2007-11-01 Jordan Valley Semiconductors Ltd 反射モードのx線回折を用いた限界寸法の測定
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
KR101041840B1 (ko) 2008-05-15 2011-06-17 주식회사 쎄크 불량검사장치 및 그 제어방법
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5798846A (en) * 1980-12-11 1982-06-19 Nec Corp X-ray diffractometer
CN1181133A (zh) * 1995-02-08 1998-05-06 大不列颠及北爱尔兰联合王国国防大臣 X射线检查系统
CN1504744A (zh) * 2002-12-02 2004-06-16 中国科学技术大学 对组合样品的结构和成分进行测量分析的方法及装置
US20060115047A1 (en) * 2004-12-01 2006-06-01 Boris Yokhin X-ray apparatus with dual monochromators
JP2006275901A (ja) * 2005-03-30 2006-10-12 Seiko Epson Corp 結晶評価装置および結晶評価方法
US20080049895A1 (en) * 2005-12-23 2008-02-28 Dileep Agnihotri Accurate measurement of layer dimensions using XRF
CN103119929A (zh) * 2010-09-13 2013-05-22 雷湾地区研究所 重置光导x射线成像检测器的系统和方法
CN103207195A (zh) * 2013-04-08 2013-07-17 中国科学技术大学 一种小角和广角x射线散射联用装置及其实验测试方法
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20150110249A1 (en) * 2013-10-21 2015-04-23 Kla-Tencor Corporation Small-angle scattering x-ray metrology systems and methods
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology
US20150146841A1 (en) * 2013-11-27 2015-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Metrology device and metrology method thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
BUNDAY, BENJAMIN , ET AL: "Gaps analysis for CD metrology beyond the22nm node", 《 PROC. SPIE 8681, METROLOGY, INSPECTION, AND PROCESS CONTROL FOR MICROLITHOGRAPHY XXVII》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116499401A (zh) * 2023-06-29 2023-07-28 深圳市圭华智能科技有限公司 基于X-ray的晶圆级玻璃通孔TGV检测装置及方法

Also Published As

Publication number Publication date
IL259489A (en) 2018-07-31
KR102363266B1 (ko) 2022-02-14
JP2019505766A (ja) 2019-02-28
WO2017099870A1 (en) 2017-06-15
IL259489B (en) 2021-09-30
TW201730514A (zh) 2017-09-01
CN108401437B (zh) 2021-02-26
TWI689702B (zh) 2020-04-01
US20170167862A1 (en) 2017-06-15
JP6815401B2 (ja) 2021-01-20
KR20180083435A (ko) 2018-07-20
US10352695B2 (en) 2019-07-16

Similar Documents

Publication Publication Date Title
CN108401437A (zh) 用于高高宽比结构的x光散射测量计量
US11428650B2 (en) Computationally efficient x-ray based overlay measurement
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
TWI806285B (zh) 計量系統及方法
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
TWI649536B (zh) 用於以散射術量測為基礎之成像及關鍵尺寸度量之度量方法、度量系統及非暫時性電腦可讀媒體
JP2020520453A (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム
KR20190131129A (ko) 투과 소각 x 선 산란 계측 시스템
CN110383053A (zh) 用于小角度x射线散射测量的x射线变焦镜头
CN107533020B (zh) 计算上高效的基于x射线的叠盖测量系统与方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant