JP2020520453A - 高空間分解能を有するx線ビームの特性評価のための方法及びシステム - Google Patents

高空間分解能を有するx線ビームの特性評価のための方法及びシステム Download PDF

Info

Publication number
JP2020520453A
JP2020520453A JP2019561818A JP2019561818A JP2020520453A JP 2020520453 A JP2020520453 A JP 2020520453A JP 2019561818 A JP2019561818 A JP 2019561818A JP 2019561818 A JP2019561818 A JP 2019561818A JP 2020520453 A JP2020520453 A JP 2020520453A
Authority
JP
Japan
Prior art keywords
sample
illumination beam
ray
wafer
ray illumination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019561818A
Other languages
English (en)
Other versions
JP7135003B2 (ja
Inventor
アレクサンドル ビカノフ
アレクサンドル ビカノフ
ニコライ アルテミエフ
ニコライ アルテミエフ
レゴロ ジョセフ エー ディ
レゴロ ジョセフ エー ディ
アントニオ ジェリノー
アントニオ ジェリノー
アレクサンドル クズネツォフ
アレクサンドル クズネツォフ
アンドレイ ベルドマン
アンドレイ ベルドマン
ジョン ヘンチ
ジョン ヘンチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020520453A publication Critical patent/JP2020520453A/ja
Priority to JP2022138062A priority Critical patent/JP7379620B2/ja
Application granted granted Critical
Publication of JP7135003B2 publication Critical patent/JP7135003B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/223Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material by irradiating the sample with X-rays or gamma-rays and by measuring X-ray fluorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/2204Specimen supports therefor; Sample conveying means therefore
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Measurement Of Radiation (AREA)
  • Radar Systems Or Details Thereof (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

透過X線小角散乱法(T−SAXS)計測システム内において、試料を位置決めし、試料上に入射するX線ビームを特徴評価するための方法及びシステムが、本明細書に記載されている。試料位置決めシステムは、透過放射を減衰させることなく、ウェハを鉛直方向に設置し、ウェハをX線照明ビームに対して6自由度でアクティブに位置決めする。いくつかの実施形態では、円筒形状遮蔽要素が、照明ビームを横断して走査され、同時に、透過光束の検出された強度が計測されてビーム中心を正解に設置する。いくつかの別の実施形態では、周期校正標的を用いて、ビーム中心を正確に設置する。周期校正標的は、X線照明光を異なる測定可能回折パターンに回折させる異なる周期構造を有する1つ又は複数の空間的に画定された領域を含む。

Description

記載した実施形態は、X線計測システム及び方法に関し、より具体的には、測定正確度改善のための方法及びシステムに関する。
本出願は、2017年5月11日に出願された米国仮特許出願第62/505,014号の米国特許法119条の下での優先権を主張し、この出願の主題が全体として参照により本明細書に組み込まれる。
論理及びメモリ素子等の半導体素子は、典型的に、試料に適用される一連の処理ステップによって製作される。半導体素子の様々な特徴及び複数の構造レベルが、これらの処理ステップによって形成される。例えば、その中のリソグラフィは、半導体ウェハ上にパターンを生成することを含む1つの半導体製造プロセスである。半導体製造プロセスの追加の例としては、化学機械研磨、エッチング、堆積、及びイオン注入が挙げられるが、これに限定されない。複数の半導体素子が、単一の半導体ウェハ上に製作され、次いで、個々の半導体素子へと分離されてもよい。
計測プロセスを半導体製造プロセス中の様々なステップにおいて用いることにより、ウェハ上の欠陥を検出してより高い歩留を促進する。散乱計測及び反射率計測実装並びに関連する分析アルゴリズムを含むいくつかの計測ベース技術を一般に用いることにより、ナノスケール構造の限界寸法、膜厚、組成及び別のパラメータを特徴評価する。
伝統的に、散乱計測限界寸法測定は、薄膜及び/又は反復周期構造からなる標的において実行される。素子製造中、これらの膜及び周期構造は、典型的には、実際の素子形状及び材料構造又は中間設計を表す。素子(例えば、論理及びメモリ素子)がより小さいナノメートルスケールの寸法に向かうと、特性評価がより困難になる。多様な物理的性質を有する複雑な3次元形状及び材料を組み込む素子が、特性評価困難の一因となる。例えば、最新のメモリ構造は、しばしば高アスペクト比で、光放射が最下層にまで浸透することを困難にする3次元構造である。赤外線から可視光までを利用する光学計測ツールが、半透明材料の多くの層に浸透する場合があるけれども、良好な浸透深さを提供するより長い波長は、小さい異常に対して十分な感度を提供しない。それに加えて、複雑な構造(例えば、FinFET)を特性評価するのに必要なパラメータの数が増えると、パラメータ相関性の増加をもたらす。その結果、標的を特徴評価するパラメータが、しばしば、利用可能な測定値によっては信頼性高く分離され得ない。
一例では、より長い波長(例えば、近赤外線)が、積層体内の交互する材料のうちの1つとしてポリシリコンを用いる3D FLASHデバイスについての浸透問題を解決するための試みにおいて用いられてきた。しかし、3D FLASHの構造のようなミラーは、本質的に照明が膜積層体内により深く伝播するにつれて、光強度を低下させる。このことは、深さでの感度損失及び相関問題を生じさせる。このシナリオにおいては、SCDは、高感度及び低い相関を伴う減少した組の計測寸法を問題なく抽出することしかできない。
別の例では、不透明な高k材料が最新の半導体構造にますます多く用いられている。光放射は、しばしばこれらの材料から構成された層に浸透することができない。その結果、楕円偏光計又は反射計等の薄膜散乱計測ツールによる測定が、ますます挑戦課題になってきている。
これらの挑戦課題に応じて、より複雑な光学計測ツールが開発されてきた。例えば、複数照明角、より短い照明波長、より広範囲の照明波長、及び反射信号からのより完全な情報取得を有するツール(例えば、より通常の反射率又は偏向信号に加えて複数のミュラーマトリクス要素を測定する)が開発されてきた。しかし、これらの手法は、多くの進歩した標的(例えば、複雑な3D構造、10nm未満の構造、不透明材料を用いる構造)の測定及び測定応用(例えば、ラインエッジ粗度及びライン幅粗度測定)と関連する基本的な課題を確実に克服することがなかった。
原子間力顕微鏡(AFM)及び走査型トンネル顕微鏡(STM)が、原子分解を達成できるけれども、それらは、試料の表面をプローブできるだけである。更に、AFM及びSTM顕微鏡は、長い走査時間を必要とする。走査型電子顕微鏡(SEM)が、中間の分解能レベルを達成するけれども、十分な深さまで構造に浸透することができない。このように、高アスペクト比の孔は、良好には特徴評価されない。それに加えて、試料の必要帯電が、撮像性能に対して逆の効果を及ぼす。X線反射計もまた、高アスペクト比構造を測定するとき、それらの効果を制限する浸透問題から被害を受ける。
浸透深さ問題を解決するために、TEM、SEM等の従来の撮像技術が、集束イオンビーム(FIB)機械加工、イオンミリング、ブランケット又は選択エッチング等の破壊的なサンプル調製技術と共に用いられる。例えば、透過型電子顕微鏡(TEM)は、高解像レベルを達成し、任意の深さをプローブできるけれども、TEMは、試料の破壊的な区分化を必要とする。材料除去と測定の何回かの反復が、通常、3次元構造全体にわたる重要な計測パラメータを測定するのに必要な情報を提供する。しかし、これらの技術は、サンプル破壊及び長い処理時間を必要とする。これらのタイプの測定を完了するための複雑さ及び時間は、エッチング及び計測工程のずれに起因する大きい不正確度をもたらす。それに加えて、これらの技術は、記録誤差をもたらす多数の反復を必要とする。
硬X線エネルギ準位(>15keV)で光子を用いる透過X線小角散乱(T−SAXS)システムが、挑戦的な測定応用に対処できる見込みを示した。限界寸法(CD−SAXS)及びオーバレイ(OVL−SAXS)の測定へのSAXS技術の応用についての様々な態様が、1)Zhuang及びFieldenへの「High−brightness X−ray metrology」と題する特許文献1、2)Bakeman、Shchegrov、Zhao、及びTanによる「Model Building And Analysis Engine For Combined X−Ray And Optical Metrology」と題する特許文献2、3)Veldman、Bakeman、Shchegrov及びMieherによる「Methods and Apparatus For Measuring Semiconductor Device Overlay Using X−Ray Metrology」と題する特許文献3、4)Hench、Shchegrov及びBakemanによる「Measurement System Optimization For X−Ray Based Metrology」と題する特許文献4、5)Dziura、Gellineau及びShchegrovによる「X−ray Metrology For High Aspect Ratio Structures」と題する特許文献5、及び6)Gellineau、Dziura、Hench、Veldman及びZalubovskyによる「Full Beam Metrology for X−Ray Scatterometry Systems」と題する特許文献6に記載されている。上記の特許文書は、米国カリフォルニア州、MilpitasにあるKLA−Tencor社に譲渡されている。
SAXSは、また、材料の特性評価及び別の非半導体関連応用に適用されてきた。例示的なシステムが、Xenocs SAS(www.xenocs.com)、Bruker社(www.bruker.com)及びRigaku社(www.rigaku.com/en)を含むいくつかの企業によって商業化されてきた。
半導体構造のCD−SAXS計測についての研究が、また、科学文献に記載されている。ほとんどの研究グループは、高輝度X線シンクロトロン源を利用してきたけれども、それらは、巨大なサイズ、コスト等に起因して半導体製造設備で使用するのに適していない。かかるシステムの1つの例が、非特許文献1に記載されている。より最近では、国立標準技術研究所(NIST)のグループが、特許文献7に記載されたものに類似した小型で明るいX線源を利用する研究を開始した。この研究は、非特許文献2に記載されている。
X線ビームの標的との相互作用は、有効な測定を保証するために、校正されて計測システムと整列されなければならない。例示的な特性評価は、X線ビームのピーク強度を標的に正確に設置することと、X線ビーム強度分布を測定することと、ビーム光束の特定の割合だけが境界の外側にあるようなX線ビームの境界を識別することと、を含む。例示的な整列は、X線ビームの視覚システムとの整列と、X線ビームのツールの特定機械式特徴(例えば、ウェハ回転の軸線等)との整列等と、を含む。
一般に、ウェハは、光学顕微鏡によってウェハ全体にわたって配設された整列目印の光学測定に基づいてX線ビームの経路内にナビゲートされる。特定標的がX線ビームに対して正確にナビゲートされることを保証するために、ビームプロファイルが、目印を測定するのに用いられる光学顕微鏡の座標内で測定される必要がある。
いくつかの例では、光学顕微鏡は、ナイフエッジと整列させられ、ナイフエッジは、X線ビームと整列させられる。従来のナイフエッジによるX線ビームの特性評価は、ナイフエッジのエッジ近傍の、放射X線によって照明されるナイフ材料の半透明性に起因して、複雑化される。例えば、20keVのエネルギ準位を有する光子によって照明されるとき、タングステンは、約8.4マイクロメータのビーム減衰長を有する。この長さにおいて、透過は、〜1/e(e=2.718)倍だけ低下する。30度の角度において形成されたナイフエッジについては、8.4マイクロメータの高さに対応する楔の長さが、約14.5マイクロメータである。X線ビーム走査中のナイフエッジ位置の不確実性についてのこの単純な推定は、必要な整列正確度が数マイクロメータ未満(例えば、10マイクロメータ未満)であるとき、ナイフエッジの半透明性が制限的であることを示す。
いくつかの別の例では、X線ビームプロファイルが、X線ビームに対していくつかの点(例えば、集束光学部品の焦点スポット)に位置する高分解能X線カメラによって特徴評価される。これらの例では、ビームプロファイルが、高分解能X線カメラによって測定され、そして、ビームの測定された座標は、X線ビームの経路内にウェハをナビゲートするために用いられる光学顕微鏡に転送される。残念なことに、X線カメラからの測定された座標を光学顕微鏡まで転送することに関連した誤差は、有意であり、ナビゲーションに必要な正確度を上回る。
更に、X線カメラ又はナイフエッジによるX線ビームの特性評価が、本質的に間接的であり、近隣領域の光子汚染だけでなく標的に入射する光子束についての量的データを提供しない。
米国特許第7,929,667号明細書 米国特許出願公開第2014/0019097号明細書 米国特許出願公開第2015/0117610号明細書 米国特許出願公開第2016/0202193号明細書 米国特許出願公開第2017/0167862号明細書 米国特許出願公開第2018/0106735号明細書
Lemaillet、Germer、Klineら、「Intercomparison between optical and x−ray scatterometry measurements of FinFET structures」、Proc.SPIE、v.8681、p.86810Q(2013) 「X−ray scattering critical dimensional metrology using a compact x−ray source for next generation semiconductor devices」、J.Micro/Nanolith.MEMS MOEMS 16(1)、014001(Jan−Mar 2017)
将来の計測応用は、ますます小さくなる分解能要件、マルチパラメータ相関、高アスペクト比構造を含むますます複雑になる形状構造及び不透明材料のますますの使用に起因する計測に対する課題を呈する。X線ツール整列及び標的ナビゲーションについての既存の方法は、約10〜20マイクロメータの正確度に制限される。これらの方法は、小さいサイズ(〜50マイクロメータ)の計測標的を、半導体計測応用に対して十分な正確度を有するX線ビーム内に位置決めして測定することができない。したがって、SAXSシステム内でのX線ビームの整列及び校正を改善するための方法及びシステムが、進歩した製造ノードの配置要件を満たすために望まれる。
透過X線小角散乱法(T−SAXS)計測システム内に試料を位置決めする及び試料に入射するX線ビームを特徴評価するための方法及びシステムが、本明細書に記載されている。半導体製造環境内での実用的なT−SAXS測定は、小さいビームスポットサイズ(例えば、直径50マイクロメータ未満の有効照明スポット)によって、試料(例えば、半導体ウェハ)の表面に対する入射角及び方位角の広範囲にわたる測定を必要とする。ウェハの正確な位置決め並びにビームサイズ及び形状についての特性評価は、小さい測定ボックスサイズを達成することが必要である。それに加えて、入射角及び方位角の全範囲にわたって照明ビームを半導体ウェハの表面上の所望の標的範囲に正確に設置する校正が、本明細書に示されている。
一態様では、計測ツールは、ウェハを鉛直方向に(すなわち、ウェハ表面の平面が重力ベクトルとほぼ整列している)設置し、照明ビームに対してウェハを6自由度でアクティブに位置決めするように構成された試料位置決めシステムを含む。試料位置決めシステムは、エッジでウェハを支持し、それにより、照明ビームが再据付けによらずに、ウェハの活性範囲内のいずれかの位置でウェハを透過することを可能にする。ウェハをそれのエッジで鉛直方向に支持することによって、ウェハの重力誘導撓みが有効に軽減される。
更なる態様では、カウンタウェイトが、試料位置決めシステムの回転質量を静的にバランスさせることにより、回転質量の重心がそれの回転軸線とほぼ整列させられる。
いくつかの実施形態では、3つのセンサが試料位置決めシステム上に配設されることにより、試料位置決めシステムに対するウェハの裏面の距離を測定する。このように、ウェハバウが、測定され、ティップティルトZステージを用いるウェハの動作によって補償される。
別の態様では、SAXS計測システムが、少なくとも1つのビーム遮蔽校正標的を用いることにより、X線照明ビームを試料位置決めシステムに対して位置決めする。ビーム遮蔽校正標的は、少なくとも1つの目印と、円筒形状遮蔽要素と、を含む。整列カメラを用いて、目印を試料位置決めシステムの座標内に設置する。円筒形状遮蔽要素に対する目印の位置は、先験的に(例えば、200ナノメートル未満の正確度で)既知である。このように、試料位置決めシステムの座標内の円筒形状遮蔽要素の位置は、直接の座標変換によって容易に測定される。円筒形状遮蔽要素は、透過光束の検出された強度が測定されながら、照明ビームを横断して走査される。照明ビームの中心が、測定された強度に基づいて円筒形状遮蔽要素に対して正確に設置される。円筒形状遮蔽要素の位置が試料位置決めシステムの座標内で既知であるので、試料位置決めシステムの座標内での照明ビームの中心の位置が、単純な座標変換によって正確に設置される。
いくつかの例では、ビーム遮蔽校正標的を用いて、照明ビームの入射位置を試料位置決めシステムに対して校正する。いくつかの別の例では、ビーム遮蔽校正標的を用いて、ステージ基準フレームの回転軸をウェハとの照明ビームの入射点で照明ビームに対して整列させる。
別の態様では、SAXS計測システムが、少なくとも1つの周期校正標的を用いて、X線照明ビームを試料位置決めシステムに対して設置する。それぞれの周期校正標的は、X線照明光を本明細書に記載したSAXS計測システムによって測定可能な異なる回折パターンに回折する、異なる周期構造を有する1つ又は複数の空間的に画定された領域を含む。それに加えて、それぞれの周期校正標的は、高い整列正確度(例えば、0.5マイクロメートル以下の整列正確度)で周期校正標的を試料位置決めシステムに対して設置するための、光学顕微鏡によって読取り可能な1つ又は複数の目印を含む。それぞれの空間的に画定された領域が、空間的に明確に確定された境界線を有する。境界線の位置が、1つ又は複数の寸法で高い正確度(例えば、0.2マイクロメートル以下の正確度)を伴って目印に関して既知である。
別の態様では、ウェハの表面と同一平面内の照明ビームとの回転軸の正確な整列は、X線検出器によって測定されるような照明ビームと2つ以上のビーム遮蔽校正標的との相互作用に基づいて決定される。
別の態様では、ウェハの表面と同一平面内の校正標的の目印との回転軸の正確な整列は、横方向整列ステージに据え付けられた整列カメラによって収集された目印の画像に基づいて決定される。
別の態様では、Z方向のウェハの表面の形状が、整列カメラ、光学近接センサ、容量近接センサ、干渉計法ベースセンサ又は任意の別の好適な近接センサのうちの任意のものを用いてマッピングされる。いくつかの例では、ウェハ表面は、ウェハの前面(すなわち、パターン付き面)上にマッピングされる。いくつかの別の例では、ウェハ表面は、ウェハの厚さが十分に一様であるか、うまくモデル化されているか、又は元の位置で若しくは先験的に測定されているならば、ウェハの裏面(すなわち、パターン無し面)上にマッピングされる。
上記のものは、概要であるので、必然的に、詳細の簡略化、一般化及び省略化を含んでおり、その結果、当業者であれば、概要が例示であるにすぎず、いかなる形であれ限定的ではないことを認識するであろう。本明細書に記載した装置及び/又はプロセスの別の態様、発明的特徴及び利点が、本明細書で述べる限定でない詳細な説明において明らかになるであろう。
本明細書に記載した方法に従う、様々なシステムパラメータの校正を実行するように構成された計測システム100を示す線図である。 一構成でのビーム成形スリット機構120の端面図である。 別の構成でのビーム成形スリット機構120の端面図である。 角度φ及びθによって記述された特定方向にウェハ101に入射するX線照明ビーム116である。 照明ビーム116がウェハ101に入射する位置まで動かされているウェハステージを有する試料位置決めシステム140を示す線図である。 追加の詳細を伴う試料位置決めシステム140を示す線図である。 一実施形態のビーム遮蔽校正標的190を表す。 図5に表すようなウェハ101に入射する照明ビーム116の平面図であって、回転軸153が、ウェハ101との照明ビーム116の入射点で照明ビーム116と交差している。 図5に表すようなウェハ101に入射する照明ビーム116の平面図であって、この場合、回転軸153がZ方向においてウェハ101の表面と整列していない。 図5に表すようなウェハ101に入射する照明ビーム116の平面図であって、この場合、回転軸153がX方向において照明ビーム116からオフセットされている。 照明ビーム116が円筒形ピン要素151によって遮蔽される位置まで動かされたウェハステージを有する試料位置決めシステム140を示す線図である。 照明ビーム116に対する円筒形ピンの相対位置の関数として測定された光束を示すプロット170である。 ウェハ101上に設置されている周期校正標的171を含む試料位置決めシステム140の別の説明図である。 周期校正標的210の実施形態を表す。 周期校正標的220の実施形態を表す。 周期校正標的230の実施形態を表す。 周期校正標的240の実施形態を表す。 周期校正標的250の実施形態を表す。 周期校正標的260の実施形態を表す。 周期校正標的270の実施形態を表す。 周期校正標的290及び295の組を表し、それぞれが1つの方向に照明ビームを周期校正標的に対して設置するのに適している。 周期校正標的290及び295の組を表し、それぞれが1つの方向に照明ビームを周期校正標的に対して設置するのに適している。 目印288及び289と、6角形パターン内に配列された7つの異なる周期領域281〜287と、を含む周期校正標的280を表す。 試料101から分離した真空環境内に含まれる計測システム100の要素を示す線図である。 本明細書に記載した方法に従ってT−SAXSデータに基づいて試料パラメータ値の解を求めるように構成されたモデル構築及び解析エンジン180を示す線図である。 明細書に記載したように複数の入射角及び方位角でのT−SAXS測定に基づいて入射角オフセット値を校正する例示的方法300を示すフローチャートである。
ここで、本発明の背景例及びいくつかの実施形態に詳細な参照がなされ、それらの例が添付図面において説明される。
透過X線小角散乱(T−SAXS)計測システムにおいて、試料を位置調節する及び試料に入射するX線ビームを特徴付けるための方法及びシステムが本明細書において説明される。半導体製造環境における実用的なT−SAXS測定は、小規模ビームスポットサイズ(例えば、有効照明スポット幅が50マイクロメータ未満)を用いて、試料(例えば、半導体ウェハ)の表面に対して入射角及び方位角の広い範囲にわたる測定を必要とする。ウェハについての正確な位置調整、並びにビームサイズ及び形状についての特性評価が、小規模測定ボックスサイズを達成するために必要である。それに加えて、入射角及び方位角の全範囲にわたって半導体ウェハの表面上の所望の標的領域に照明ビームを正確に位置決めする校正が、本明細書において示される。
6自由度試料位置調整システムが、本明細書で示される。それに加えて、本明細書で説明する特殊用途校正標的は、X線ビームプロファイルの高精度特性評価及び校正標的に対するX線ビームの高精度整列を可能にする。このことは、小規模ボックスサイズ計測標的(例えば、100マイクロメートル以下の寸法を有するけがき線内に位置決めされた計測標的)を測定するのに必要であるウェハの正確な移動を可能にする。
図1は、少なくとも1つの新規な態様で試料の特徴を測定するためのT−SAXS計測ツール100の実施形態を示す。図1に示すように、システム100は、照明ビームスポットによって照明された試料101の検査領域102にわたってT−SAXS測定を実行するために用いられてもよい。
表している実施形態では、計測ツール100は、X線照明源110を含むX線照明サブシステム125と、集束光学部品111と、ビーム発散制御スリット112と、中間スリット113と、ビーム成形スリット機構120と、を含む。X線照明源110は、T−SAXS測定に適したX線放射を生成するように構成されている。いくつかの実施形態では、X線照明源110は、0.01ナノメートルと1ナノメートルとの間の波長を生成するように構成されている。一般に、高処理能力のインライン計測を可能にするのに十分な線束レベルで高輝度X線を生成することができる任意の好適な高輝度X線照明源が、T−SAXS測定用のX線照明を供給するために意図されてもよい。いくつかの実施形態では、X線源は、X線源が異なる選択可能な波長でX線放射を供給することを可能にする同調型モノクロメータを含む。
いくつかの実施形態では、15keVを超える光子エネルギを有する放射を放出する1つ又は複数のX線源が利用されて、X線源が、素子全体及びウェハ基板を十分に透過することを可能にする波長の光を供給することを確実にする。非限定的な例として、粒子加速器源、液体アノード源、回転アノード源、静止固体アノード源、微小焦点源、微小焦点回転アノード源、プラズマベース源及び逆コンプトン源のうちの任意のものがX線照明源110として利用されてもよい。一例において、カリフォルニア州(米国)、Palo AltoのLyncean Technologies社から利用可能な逆コンプトン源が考えられてもよい。逆コンプトン源は、光子エネルギの範囲にわたってX線を生成することができ、それによって、X線源が異なる選択可能な波長のX線放射を供給することを可能にするという付加的な利点を有する。
例示的なX線源は、固体又は液体の標的に衝撃を与えて、X線放射を刺激するように構成された電子ビーム源を含む。高輝度液体金属X線照明を生成するための方法及びシステムが、2011年4月19日に発行されたKLA−Tencor社への米国特許第7,929,667号に記載されており、この特許の全体が参照によって本明細書に組み込まれる。
X線照明源110は、有限の横方向寸法(すなわち、ビーム軸線に直交するゼロでない寸法)を有する源領域にわたってX線放出を生成する。集束光学部品111は、試料101上に位置する計測標的上に源放射を集中させる。有限横方向源寸法は、源の縁から到来する光線117によって画定された標的上の有限スポットサイズ102をもたらす。いくつかの実施形態では、集束光学部品111は、楕円形状の集束光学要素を含む。
ビーム発散制御スリット112は、集束光学部品111とビーム成形スリット機構120との間のビーム経路内に位置する。ビーム発散制御スリット112は、被測定試料に提供される照明の発散を制限する。追加の中間スリット113は、ビーム発散制御スリット112とビーム成形スリット機構120との間のビーム経路内に位置する。中間スリット113は、追加のビーム成形を提供する。しかし、一般に、中間スリット113は随意である。
ビーム成形スリット機構120は、試料101直前のビーム経路内に位置する。一態様では、ビーム成形スリット機構120のスリットが試料101の直ぐ近傍に位置することにより、有限源サイズで画定されたビーム発散によって、入力ビームスポットサイズの拡大を最小化する。一例では、有限源サイズで生成されたシャドウに起因するビームスポットサイズの拡張は、10マイクロメートルのX線源サイズ、及びビーム成形スリットと試料101との間の25ミリメートルの距離に対して、約1マイクロメータある。
いくつかの実施形態では、ビーム成形スリット機構120は、複数の独立作動式ビーム成形スリットを含む。一実施形態では、ビーム成形スリット機構120は、4個の独立作動式ビーム成形スリットを含む。これらの4個のビーム整形スリットは、入力ビーム115の一部分を効果的に遮断して、ボックス形状の照明断面を有する照明ビーム116を生成する。
図2及び3は、2つの異なる構成の、図1に表すビーム成形スリット機構120の端面図である。図2及び3に示すように、ビーム軸線は、図面ページに垂直である。図2に表すように、入力ビーム115は、大きい断面を有する。いくつかの実施形態では、入力ビーム115は、約1ミリメートルの直径を有する。更に、ビーム成形スリット126〜129内部での入力ビーム115の位置は、ビーム指向誤差に起因した約3ミリメートルの不確実性を有してもよい。入力ビームのサイズ及びビーム位置の不確実性に適応するために、それぞれのスリットは、約6ミリメートルの長さLを有する。図2に表すように、それぞれのスリットは、ビーム軸線に垂直である方向に可動である。図2の説明図において、スリット126〜129は、ビーム軸線から最大距離のところに位置している(すなわち、スリットは、完全に開いており、光がビーム成形スリット機構120を通過することを制限しない)。
図3は、被測定試料に供給された出力ビーム116が、サイズを低減され、形状を明確に確定されるように、入力ビーム115の一部分を遮断する位置にあるビーム成形スリット機構120のスリット126〜129を表す。図3に表すように、スリット126〜129のそれぞれは、ビーム軸線に向かって内側に動くことにより、所望の出力ビーム形状を達成する。
スリット126〜129は、散乱を最小化し、入射放射を有効に遮断する材料から構成されている。例示的な材料は、ゲルマニウム、ガリウム砒化物、インジウム燐化物等の単結晶材料を含む。典型的には、スリット材料は、鋸で切られるのではなく、むしろ結晶学的方向に沿って裂かれることにより、構造境界にわたって散乱を最小化する。それに加えて、スリットは、入力放射とスリット材料の内部構造との間の相互作用が最小量の散乱を生成するように入力ビームに対して方向付けられる。結晶は、高密度材料(例えば、タングステン)でできているそれぞれのスリット保持器に取り付けられることにより、スリットの1つの側面上でX線ビームを完全に遮断する。いくつかの実施形態では、それぞれのスリットは、約0.5ミリメートルの幅及び約1〜2ミリメートルの高さを有する長方形断面を有する。図2に表すように、スリットの長さLは、約6ミリメートルである。
一般に、X線光学部品は、X線放射を成形し、試料101に方向付ける。いくつかの例では、X線光学部品は、試料101に入射するX線ビームを単色化するためのX線単色光器を含む。いくつかの例では、X線光学部品は、多層X線光学部品を用いて、X線ビームを1ミリラジアン未満発散まで、試料101の測定領域102上に視準するか又は集中させる。これらの例において、多層X線光学部品は、また、ビーム単色光器として機能する。いくつかの実施形態では、X線光学部品は、1つ又は複数のX線視準ミラー、X線開口部、X線ビーム停止部、屈折X線光学部品、輪帯回折板等の回折光学部品、モンテル光学部品、微小角入射楕円ミラー等の鏡X線光学部品、中空毛管X線導波管等のポリキャピラリ光学部品、多層光学部品若しくはシステム、又はこれらの任意の組合せを含む。更なる詳細が、米国特許出願公開第2015/0110249号に記載されており、その内容が全体として参照によって本明細書に組み込まれる。
X線検出器119が、試料101から散乱させられたX線放射114を収集し、T−SAXS計測様式に従って入射X線放射に影響される試料101の特性を示す出力信号135を生成する。いくつかの実施形態では、散乱させられたX線114は、X線検出器119によって収集され、一方、試料位置決めシステム140が、試料101を設置して向きを決めて、角度分解散乱X線を生成する。
いくつかの実施形態では、T−SAXSシステムは、高ダイナミックレンジ(例えば、10超)を有する1つ又は複数の光子計数検出器を含む。いくつかの実施形態では、単一の光子計数検出器が、検出された光子の位置及び数を検出する。
いくつかの実施形態では、X線検出器は、1つ又は複数のX線光子エネルギを分解して、試料の特性を示すそれぞれのX線エネルギ成分について信号を生成する。いくつかの実施形態では、X線検出器119は、CCDアレイ、マイクロチャネルプレート、ホトダイオードアレイ、マイクロストリップ比例計数管、ガス充填比例計数管、シンチレータ又は蛍光物質のうちのいずれかを含む。
このように、検出器内部でのX線光子相互作用が、ピクセル位置及び計数に加えて、エネルギによって識別される。いくつかの実施形態では、X線光子相互作用は、X線光子相互作用のエネルギを所定の上側閾値及び所定の下側閾値と比較することによって識別される。一実施形態では、この情報は、更なる処理及び記憶のために出力信号135を介して計算システム130に通信される。
更なる態様では、T−SAXSシステムが利用されて、散乱光の1つ又は複数の回折次数に基づいて、試料の特性(例えば、構造パラメータ値)を決定する。図1に表すように、計測ツール100は計算システム130を含み、計算システム130は検出器119によって生成された信号135を取得し、そして取得された信号に少なくとも部分的に基づいて試料の特性を決定するために利用される。
いくつかの例では、T−SAXSに基づく計測は、測定データを用いた所定の測定モデルの逆解法によって試料の寸法を決定することを含む。測定モデルは、少数(10程度)の調整可能パラメータを含み、そして試料の形状及び光学特性並びに測定システムの光学特性を表す。逆解法の方法としては、モデルベース回帰、断層撮影法、機械学習又はこれらの任意の組合せが挙げられるが、これに限定されない。このように、標的プロファイルパラメータが、測定された散乱X線強度とモデル化結果との間の誤差を最小化する、パラメータ表記測定モデルの値について解くことによって推定される。
望ましいのは、広範囲の入射角及び方位角で測定を実行して、測定されたパラメータ値の精度及び正確度を増加させることである。この手法は、平面方向から外れた様々な大きい角度を含むように、分析に利用可能なデータセットの数及び多様性を拡大することによってパラメータ間の相関性を低下させる。例えば、法線方向では、T−SAXSは、特徴の限界寸法の解を得ることができるが、特徴の側壁角及び高さに対しては十分に反応しない。しかし、平面角度方向を外れた広範囲にわたって測定データを収集することによって、特徴の側壁角及び高さの解が得られてもよい。別の例では、広範囲の入射角及び方位角において実行される測定は、それらの全体深さによって高アスペクト比構造を特徴評価するのに十分な分解能及び侵入深さを提供する。
ウェハ表面法線に対するX線入射角の関数としての回析放射強度の測定値が、収集される。複数の回折次数に含まれる情報は、典型的には、考察対象のそれぞれのモデルパラメータ同士の間で一意である。したがって、X線散乱は、小さい誤差及び低減されたパラメータ相関性を有する対象パラメータの値の推定結果を生じさせる。
半導体ウェハ101の表面法線に対する照明X線ビーム116のそれぞれの方向は、X線照明ビーム115に対するウェハ101の任意の2つの角度回転によって記述され、その逆も同じである。一例では、方向は、ウェハに固定された座標系に関して記述されてもよい。図4は、入射角θ及び方位角φによって記述された特定方向においてウェハ101に入射するX線照明ビーム116である。座標フレームXYZが、計測システム(例えば、照明ビーム116)に固定され、座標フレームX’Y’Z’が、ウェハ101に固定されている。Y軸は、ウェハ101の表面と同一平面内で整列している。X及びZは、ウェハ101の表面と整列していない。Z’は、ウェハ101の表面の法線軸と整列しており、X’及びY’は、ウェハ101の表面と整列した平面内にある。図4に表すように、X線照明ビーム116は、Z軸と整列しており、それでXZ平面内にある。入射角θは、XZ平面内のウェハの面法線に対するX線照明ビーム116の方向を記述する。更に、方位角φは、X’Z’平面に対するXZ平面の方向を記述する。合せて、θとφとは、ウェハ101の表面に対するX線照明ビーム116の方向を一意に画定する。この例では、ウェハ101の表面に対するX線照明ビームの方向が、ウェハ101の表面の法線軸線(すなわち、Z’軸)の周りの回転及びウェハ101の表面と整列した軸線(すなわち、Y軸)の周りの回転によって記述される。いくつかの別の例では、ウェハ101の表面に対するX線照明ビームの方向は、ウェハ101の表面と整列している第1軸線、及びウェハ101の表面と整列し第1軸線に垂直な別の軸線の周りの回転によって記述される。
一態様では、計測ツール100は、試料位置決めシステム140を含み、当該位置決めシステムは、ウェハを鉛直方向(すなわち、重力ベクトルとほぼ整列したウェハ表面の平面)に設置し、試料101を照明ビーム116に対して6自由度でアクティブに位置決めするように構成されている。それに加えて、試料位置決めシステム101は、試料101を整列させ、試料101を照明ビーム116に対して広範囲の入射角(例えば、少なくとも70度)及び方位角(例えば、少なくとも190度)にわたって方向決めするように構成されている。いくつかの実施形態では、試料位置決めシステム140は、試料101の表面と同一平面内で整列した状態で、広範囲の回転角(例えば、少なくとも70度)にわたって試料101を回転させるように構成されている。このように、試料101についての角度分解測定は、試料101の表面上での任意の数の位置及び方向にわたって計測システム100によって収集される。一例では、計算システム130は、試料101の望ましい位置を指示するコマンド信号(図示せず)を、試料位置決めシステム140に通信する。それに応じて、試料位置決めシステム140は、試料位置決めシステム140の様々な作動装置へのコマンド信号を生成して、試料101の望ましい位置決めを達成する。
図5は、一実施形態での試料位置決めシステム140を表す。一態様では、試料位置決めシステム140は、全6自由度の照明ビーム116に対するウェハ101の位置のアクティブ制御を提供し、同時に、重力ベクトルに関して鉛直方向にウェハ101を支持する(すなわち、重力ベクトルが、ウェハ表面とほぼ同一平面内にある)。試料位置決めシステム140は、ウェハ101のエッジでウェハ101を支持して、ウェハ101を再据付けすることなく、照明ビーム116がウェハ101の活性領域の任意の部分にわたってウェハ101を透過することを可能にする。ウェハ101をそれのエッジで鉛直方向に支持することによって、ウェハ101の重力誘導された撓みが、有効に軽減される。
図5に表すように、試料位置決めシステム140は、基部フレーム141と、横方向整列ステージ142と、ステージ基準フレーム143と、ステージ基準フレーム143に据え付けられたウェハステージ144と、を含む。参照目的のために、{XBF、YBF、ZBF}座標フレームが基部フレーム141に取り付けられ、{XNF、YNF、ZNF}座標フレームが横方向整列ステージ142に取り付けられ、{XRF、YRF、ZRF}座標フレームがステージ基準フレーム143に取り付けられ、{XSF、YSF、ZSF}座標フレームがウェハステージ144に取り付けられている。ウェハ101は、作動装置150A〜Cを含むティップティルトZステージ156によってウェハステージ144上に支持されている。ティップティルトZステージ156に据え付けられた回転ステージ158が、ウェハ101を照明ビーム116に対して方位角φの範囲にわたって方向決めする。表している実施形態では、3つのリニアアクチュエータ150A〜Cが、ウェハステージ144に据え付けられて、回転ステージ158を支持し、次いでウェハ101を支持する。
作動装置145が、横方向整列ステージ142をXBF軸に沿って基部フレーム141に対して並進させる。回転作動装置146が、ステージ基準フレーム143を、YNF軸と整列した回転軸線153の周りを横方向整列ステージ142に対して回転させる。回転作動装置146は、ウェハ101を照明ビーム116に対して入射角θの範囲にわたって方向決めする。ウェハステージ作動装置147及び148が、それぞれ、ウェハステージ144をXRF及びYRF軸に沿ってステージ基準フレーム143に対して並進させる。
一態様では、ウェハステージ144は、開いた開口の2軸(XY)線形積重ねステージである。開いた開口は、測定ビームがウェハ(例えば、300ミリメートルのウェハ)全体の任意の部分を透過することを可能にする。ウェハステージ144は、Y軸ステージが回転軸線153にほぼ平行な方向に延在するように、配列されている。更に、Y軸ステージは、重力ベクトルとほぼ整列している方向に延在する。
作動装置150A〜Cは、協調して動作することにより、回転ステージ158及びウェハ101をZSF方向にウェハステージ144に対して並進させ、回転ステージ158及びウェハ101をXSF−YSF平面と同一平面上の軸線の周りをウェハステージ144に対して並進させる。回転ステージ158は、ウェハ101をウェハ101の表面の法線軸の周りで回転させる。更なる態様では、回転ステージ158のフレームは、それぞれ、運動学的据付け要素157A〜Cを含む運動学的据付けシステムによって作動装置150A〜Cに結合される。一例では、それぞれの運動学的据付け要素157A〜Cは、対応する作動装置に取り付けられた球と、回転ステージ158に取り付けたV形状スロットと、を含む。それぞれの球は、対応するV形状スロットと2点接触する。それぞれの運動学的据付け要素は、2自由度で作動装置150A〜Cに対して回転ステージ158の移動を拘束し、集合的に、3つの運動学的据付け要素157A〜Cは、6自由度で作動装置150A〜Cに対して回転ステージ158の移動を拘束する。それぞれの運動学的結合要素は、球が、対応するV形状スロットと常に接触したままであることを確実にするように、予荷重が掛けられる。いくつかの実施形態では、予荷重は、重力、機械式ばね機構又はその結合によって提供される。
別の更なる態様では、回転ステージ158が、開いた開口の回転ステージである。開いた開口は、測定ビームがウェハ(例えば、300ミリメートルのウェハ)全体の任意の部分を透過することを可能にする。回転ステージ158は、それの回転軸線が回転軸線153にほぼ垂直であるように配列される。更に、回転ステージ158の回転軸線は、重力ベクトルにほぼ垂直である。ウェハ101は、エッジグリッパを介して回転ステージ158に固定されることにより、最小エッジ除外を伴った完全ウェハ有効範囲を提供する。
要約すると、試料位置決めシステム140は、照明ビーム116に対して6自由度でウェハ101の位置をアクティブ制御することができることにより、照明ビーム116は、ウェハ101の表面上の任意の位置(すなわち、XRF及びYRF方向に少なくとも300ミリメートルの範囲)に入射してもよい。回転作動装置146は、ステージ基準フレーム143を照明ビーム116に対して回転させることができることにより、照明ビーム116が広範囲の入射角(例えば、2度超)のうちの任意のものでウェハ101の表面に入射してもよい。一実施形態では、回転作動装置146は、ステージ基準フレーム143を少なくとも60度の範囲にわたって回転させるように構成されている。ウェハステージ144に据え付けられた回転作動装置158は、ウェハ101を照明ビーム116に対して回転させることができることにより、照明ビーム116が広範囲の方位角(例えば、少なくとも90度の回転範囲)のうちの任意のものでウェハ101の表面に入射してもよい。いくつかの実施形態では、方位角の範囲は、少なくとも190度の回転範囲である。
いくつかの別の実施形態では、横方向整列ステージ142が、取り外され、そしてステージ基準フレーム143が、回転作動装置146によって基部フレーム141に対して回転させられる。これらの実施形態では、X線照明システムは、X線照明システムの1つ又は複数の光学要素を動かす1つ又は複数の作動装置を含み、当該作動装置は、X線照明ビーム116が、例えば、XBF方向に基部フレーム141に対して動くようにさせる。これらの実施形態では、本明細書に記載するような校正の目的のためのステージ基準ステージ143の動作が、例えば、X線照明システムの1つ又は複数の光学要素の動作によって置換されて、X線照明ビームを回転軸線153に対して所望の位置まで動かす。図1及び図21に表す実施形態では、計算システム130は、基部フレーム141に対するX線放出の向きを変えるためにコマンド信号138を作動装置サブシステム111’に通信して、X線照明サブシステム125の1つ又は複数の要素を動かすことによって所望のビーム方向を達成する。表している実施形態では、作動装置サブシステム111’は、集束光学部品111を動かすことにより、基部フレーム141に対するX線放出の向きを変え、それで、回転軸線153に対するX線放出を再設置する。
図6は、より詳細な試料位置決めシステム140の別の説明図である。図6に表す同様の番号付き要素は、図5に関連して記述するものに類似している。図5に表すように、回転作動装置146が、ステージ基準フレーム143、ウェハステージ144、ティップティルトZステージ156及び回転ステージ158を含む大きい質量を回転軸線153の周りで回転させる。図6に表すように、ウェハステージ144、ティップティルトZステージ156及び回転ステージ158は、有意な距離だけ回転軸線153からオフセットされている。
更なる態様では、カウンタウェイト159がステージ基準フレーム143に据え付けられて、ウェハステージ144、ティップティルトZステージ156及び回転ステージ158その他とバランスをとることにより、ステージ基準フレーム143及び全ての据え付けられた構成要素の回転質量の重心が、回転軸線153とほぼ整列させられる。このように、作動装置146が及ぼす力は、寄生線形力のうちの最小のものによって回転軸線153の周りにトルクを生成する。
図6に表すように、空気ベアリング172が用いられて、基部フレーム141に対する横方向整列ステージ142の動作を誘導する。同様に、空気ベアリング171が用いられて、横方向整列ステージ142に対するステージ基準フレーム143の動作を誘導する。高精度花崗岩表面に作用する空気ベアリングは、静止摩擦を最小化して、軸安定性を提供する。このことは、大きい荷重を支持しながら、位置決め性能(すなわち、高い反復精度及び短い整定時間)を改善する。
照明ビーム116の、ウェハ101の表面との交点位置が広範囲の入射角にわたって変化しないことを保証するために、回転軸線153が、非常に小さい同期及び非同期誤差を有する必要がある。それに加えて、任意のアッベ誤差が、最小化されなければならない。アッベ誤差を最小化するために、空気ベアリング171が、回転軸線153の周りで半径方向に等しい間隔を空けられる。方位環が、大きい角度誤差を防止できるほど十分に大きい。ベアリングは、横方向整列ステージ142の表面によって鉛直方向に拘束される。いくつかの実施形態では、横方向整列ステージ142の表面は、回転軸線153に垂直である高精度研磨花崗岩表面である。
一般に、試料位置決めシステムは、6自由度の半導体ウェハの自動式位置決めを提供する。それに加えて、試料位置決めシステムは、回転ステージ上にエッジ把持特徴及び作動装置を含むことにより、ウェハハンドリングロボットと協調してウェハを鉛直方向位置に効率的に積み卸しする。
いくつかの実施形態では、3つのセンサが、試料位置決めシステム上に配設されて、試料位置決めシステムに対するウェハの背面距離を測定する。このように、ウェハバウが、ティップティルトZステージを用いるウェハの動作によって測定されて補償される。
別の態様では、SAXS計測システムが、少なくとも1つのビーム遮蔽校正標的を用いて、試料位置決めシステムに対してX線照明ビームを設置する。ビーム遮蔽校正標的は、少なくとも1つの目印及び円筒形状遮蔽要素を含む。整列カメラを用いて、試料位置決めシステムの座標内に目印を設置する。円筒形状遮蔽要素に対する目印の位置は、先験的に既知である(例えば、200ナノメートル未満の正確度によって)。そのため、試料位置決めシステムの座標内での円筒形状遮蔽要素の位置は、直接の座標変換によって容易に決定される。円筒形状遮蔽要素は、透過光束の検出強度が測定されながら、照明ビームにわたって走査される。照明ビームの中心が、測定強度に基づいて、円筒形状遮蔽要素に対して正確に設置される。円筒形状遮蔽要素の位置が試料位置決めシステムの座標内で既知であるので、試料位置決めシステムの座標内での照明ビームの中心位置が、単純な座標変換によって正確に設置される。
いくつかの例では、ビーム遮蔽校正標的を用いて、試料位置決めシステムに対する照明ビームの入射位置を校正する。いくつかの別の例では、ビーム遮蔽較正標的を用いて、ステージ基準フレームの回転軸線をウェハとの照明ビームの入射点で照明ビームに対して整列させる。
図7は、一実施形態でのビーム遮蔽校正標的190を表す。図7に表す実施形態では、ビーム遮蔽校正標的190は、高精度形成円筒形ピン192と、円筒形ピン192を支持するフレーム191と、を含む。円筒形ピン192は、標的不確実性のオーダー(例えば、0.5マイクロメータ未満の許容量)の高い表面品質及び正確な寸法で製作されている。
いくつかの実施形態では、フレーム191は、試料位置決めシステム140等の試料位置決めシステムに据え付けられる構造であってもよい。これらの実施形態では、ビーム遮蔽校正標的190は、校正ウェハではなく、試料位置決めシステム140に据え付けられる。いくつかの別の実施形態では、フレーム191は、ウェハ自体に取り付けられた1つ又は複数の円筒形ピンを含む特殊用途校正ウェハであってもよい。これらの実施形態では、ビーム遮蔽校正標的190は、校正ウェハに据え付けられる。ビーム遮蔽校正標的190は、また、円筒形ピン192の一方又は両方の側面上に開口部193を含む。開口部193は、照明ビーム(例えば、照明ビーム197)が、遮蔽(例えば、少なくとも2ミリメートル×2ミリメートル)がなければ、ビーム遮蔽校正標的190を通過することができるようなサイズである。ビーム遮蔽校正標的190は、また、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能である1つ又は複数の目印(例えば、目印195及び196)を含む。円筒形ピンのエッジ198及び199に対する目印195及び196の位置が、正確に既知である。このように、円筒形ピン192のエッジの位置は、目印195及び196のいずれか又はそれらの両方の位置から単純な座標変換によって決定される。
円筒形ピン形状遮蔽要素は、整列標的としてナイフエッジを用いるときに生じる有限透明性の問題を主として取り除く。円筒形ピンを通るビーム経路は、シリンダの半径R及び円筒形ピンのエッジに対するビーム経路の衝突深さSによって画定される。RがSよりも有意に大きいとき、円筒形ピンを通るビーム経路の長さLは、式(1)によって近似される。
直径約2ミリメートルの炭化タングステン円筒形ピンを用いると、半透明性に起因した、硬X線に対するエッジ位置の不確実性が、1マイクロメータ未満である。一般に、円筒形ピン192は、任意の好適な密度の高原子番号材料から製造されてもよい。非限定的な例として、円筒形ピン192は、炭化タングステン、タングステン、プラチナその他から構成されてもよい。円筒形ピンの直径は、材料の半透明性に起因して誘導されたエッジ位置の不確実性が、全整列エラーバジェットの範囲内に適切にあるように十分に大きくなければならない。典型的には、2〜3ミリメートルの直径は、1〜2マイクロメータ以下の材料の半透明性に起因して誘導されたエッジ位置の不確実性を維持するのに十分である。
図7に表すように、ビーム遮蔽校正標的190は、円筒形ピン192の軸線と正確に整列している1つ又は複数の平坦表面(例えば、平坦表面194)を含む。いくつかの例では、表面194は、距離センサ(例えば、容量プローブ、誘導プローブ等)によるX線ビーム軸線と共線方向の標的位置の測定のための基準表面である。それに加えて、いくつかの実施形態では、1つ又は複数の目印が、平坦表面上に位置している。例えば、図7に表すように、目印195は、平坦表面194上に位置している。
図5に表す実施形態では、ビーム遮蔽校正標的151及び152が、円筒形ピンの中心軸線がウェハ101の表面とほぼ同一平面上にあるように、回転ステージ158のフレームに据え付けられている。図5に表すように、円筒形ピン151は、YNF軸とほぼ平行に整列した中心軸線を含み、そして、円筒形ピン152は、XRF軸とほぼ平行に整列した中心軸線を含む。それぞれの円筒形ピンは、任意の衝突X線の大部分の吸収によってビームを遮蔽する。
試料位置決めシステム140は、また、ステージ基準フレーム143に据え付けられた整列カメラ154を含む。表している実施形態では、整列カメラは、ステージ基準フレームに据え付けられ、それでステージ基準フレームと共に回転する。整列カメラ154は、ウェハ101等の、それの視野内の対象の高分解能画像を生成するように構成されている。いくつかの実施形態では、整列カメラ154は、また、測定された距離によってカメラの焦点を正確に動かすことにより鮮明画像焦点を維持するオートフォーカス機構を含む。これらの実施形態のうちのいくつかでは、整列カメラ154を用いて、カメラボディが据え付けられているステージ基準フレームと、カメラの焦点のz変位を監視することによってカメラで撮像されたウェハ101又は目印151A及び152Aとの間の相対距離を測定してもよい。
いくつかの別の実施形態では、整列カメラは、横方向整列ステージ142に据え付けられている。これらの実施形態のうちのいくつかでは、整列カメラを用いて、カメラボディが取り付けられている{XNF、YNF、ZNF}座標フレームと、整列カメラの視野内にあるウェハ101に据え付けられた光学目印又は目印151A及び152Aの位置を監視することによってカメラで撮像されたウェハ101又は目印151A及び152Aとの間の相対距離を測定する。
更なる態様では、ウェハ表面の平面内の2次元の照明ビームの正確な入射位置が、2つ以上のビーム遮蔽校正標的との照明ビームの相互作用に基づいて決定される。
図9は、試料位置決めシステム140を示す線図であり、図において、ウェハステージが、照明ビーム116が円筒形ピン要素151によって遮蔽される位置まで移動させられている。円筒形ピン151に対する照明ビームの正確な入射位置が、検出器119によって測定された透過光束に基づいて、照明ビーム116(すなわち、基部フレーム141)に対する円筒形ピン151のX位置の関数として決定される。図9に表すように、円筒形ピン151が正のX方向に(XBFの方向に)動かされるにつれて、より多くの照明ビーム116が、円筒形ピン151によって遮蔽される。その結果、より少ない光子が検出器119に到達する。しかし、円筒形ピン151が、負のX方向(XBFの反対側)に動かされるにつれて、より少ない照明ビーム116が、円筒形ピン151によって遮蔽される。検出器119は、X位置の関数として測定された光束を示す信号155を生成し、その結果が解析されて、照明ビーム116の中心に対応する円筒形ピンの位置を識別する。
図10は、照明ビーム116に対する円筒形ピンの相対位置の関数として測定された光束を示すプロット170を表す。測定された光束155と相対位置との間の表示された関係は、シグモイドタイプ関数(例えば、ビームプロファイルに基づくロジスティック又は別の誤差関数)である。
いくつかの例では、ビーム中心が、測定された光束が最小光束値FMINと最大光束値FMAXとの間の中間、又は導関数dF/dxの最大値である、照明ビームに対する円筒形ピンの相対位置であるように決定される。しかし、いくつかの別の例では、ビーム中心は、測定された光束の範囲の中央と異なる別の光束値において決定されてもよい。いくつかの例では、より正確な関係が、円筒形ピンの材料及び形状とビームとの相互作用についてのモデル化によって決定される。これらの例では、モデル化された相互作用は、測定された透過光束と比較され、そして、適合アルゴリズムを用いて、モデルへの測定結果の適合に基づいて、ビーム中心と整列する照明ビームに対する円筒形ピンの相対位置を決定してもよい。
一例では、照明ビーム116の中心に対する円筒形ピン151の現在位置と、ビーム中心と一致する円筒形ピン151の位置との間の距離ΔXの推定値は、測定された光束FMEAS、光束の中点値FMID、及び式(2)によって記述されるような円筒形ピン位置の関数として測定された光束の導関数の逆に基づいており、
そして、FMIDは、式(3)によって記述される。
測定された光束の最大値及び最小値は、透過光束の測定中に、ウェハステージを走査することによって測定されてもよい。更に、中点値における傾斜が、また、推定されてもよい。これらの量に基づいて、円筒形ピンの中心とされる位置の変化の推定値が、単純に1つの位置で光束を測定することによって式(2)に従って決定される。必要に応じて、中心とされる位置の変化は、中心とされる位置に収束するように反復によって決定されてもよい。
ビームが2方向(例えば、X及びY方向)の重心成分を有するので、重心成分の方向にそれぞれ垂直な向きの2つの円筒形ピンが、測定される。図9に表す実施形態では、円筒形ピン151を用いて、X方向にステージ基準フレームに対してビーム中心を設置し、そして、円筒形ピン152を用いて、Y方向にステージ基準フレームに対してビーム中心を設置する。一般に、3つ以上の円筒形ピンを用いて、冗長性を生じさせて、ビーム位置の校正の正確度を増加させてもよい。
図9に表すように、照明ビーム116の中心は、以下で述べるように、鉛直方向及び水平方向を向いた円筒形ピン151及び152のエッジと整列している。図9に表す実施形態では、基準目印151Aが、円筒形ピン151の中心軸線と同一平面上に位置している。同様に、基準目印152Aは、円筒形ピン152の中心軸線と同一平面上に位置している。円筒形ピン151と整列したビーム中心の位置において、円筒形ピン151、又は円筒形ピンのところ又はその近傍の基準目印151Aに対する照明ビーム116の位置が、整列カメラ154によって記録される。これは、整列カメラ(焦点位置の変化がないと仮定する)の視野内の正確な位置に対する照明ビームの相対位置を記録する。図5に表すように、ウェハ101は、整列カメラ154の視野内で動かされる。ウェハ101は、ウェハ上の所望の位置(例えば、基準目印)が整列カメラ154の視野内で結像されるように動かされる。所望の位置に対する照明ビーム116の位置は、以前の記録に基づいて整列カメラ154によって決定される。このように、X及びY方向のウェハ101上の照明ビーム116の位置が、整列カメラ154によって収集された画像に基づいて迅速に推定される。いくつかの実施形態では、円筒形ピン151のZ位置に対するZ方向のウェハの位置は、ウェハ101の表面上のリソグラフィ特徴が正確な焦点に来るまで、整列カメラ154の焦点位置を変えることによって測定される。焦点位置の変化は、円筒形ピンとウェハ上の結像位置との間のZ位置の差分を示す。いくつかの別の実施形態では、円筒形ピン151のZ位置に対するZ方向のウェハの位置は、1つ又は複数の光学近接センサ、容量近接センサ、干渉計法ベースセンサ又は別の好適な近接センサによって測定される。作動装置150A〜Cを用いて、Z方向にウェハ101を再位置決めすることにより、結像された位置を円筒形ピン(例えば、基準151A)と同一平面にあるように再設置してもよい。
更なる態様では、照明ビームの入射位置は、ウェハステージ座標に基づいてウェハ上の任意の位置に決定される。一旦照明ビームの中心が鉛直方向及び水平方向の円筒形ピンと整列させられ、円筒形ピンに対する照明ビームの位置が、以下で述べるように整列カメラによって記録されると、照明ビームの入射位置は、ステージ座標に移されてもよい。図5に表すように、ウェハ101が、整列カメラ154の視野内で動かされる。ウェハ101の動作は、ウェハステージ144の位置測定システム(例えば、直線エンコーダ等)によって測定される。ウェハ101を、整列カメラ154の視野内で結像されたウェハ上の3つ以上の所望の位置(例えば、基準目印)まで動かすことによって、所望の位置に対する照明ビームの位置が、ステージ座標内のウェハの位置とともに、それぞれの所望の位置において決定される。照明ビームの既知の位置及び3つ以上の位置におけるステージ座標に基づいて、ステージ座標を照明ビームの入射位置に関連付けるマップが生成される。
円筒形ピン151を照明ビーム116の中心に(X方向に)設置した後に、整列カメラ154が、円筒形ピン自体又は円筒形ピン上に若しくはその近傍に位置する基準目印の位置を撮像することにより、ビーム位置と画像位置との間の関係を整列カメラ154の視野内で確立する。整列カメラ154は、ステージ基準フレーム143に対して固定又は反復位置に位置しているので、画像が、ステージ基準フレーム143に対する照明ビームの位置を記録し、それで、X方向のビーム位置についての基準として機能する。更に、整列カメラ154は、基準目印の正確な焦点位置を確立することにより、ステージ基準フレーム143に対する円筒形ピンの正確なZ位置を確立する。整列カメラ154がステージ基準フレームと共に回転する実施形態について、整列カメラ154の焦点位置は、ステージ基準フレームに対する円筒形ピンのZ位置の基準として機能する。
遮蔽光束を用いてビーム入射位置を推定するので、照明ビーム内での光束の変化が、位置のシフトとして解釈されることになるというリスクが存在する。いくつかの実施形態では、照明ビームの光束は、遮蔽測定の前に、その後に、又はそれと同時に測定される。照明光束の変動が、測定された光束155の解析において補償されることにより、測定値に対するそれらの影響を除去する。
別の態様では、ウェハの表面と同一平面内での照明ビームとの回転軸線153の正確な整列が、X線検出器119によって測定されるような2つ以上のビーム遮蔽校正標的との照明ビームの相互作用に基づいて決定される。
測定完全性を保証するために、ウェハ101の表面での照明ビーム116の入射位置は、広範囲の入射角及び方位角にわたる測定中、静止状態のままでなければならない。この目的を達成するために、ステージ基準フレーム143の回転軸線153は、測定位置においてウェハ101の表面とほぼ同一平面上になければならない。更に、回転軸線153は、XBF方向に照明ビーム116と整列させられることにより、回転軸線153が照明ビーム116の入射点で照明ビーム116を測定位置においてウェハ101と交差させる。
図8Aは、図5に表すようなウェハ101に入射する照明ビーム116の平面図である。図8Aは、整列状態にある回転軸線153の端面図であり、当該整列状態において、回転軸線153は、ウェハ101上の位置103で、ウェハ101と、照明ビーム116の入射点において照明ビーム116を交差させる。図8Aに表すように、ウェハ101が大きい入射角θにわたって回転軸線153の周りを回転させられるとき、照明ビーム116は、位置103に入射する状態のままである。このように、このシナリオにおいては、ウェハ101の表面での照明ビーム116の入射位置は、広範囲の入射角にわたる測定中、静止したままである。
図8Bは、図5に表すようなウェハ101に入射する照明ビーム116の平面図である。図8Bは、整列状態にある回転軸線153の端面図であり、当該整列状態では、回転軸線153がウェハ101の表面と距離∂zだけ整列状態から外れている。図8Bに表すように、ウェハ101が大きい入射角θにわたって回転軸線153の周りを回転させられるとき、位置103の一部分が、もはや照明されなくなる(すなわち、ウェハ101のいくらかの別の部分がその代わりに照明される)。このように、このシナリオにおいては、ウェハ101の表面での照明ビーム116の入射位置は、広範囲の入射角にわたる測定中にドリフトし、このことは、非常に望ましくない。
図8Cは、図5に表すようなウェハ101に入射する照明ビーム116の平面図である。図8Cは、整列状態にある回転軸線153の端面図であり、当該整列状態では、回転軸線153は、ウェハ101の表面と同一平面上にあるけれども、照明ビーム116から距離∂xだけオフセットされている。図8Cに表すように、ウェハ101が大きい入射角θにわたって回転軸線153の周りを回転させられるとき、位置103の一部分が、もはや照明されなくなる(すなわち、ウェハ101のうちのいくらかの別の部分がその代わりに照明される)。このように、このシナリオにおいては、ウェハ101の表面での照明ビーム116の入射位置が、広範囲の入射角にわたる測定中にドリフトし、このことは、非常に望ましくない。
いくつかの実施形態では、ステージ基準フレームの回転軸線の校正が、照明ビームの中心をX方向円筒形ピン151と整列させることと、ステージ基準フレームの複数の異なる回転位置θにおいて光束を測定することと、によって達成される。X方向の円筒形ピンの見掛けの動作(ΔX)は、以下で述べるように選ばれた遮蔽モデル(例えば、図10に表すシグモイド関数、又は別のモデル)に基づいて決定される。それに加えて、X方向の円筒形ピンの見掛けの移動は、1)x方向の回転軸線からの円筒形ピンの距離∂x及びz方向の距離∂z、2)x方向のビーム中心及び回転軸線153からの距離∂n、並びに3)ステージ基準フレームの回転軸線153の周りの回転角度θの関数である。
その関係は、式(4)に記述されている。
一例では、透過光束は、3つの入射角{−Θ、0、+Θ}において測定される。式(5)によって記述された線形方程式系は、式(4)からもたらされる。
式(6)は、式(5)を逆にすることによって得られる。式(6)は、X方向の円筒形ピンの見掛けの移動から∂n、∂x及び∂zの値についての解を得る。
式(3)と組合された式(6)は、測定された光束から決定されたX方向の円筒形ピンの見掛けの移動から∂n、∂x及び∂zの値についての解を得る。いくつかの例では、∂n、∂x及び∂zの値についての解が、式(7)によって記述されるように反復によって得られ、
ここに、kは、反復指標であり、wは、回転軸線153をX及びZ方向にナイフエッジ151と整列させるのに必要な試料位置決めシステム140の作動装置の変位の値のベクトル[∂n、∂x及び∂z]である。変位∂nは、X方向に照明ビーム116に対してステージ基準フレーム143全体を動かす作動装置145によって実現される。変位∂xは、ビームと整列するまで円筒形ピン151を後方に動かす作動装置147によって実現される。変位∂zは、回転軸線153をZ方向に円筒形ピンの中心軸線と同一平面内で整列させるために、Z方向に円筒形ピンを動かす作動装置150A〜Cによって実現される。初期推定値wから開始して、式(7)の漸化式が、回転軸線153が円筒形ピン151に整列させられる点に収束することになる。
一般に、式(7)は、必ずしも正確に適用される必要がない。AΘ及び∂X/∂Fの値は、数値的に近似されてもよい。別の例では、反復が安定的であり、正しい値に収束するならば、別のマトリックスが用いられてもよい。
一般に、透過光束が任意の3つ以上の異なる入射角において測定されることにより、X及びZ方向に回転軸線153を円筒形ピン151と整列させるのに必要な変位の値を決定してもよい。任意の3つの異なる入射角の選択は、直接逆にされてもよい線形方程式系をもたらす。4つ以上の異なる入射角の選択は、過剰決定線形方程式系をもたらし、当該方程式系は、X及びZ方向に回転軸線153を円筒形ピン151と整列させるのに必要な変位値を決定するために、一般逆行列アルゴリズムによって解かれてもよい。式(5)及び(6)に示されたマトリックスの項は、選択された入射角に依存する。このように、異なる入射角が選択された例においては、項は、式(5)及び(6)とは異なることになる。
別の態様では、ウェハの表面の同一平面内の校正標的の目印(例えば、ビーム遮蔽校正標的151の目印151A、ウェハ101上に位置する目印等)との回転軸線153の正確な整列は、横方向整列ステージ142に据え付けられた整列カメラによって収集された目印の画像に基づいて決定される。
整列カメラの視野内でのX方向の目印の見掛けの移動(ΔX)は、x方向の回転軸からの目印の距離∂x及びz方向の距離∂z、並びにステージ基準フレームの回転軸線153の周りを回転角θの関数である。横方向整列ステージ142に据え付けられた整列カメラについて、その関係は式(8)に記述されている。
いくつかの例では、目印(例えば、目印151A)のX位置が任意の3つの異なる入射角において測定されることにより、X及びZ方向に回転軸線153を円筒形ピン151と整列させるのに必要な変位値を決定する。任意の3つの異なる入射角の選択は、x方向の回転軸線からの目印の距離∂x、及びz方向の距離∂zについて解を得るために直接逆にされてもよい線形方程式系をもたらす。
理想化されたビーム遮蔽校正標的及び回転軸線については、ビーム校正のための唯1つのビーム遮蔽校正標的を有するだけで十分である。しかし、システムの要件に基づいて、複数のビーム遮蔽校正標的が必要とされる場合がある。複数の遮蔽要素のエッジを整列させることによって、公称YNF軸からの回転軸線の任意の偏差を推定することが可能である。また、複数の同じ遮蔽要素は、左右又は上下からのエッジの校正を可能にして、撮像された(すなわち、整列カメラ154によって撮像された)エッジの系統誤差及び遮蔽光束変化から導き出された見掛けのエッジを除去することを助ける。
別の態様では、SAXS計測システムが、少なくとも1つの周期校正標的を用いて、試料位置決めシステムに対してX線照明ビームを設置する。それぞれの周期校正標的は、異なる周期構造を有する1つ又は複数の空間的に画定された領域を含み、当該異なる周期領域は、X線照明光を、本明細書で述べるSAXS計測システムによって測定可能な異なる回折パターンに回折する。それに加えて、それぞれの周期校正標的は、光学顕微鏡によって読取り可能な1つ又は複数の目印を含み、それにより、周期校正標的を試料位置決めシステムに対して高い整列正確度(例えば、0.5マイクロメートル以下の整列正確度)で設置する。それぞれの空間的に画定された領域は、空間的に明確に画定された境界線を有する。境界線の位置は、1つ又は複数の寸法における高い正確度(例えば、0.2マイクロメートル以下の正確度)を有する目印に関して既知である。
いくつかの実施形態では、それぞれの周期領域のサイズが、周期校正標的上への照明ビームの射影よりも大きいように設計される。このように、ビームプロファイルは、それぞれ照明ビームよりも大きいサイズにされた2つの異なる周期領域の間の接合部にわたって照明ビームを走査することによって特徴評価されてもよい。いくつかの実施形態では、照明ビーム116は、200マイクロメータ未満のビーム幅を有する。いくつかの実施形態では、照明ビーム116は、100マイクロメータ未満のビーム幅を有する。いくつかの実施形態では、照明ビーム116は、50マイクロメータ未満のビーム幅を有する。それに加えて、いくつかの例では、校正測定が、大きい入射角で実行される。これらの例では、周期校正標的上への照明ビームの投影は、一方向に細長く、それぞれの周期領域は、投影照明面積よりも大きいサイズにされる。
いくつかの実施形態では、それぞれの周期領域の寸法は、照明ビームに対する方向に基づいて変化する。例えば、周期領域は、回転軸線153に垂直な方向により大きいことにより、大きい入射角に適応してもよい。別の例では、照明ビームが、別の方向よりも一つの方向により大きくてもよく(例えば、長方形の照明ビーム形状)、そして、周期領域は、細長い方向により大きくてもよい。
いくつかの実施形態では、1つ又は複数の周期領域の寸法は、必要とされる測定ボックスサイズと整合するようなサイズである。一例では、周期領域のうちの1つは、照明ビームサイズ(例えば、50マイクロメータ又は100マイクロメータ四方)、又は照明ビーム116に対する回転軸線153の整列校正のためのなんらかの別の数と整合するようなサイズである。この例では、完璧な整列は、照明ビーム116が広範囲のAOIにわたって周期校正標的に対して動かないときに達成される。この例では、AOIが変化するにつれて照明ビームが周期校正標的に対して動く場合、照明ビームは、照明ビームサイズに整合するようなサイズの周期領域から、隣接する周期領域まで動くことになる。領域同士間にある境界を横断する照明ビームのこの動作が、検出器119によって検出される。
一般に、周期校正標的の組又は周期校正標的の領域の組は、ビームプロファイル及びサイズを特徴評価するのに役に立つ異なるサイズの領域を含む。一般に、1つ又は複数の領域は、照明ビームよりも大きいか、それよりも小さいか、又はそれと同じサイズにされてもよい。
一般に、周期校正標的の周期性が、X線散乱コントラストを向上させるために最適化される。それぞれの周期構造のピッチは、検出器における検出次数の十分な空間分離を保証するのに十分なほど小さい。それぞれの回折次数の角度が、十分な空間分離を保証するために、ビーム発散よりも有意に大きくなければならず、そして、それぞれの回折次数の角度は、ピッチが減少するにつれて増加する。いくつかの実施形態では、それぞれの周期構造のピッチは、十分な空間分離及び測定正確度を保証するために、0.1マイクロメータのオーダー(例えば、200ナノメートル未満)のものでなければならない。
それぞれの周期構造は、硬X線との高いコントラスト及び大きい原子番号を有する材料(例えば、タングステン、炭化タングステン、プラチナ等)でできている。
それに加えて、それぞれの周期構造は、合理的な露出時間にわたって測定可能回折パターンを生成するのに十分な高さを有するように製作される。いくつかの例では、0.5ミリメートル以上の高さを有する周期構造が有利である。
いくつかの実施形態では、本明細書に記載した周期校正標的のうちの任意のものは、試料位置決めシステム140等の試料位置決めシステムに据え付けられる。いくつかの別の実施形態では、本明細書に記載した周期校正標的のうちの任意のものは、測定中の校正ウェハ又は生産ウェハに据え付けられる。
図11は、より詳細な試料位置決めシステム140の別の説明図である。図11に表す同様の番号付き要素は、図5に関して記述されたものに類似している。図11に表す実施形態では、周期校正標的171は、ウェハ101上に位置している。
周期校正標的171は、少なくとも1つの目印と、複数の周期構造(例えば、格子)と、を含む。照明ビーム116が2つ以上の異なる回折パターンに入射する場合、異なる周期構造と関連する次数の測定強度の比は、照明されたパターンに対する照明ビームの位置についての情報を提供する。整列カメラ154を用いて、目印を試料位置決めシステムの座標内に設置する。周期構造に対する目印の位置は、先験的に既知である。このように、試料位置決めシステムの座標内の周期構造の位置は、直接の座標変換によって容易に決定される。周期校正標的171が、照明ビーム116を横断して走査され、同時に、回折次数の検出された強度が、検出器119によって測定される。照明ビーム116の中心が、測定強度に基づいて、周期校正標的171に対して正確に設置される。周期校正標的171の位置が試料位置決めシステムの座標内で既知であるので、試料位置決めシステムの座標内での照明ビームの中心の位置が、単純な座標変換によって正確に設置される。
いくつかの例では、周期校正標的を用いて、試料位置決めシステムに対する照明ビームの入射位置を校正する。いくつかの別の例では、周期校正標的を用いて、ステージ基準フレームの回転軸線をウェハとの照明ビームの入射点において照明ビームに対して整列させる。いくつかの別の例では、周期校正標的が、多くの方位角で照明ビームにわたって走査される。このように、ビームプロファイルが、標的に対する照明ビームの位置を校正することに加えて特徴評価される。
いくつかの実施形態では、周期校正標的は、中央周期領域と、中央周期領域を囲む1つ又は複数の周期領域と、を含む。それぞれの周期領域は、異なるピッチ、異なるピッチ方向又はその組合せを含む。
図12は、周期校正標的210の一実施形態を表す。図12に表すように、周期校正標的210は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能である目印211及び212と、中央領域214内に位置する小さいピッチ周期構造215と、中央領域214の周りの周辺領域内のより大きいピッチ周期構造213と、を含む。目印211及び212は、周期校正標的の周期構造と同一平面内に位置している。それに加えて、中央領域214の境界に対する目印211及び212の位置が、正確に既知である。このように、境界の位置は、目印211及び212のいずれか、又はその両方の位置から単純な座標変換によって決定される。
照明ビーム116による中央領域214(すなわち、周期構造215)の照明は、比較的大きい間隔(例えば、100マイクロメータ)で水平方向に検出器119を横断して複数次数の回折を生じさせる。照明ビーム116による周辺領域(すなわち、周期構造213)の照明は、格子213のより大きいピッチに基づいて、より小さい間隔で水平方向に検出器119を横断して複数次数の回折を生じさせる。格子215の測定された次数と格子213の測定された次数との間の強度比が、中央領域214と周辺領域との間にある境界線に関する照明ビーム116の位置を示す。
図13は、周期校正標的220の一実施形態を表す。図13に表すように、周期校正標的220は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能である目印221及び222と、中央領域224内に位置する鉛直方向に配設された周期構造225と、中央領域224の周りの周辺領域内に水平方向に配置された周期構造223と、を含む。目印221及び222は、周期校正標的の周期構造と同一平面内に位置している。それに加えて、中央領域224の境界に対する目印221及び222の位置が正確に既知である。このように、境界の位置は、目印221及び222のいずれか、又はそれらの両方の位置からの単純な座標変換によって決定される。
照明ビーム116による中央領域224(すなわち、周期構造225)の照明が、水平方向に検出器119を横断して複数次数の回折を生じさせる。照明ビーム116による周辺領域(すなわち、周期構造223)の照明が、鉛直方向に検出器119を横断して複数次数の回折を生じさせる。格子225の測定された次数と格子223のそれとの間の強度比が、中央領域224と周辺領域との間にある境界線に関する照明ビーム116の位置を示す。
図14は、周期校正標的230の一実施形態を表す。図14に表すように、周期校正標的230は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能な目印231及び232と、周期構造を全く有しない中央領域234の周りの周辺領域内に水平に配置された周期構造233と、を含む。目印231及び232は、周期校正標的の周期構造と同一平面内に位置している。それに加えて、中央領域234の境界に対する目印231及び232の位置は、正確に既知である。このように、境界の位置が、印231及び232のいずれか、又はそれらの両方の位置から単純な座標変換によって決定される。
照明ビーム116による中央領域234の照明は、回折を全く生じさせない、すなわち、ゼロの次数だけが検出される。照明ビーム116による周辺領域(すなわち、周期構造233)の照明は、鉛直方向に検出器119を横断して複数次数の回折を生じさせる。格子233の測定された次数の強度とゼロの次数のそれとの間の強度比が、中央領域234と周辺領域との間にある境界線に関する照明ビーム116の位置を示す。
いくつかの実施形態では、周期校正標的は、共通点で交差するいくつかの数の周期領域を含む。このように、X線照明ビームは、周期領域のそれぞれによって共有される共通点と整列させられる。それぞれの周期領域は、異なるピッチ、異なるピッチ方向又はその組合せを含む。
図15は、周期校正標的240の一実施形態を表す。図15に表すように、周期校正標的240は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能な目印241及び242と、直角位相配列内に位置する4つの周期領域と、を含む。図15に表すように、鉛直方向に配設された周期構造243が、第1象限内に位置し、水平方向に配置された周期構造244が、第2象限内に位置し、鉛直方向に配設された周期構造245が、第3象限内に位置し、水平方向に配設された周期構造246が、第4象限内に位置している。目印241及び242は、周期校正標的の周期構造と同一平面内に位置している。それに加えて、直角位相配列の中心にある共通点に対する目印241及び242の位置が、正確に既知である。このように、印241及び242のいずれか、又はそれらの両方の位置から単純な座標変換によって共通点の位置が決定される。
照明ビーム116による構造243及び245の照明は、水平方向に検出器119を横断して複数次数の回折を生じさせる。照明ビーム116による構造244及び246の照明は、鉛直方向に検出器119を横断して複数次数の回折を生じさせる。測定された次数同士の間の強度比が、構造243〜246によって共有される共通点に関する照明ビーム116の位置を示す。
図16は、周期校正標的250の一実施形態を表す。図16に表すように、周期校正標的250は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能な目印251及び252と、直角位相配列内に位置する4つの周期領域と、を含む。図16に表すように、鉛直に対して−45度の向きの周期構造253が第1象限内に位置し、鉛直に対して45度の向きの周期構造254が第2象限内に位置し、水平方向に配設された周期構造255が第3象限内に位置し、鉛直方向に配設された周期構造256が第4象限内に位置している。目印251及び252は、周期校正標的の周期構造と同一平面内に位置している。それに加えて、直角位相配列の中心にある共通点に対する目印251及び252の位置が、正確に既知である。このように、共通点の位置が、目印251及び252のいずれか、又はそれらの両方の位置から単純な座標変換によって決定される。
照明ビーム116による構造253及び254の照明は、それぞれ、+45及び−45度で検出器119を横断して複数次数の回折を生じさせる。照明ビーム116による構造255及び256の照明は、それぞれ、鉛直方向及び水平方向に検出器119を横断して複数次数の回折を生じさせる。測定された次数同士の間の強度比が、構造253〜256によって共有される共通点に関する照明ビーム116の位置を示す。
図17は、周期校正標的260の一実施形態を表す。図17に表すように、周期校正標的260は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能な目印261及び262と、直角位相配列内に位置する4つの周期領域と、を含む。図17に表すように、比較的小さいピッチを有する、鉛直方向に配設された周期構造263が第1象限内に位置しており、比較的大きいピッチを有する、水平方向に配設された周期構造264が第2象限内に位置しており、比較的大きいピッチを有する、鉛直方向に配設された周期構造265が第3象限内に位置しており、比較的小さいピッチを有する、水平方向に配設された周期構造266が第4象限内に位置している。目印261及び262は、周期校正標的の周期構造と同一平面内に位置している。それに加えて、直角位相配列の中心にある共通点に対する目印261及び262の位置が、正確に既知である。このように、印261及び262のいずれか、又はそれらの両方の位置から単純な座標変換によって、共通点の位置が決定される。
照明ビーム116による構造263及び265の照明は、水平方向に検出器119を横断して複数次数の回折を生じさせる。照明ビーム116による構造264及び266の照明は、鉛直方向に検出器119を横断して複数次数の回折を生じさせる。構造263及び266と関連する次数は、構造264及び265と関連する次数とは異なるように、間隔が空けられている。測定された次数同士の間の強度比が、構造263〜266によって共有される共通点に関する照明ビーム116の位置を示す。
図18は、周期校正標的270の一実施形態を表す。図18に表すように、周期校正標的270は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能な目印271及び272と、直角位相配列内に位置する4つの周期領域と、を含む。図18に表すように、比較的小さいピッチを有する、鉛直方向に配設された周期構造273が第1象限内に位置しており、比較的大きいピッチを有する、水平方向に配設された周期構造274が第2象限内に位置しており、比較的小さいピッチを有する、鉛直方向に配設された周期構造275が第3象限内に位置しており、比較的大きいピッチを有する、水平方向に配設された周期構造276が第4象限内に位置している。目印271及び272は、周期校正標的の周期構造と同一平面内に位置している。それに加えて、直角位相配列の中心にある共通点に対する目印271及び272の位置が、正確に既知である。このように、共通点の位置が、目印271及び272のいずれか、又はそれらの両方の位置から単純な座標変換によって、決定される。
照明ビーム116による構造273及び275の照明は、水平方向に検出器119を横断して複数次数の回折を生じさせる。照明ビーム116による構造274及び276の照明は、鉛直方向に検出器119を横断して複数次数の回折を生じさせる。構造273及び275と関連する次数は、構造274及び276と関連する次数とは異なるように、間隔が空けられている。測定された次数同士の間の強度比が、構造273〜276によって共有される共通点に関する照明ビーム116の位置を示す。
図19A〜Bは、周期校正標的290及び295の組を表し、これらそれぞれは、照明ビームを1つの方向に周期校正標的に対して設置するのに適している。標的290及び295の両方を用いて、SAXS計測システムを校正するとき、試料位置決めシステムに関する照明ビームの位置は、2つの直交する寸法において決定される。図19Aに表すように、周期校正標的290は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能な目印291及び292と、境界線に沿って互いに隣接して位置する2つの周期領域と、を含む。図19Aに表すように、水平方向に配設された周期構造293が、鉛直方向に配設された周期構造294と並んで位置している。目印291及び292は、周期校正標的の周期構造と同一面内に位置している。それに加えて、構造293と294との間にある境界に対する目印291及び292の位置が、正確に既知である。このように、境界線の位置が、印291及び292のいずれか、又はそれらの両方の位置から単純な座標変換によって決定される。
照明ビーム116による構造293及び294の照明は、それぞれ、鉛直方向及び水平方向に検出器119を横断して複数次数の回折を生じさせる。測定された次数同士の間の強度比が、構造293と294とによって共有される境界線に関する照明ビーム116の位置を示す。
同様に、図19Bに表すように、周期校正標的295は、試料位置決めシステムに据え付けられた光学顕微鏡によって読取り可能な目印296及び297と、境界線に沿って互いに隣接して位置する2つの周期領域と、を含む。図19Bに表すように、標的295の境界線が、標的290の境界線と直交している。図19Bに表すように、水平方向に配設された周期構造298が、鉛直方向に配設された周期構造299と並んで位置している。目印296及び297が、周期校正標的の周期構造と同一平面内に位置している。それに加えて、構造298と299との間にある境界線に対する目印296及び297の位置が、正確に既知である。このように、境界線の位置が、目印296及び297のいずれか、又はそれらの両方の位置から単純な座標変換によって決定される。
照明ビーム116による構造298及び299の照明は、それぞれ、鉛直方向及び水平方向に検出器119を横断して複数次数の回折を生じさせる。測定された次数同士の間の強度比が、構造298と299とによって共有される境界線に関する照明ビーム116の位置を示す。
一般に、周期校正標的は、任意の好適な構成の複数の異なる周期領域を含んでもよい。いくつかの実施形態では、周期領域はデカルトパターン内に配列されている。しかし、周期領域の別のパターンが、考えられてもよい。
図20は、目印288及び289と、6角形パターンに配列された7つの異なる周期領域281〜287と、を含む周期校正標的280を表す。それぞれの周期領域は、異なるピッチ、異なるピッチ方向又はその組合せを含む。
別の態様では、Z方向のウェハの表面形状が、整列カメラ、光学近接センサ、容量近接センサ、干渉計法ベースセンサ又は任意の別の好適な近接センサのうちの任意のものを用いてマッピングされる。いくつかの例では、ウェハ表面は、ウェハの前面(すなわち、パターン付き面)上にマッピングされる。いくつかの別の例では、ウェハ表面は、ウェハの厚さが十分に一様であるか、うまくモデル化されているか、又は元の位置で若しくは先験的に測定されているならば、ウェハの裏面(すなわち、パターン無し面)上にマッピングされる。いくつかの実施形態では、多くのセンサ技術がパターン無し表面の位置を正確に測定するために用いられてもよいので、裏面センサを用いてウェハバウを測定する。これらの実施形態のうちのいくつかでは、裏面センサだけを用いてウェハの裏面を横断するウェハバウを測定し、そして、前面を横断するウェハバウが、厚さモデル、又は先験的に実行された厚さ測定から生成された厚さマッピングに基づいて推定される。いくつかの別の実施形態では、裏面及び前面センサの両方を用いて、ウェハバウを測定する。これらの実施形態のうちのいくつかでは、裏面センサを用いて、ウェハの裏面を横断するウェハバウを測定し、そして、前面を横断するウェハバウは、厚さモデル、又は前面及び裏面測定値から誘導されたウェハ厚さの推定値から少なくとも部分的に生成された厚さマッピングに基づいて推定される。いくつかの例では、ウェハマップは、いくつかの標準的な補間器(例えば、多項式基礎関数、有理関数、ニューラルネットワーク等)を用いてモデル化される。更に、ウェハについての解析的又は数値的曲げモデルを用いて、横方向変位と高さ変位とを結合することが可能である。
更なる態様では、Z作動装置150A〜Cを制御して、照明ビーム116の入射位置でのウェハの表面形状に応じて、Z位置、Rx方向、Ry方向又はその任意の組合せを調整する。一例では、ウェハの傾きは、Z作動装置150A〜Cによって補正される。傾き補正は、ウェハ傾きのマップ又は局所的に測定された傾きの値に基づいてもよい。このことは、また、ウェハの裏側表面において、Rx方向及びRy方向(すなわち、ティップティルト)を監視する光学ベース傾きセンサを用いて達成されてもよい。
別の更なる態様では、Z作動装置150A〜Cを制御して、Z位置、Rx方向、Ry方向又はその任意の組合せを調整することにより、回転軸線の方位をステージ基準フレーム143と整列させる。一例では、Z作動装置150A〜Cを調整することにより、特定の標的が方位角の範囲にわたって整列カメラ154の焦点内に有り続ける。この校正を実行するために、ウェハステージが、ウェハ101をX及びY方向に並進させて、標的を全方位角について整列カメラ154の視野内に維持する。
一般に、全てのオフセットの影響について校正することは、不可能である。最大偏差を除去する校正が、典型的に選択され、残りのオフセットは、無視されるか、又はウェハ及びステージの理想的ではない特性を考慮するステージマップによって処理される。
それに加えて、温度及び気圧の変化又は任意の別の環境条件が、照明ビームの位置決めに影響を及ぼすことがある。いくつかの実施形態では、ビーム移動がこれらの変数に相関し、そして、ビームの位置が、測定された温度及び圧力並びに相関モデルに基づいて調整される。
一般に、試料位置決めシステム140は、所望の直線的及び角度的な位置決め性能を達成するのに適した機械式要素の任意の好適な組合せを含んでもよく、当該機械式要素として、ゴニオメータステージ、ヘキサポッドステージ、角度ステージ及び直線ステージが挙げられるが、これらに限定されない。
いくつかの実施形態では、X線照明源110、集束光学部品111、スリット112及び113又はその任意の組合せが、試料101と同じ大気環境(例えば、ガスパージ環境)内に維持される。しかし、いくつかの実施形態では、これらの要素のうちの任意のもの同士の間の及びそれらの中での光路長が長く、それで、空中でのX線散乱が検出器上の画像にノイズを与える。それゆえに、いくつかの実施形態では、X線照明源110、集束光学部品111並びにスリット112及び113のうちの任意のものが、局所化真空環境内に保持される。図1に表す実施形態では、集束光学部品111、スリット112及び113並びにビーム成形スリット機構120が、排気された飛行管118内部の制御された環境(例えば、真空)内に保持される。照明ビーム116が、試料101への入射の前に、飛行管118の端部にある窓121を通過する。
いくつかの実施形態では、X線照明源110、集束光学部品111並びにスリット112及び113のうちの任意のものが、真空窓によって、互いに及び試料(例えば、試料101)から分離された局所化真空環境内に保持される。図21は、X線照明源110を具備する真空室160、集束光学部品111を具備する真空室162並びにスリット112及び113を具備する真空室163を示す線図である。それぞれの真空室の開口部は、真空窓によって覆われている。例えば、真空室160の開口部は、真空窓161によって覆われている。同様に、真空室163の開口部は、真空窓164によって覆われている。真空窓は、X線放射に対して実質的に透明である任意の好適な材料(例えば、カプトン、ベリリウム等)から構成されてもよい。好適な真空環境が、それぞれの真空室内部に保持されることにより、照明ビームの散乱を最小化する。好適な真空環境は、任意の好適な水準の真空、小さい原子番号を有するガス(例えば、ヘリウム)を含む任意の好適なパージ環境又はその任意の組合せを含んでもよい。このように、照明ビーム経路のうちの可能な限り多くが、真空内に位置して、光束を最大化し、散乱を最小化する。
同様に、いくつかの実施形態では、試料101と検出器119との間の光路長(すなわち、収集ビーム経路)が長く、それで、空中でのX線散乱が、検出器上の画像にノイズを付加する。それゆえに、好ましい実施形態では、試料101と検出器119との間にある収集ビーム経路長の有意な部分が、真空窓(例えば、真空窓124)によって試料(例えば、試料101)から分離された局所化真空環境内に保持される。いくつかの実施形態では、X線検出器119は、試料101と検出器119との間にあるビーム経路長と同じ局所化真空環境内に保持される。例えば、図1及び21に表すように、真空室123は、検出器119を囲む局所化真空環境、及び試料101と検出器119との間にあるビーム経路長の有意な部分を保持する。
いくつかの別の実施形態では、X線検出器119は、試料101と同じ大気環境(例えば、ガスパージ環境)内に保持される。このことは、検出器119から熱を除去するのに有利であることがある。しかし、これらの実施形態では、試料101と検出器119との間にあるビーム経路長の有意な部分を真空室内部の局所化真空環境内に保持することが好ましい。
いくつかの実施形態では、試料101を含む光学システム全体が、真空内に保持される。しかし、一般に、真空内に試料101を保持することと関連するコストは、試料位置決めシステム140の構成と関連する複雑さのために高い。
別の更なる態様では、ビーム成形スリット機構120を真空室163と機械的に統合することにより、大気環境の影響を受けるビーム経路長を最小化する。一般に、試料101への入射の前に、可能な限り多くのビームを真空内に封入することが望ましい。いくつかの実施形態では、真空ビームラインが、ビーム成形スリット機構120の入力部で中空の円筒形状キャビティ中に延在する。真空窓164が、ビーム成形スリット機構120内部にある真空室163の出力部に位置することにより、入力ビーム115が、ビーム成形スリット機構120の一部分内部の真空内に依然としてあり、その後、スリット126〜129及び試料101のうちのいずれかと相互作用する前に真空窓164を通過する。
別の更なる態様では、計算システム130が、試料の測定される構造の構造モデル(例えば、形状モデル、材料モデル又は形状と材料との組合せモデル)を生成する、構造モデルからの少なくとも1つの形状パラメータを含むT−SAXS応答モデルを生成する、及び、T−SAXS測定データのT−SAXS応答モデルとの適合解析を実行することによって少なくとも1つの試料パラメータ値の解を得るように構成されている。解析エンジンを用いて、シミュレートされたT−SAXS信号を測定されたデータと比較し、それによって、サンプルの電子密度等の材料特性だけでなく形状についての決定を可能にする。図1に表す実施形態では、計算システム130は、本明細書に記載するようなモデル構築及び解析機能を実装するように構成されたモデル構築及び解析エンジンとして構成される。
図22は、計算システム130によって実装される例示的なモデル構築及び解析エンジン180を示す線図である。図22に表すように、モデル構築及び解析エンジン180は、試料の測定された構造から構造モデル182を生成する構造モデル構築モジュール181を含む。いくつかの実施形態では、構造モデル182は、また、試料の材料特性を含む。構造モデル182は、T−SAXS応答関数構築モジュール183への入力として受け取られる。T−SAXS応答関数構築モジュール183は、構造モデル182に少なくとも部分的に基づいて、T−SAXS応答関数モデル184を生成する。いくつかの例では、T−SAXS応答関数モデル184は、次式のX線形状係数に基づいており、
ここに、Fは形状係数であり、qは散乱ベクトルであり、ρ(r)は球座標での試料の電子密度である。X線散乱強度は、このとき、次式によって与えられ、
T−SAXS応答関数モデル184は、適合解析モジュール185への入力として受け取られる。適合解析モジュール185は、モデル化されたT−SAXS応答を対応する測定されたデータと比較することにより、試料の材料特性だけでなく形状を決定する。
いくつかの例では、モデル化されたデータの実験データへの適合は、カイ2乗値を最小化することによって達成される。例えば、T−SAXS測定について、カイ2乗値は、次式のように定義されてもよい。
ここに、
は、「チャネル」jで測定されたT−SAXS信号126であり、ここに、指標jは回折次数、エネルギ、角度座標等のシステムパラメータの組を記述する。
は、構造(標的)パラメータv,…,vの組に対して評価される「チャネル」jについてモデル化されたT−SAXS信号Sであり、ここに、これらのパラメータは、形状(CD、側壁角、オーバレイ等)及び材料(電子密度等)を記述する。σSAXS,jは、j次チャネルと関連する不確実性である。NSAXSは、X線計測におけるチャネルの総数である。Lは、計測標的を特徴評価するパラメータの数である。
式(11)は、異なるチャネルと関連する不確実性が無相関であると仮定する。異なるチャネルと関連する不確実性が相関している例では、不確実性同士間の共分散が、計算されてもよい。これらの例では、T−SAXS測定値についてのカイ2乗値が、次式のように表現されてもよい。
ここに、VSAXSは、SAXSチャネル不確実性の共分散行列であり、Tは、転置を示す。
いくつかの例では、適合解析モジュール185は、T−SAXS応答モデル184によってT−SAXS測定データ135について適合解析を実行することによって、少なくとも1つの試料パラメータ値の解を求める。いくつかの例では、
が最適化される。
以上に述べるように、T−SAXSデータの適合は、カイ2乗値の最小化によって達成される。しかし、一般に、T−SAXSデータの適合は、別の関数によって達成されてもよい。
T−SAXS計測データの適合は、対象の形状及び/又は材料パラメータに感度を提供する任意のタイプのT−SAXS技術に対して有利である。試料パラメータは、試料とのT−SAXSビーム相互作用を記述する適切なモデルが用いられる限り、決定論的(例えば、CD、SWA等)であっても、又は統計的(例えば、側壁粗度の平方2乗平均高さ、粗度相関長等)であってもよい。
一般に、計算システム130は、リアルタイム限界寸法測定(RTCD)を用いて、リアルタイムでモデルパラメータにアクセスするように構成されるか、又は、それは、予め計算されたモデルのライブラリにアクセスして、試料101と関連する少なくとも1つの試料パラメータ値の値を決定してもよい。一般に、何らかの形式のCDエンジンを用いて、試料についての割り当てられたCDパラメータと、測定された試料と関連するCDパラメータとの間の差分を評価してもよい。試料パラメータ値を計算するための例示的方法及びシステムが、KLA−Tencor社への2010年11月2日に発行された米国特許第7,826,071号に記載されており、その全体が参照によって本明細書に組み込まれる。
いくつかの例では、モデル構築及び解析エンジン180は、フィードサイドウェイ解析、フィードフォワード解析及びパラレル解析の任意の組合せによって、測定されるパラメータの正確度を改善する。フィードサイドウェイ解析とは、同一の試料の異なる領域において複数のデータセットを採取し、そして、第1データセットから決定された共通パラメータを解析のために第2データセットに渡すことを指す。フィードフォワード解析とは、異なる試料においてデータセットを採取し、そして、段階的コピーイグザクトパラメータフィードフォワード手法を用いて、共通パラメータを後続の解析に転送することを指す。パラレル解析とは、少なくとも1つの共通パラメータが適合中に結合される、複数のデータセットへの非線形適合法の平行又は並列適用を指す。
マルチプルツール及び構造解析とは、フィードフォワード解析、フィードサイドウェイ解析、あるいは回帰、ルックアップテーブル(すなわち、「ライブラリ」マッチング)又は複数のデータセットの別の適合手順に基づくパラレル解析を指す。マルチプルツール及び構造分析のための例示的な方法及びシステムは、KLA−Tencor社への、2009年1月13日に出願された米国特許第7,478,019号に記載され、その内容の全体が参照によって本明細書に組み込まれる。
別の更なる態様では、1つ又は複数の対象パラメータの初期推定値が、測定標的に対する入射X線ビームの単一の方向において実行されたT−SAXS測定に基づいて決定される。初期の推定値は、複数の方向でのT−SAXS測定から収集された測定データによる測定モデルの回帰についての対象パラメータの開始値として実装される。このように、対象パラメータの近似推定値が比較的少ない計算作業量によって決定され、ずっと大きいデータセットについての回帰の開始点としてこの近似推定値を実装することによって、対象パラメータについての精密推定値が、より少ない全体計算作業によって得られる。
別の態様では、計測ツール100は、本明細書に記載するようなビーム制御機能を実装するように構成された計算システム(例えば、計算システム130)を含む。図1に表す実施形態では、計算システム130は、入射照明ビーム116の強度、発散、スポットサイズ、偏波、スペクトル及び位置等の照明特性のうちの任意のものを制御するように作動可能であるビーム制御装置として構成されている。
図1に示すように、計算システム130は、検出器119に通信可能に結合される。計算システム130は、測定データ135を検出器119から受け取るように構成されている。一例では、測定データ135は、試料の測定された応答の示度(すなわち、回折次数の強度)を含む。検出器119の表面で測定された応答の分布に基づいて、試料101上の照明ビーム116の入射位置及び範囲が、計算システム130によって決定される。一例では、パターン認識技術が、計算システム130によって適用されることにより、測定データ135に基づいて試料101上の照明ビーム116の入射位置及び範囲を決定する。いくつかの例では、計算システム130は、コマンド信号137をX線照明源110に通信することにより、所望の照明波長を選択する。いくつかの例では、計算システム130は、コマンド信号138を作動装置サブシステム111’に通信することより、基部フレーム141に対してX線放出を再方向決めして所望のビーム方向を達成する。いくつかの例では、計算システム130は、コマンド信号136をビーム成形スリット機構120に通信することにより、所望のビームスポットサイズ及び方向を有する入射照明ビーム116が試料101に到達するようにビームスポットサイズを変える。一例では、コマンド信号136は、図5に表す回転作動装置122がビーム成形スリット機構120を試料101に対して所望の方向まで回転させるようにする。別の例では、コマンド信号136は、スリット126〜129のそれぞれと関連する作動装置が位置を変えて、入力ビーム116を所望の形状及びサイズに再形成するようにする。いくつかの別の例では、計算システム130は、ウェハ位置決めシステム140にコマンド信号を通信することにより、入射照明ビーム116が試料101に対して所望の位置及び角度方向で到達するように、試料101を位置決め及び方向決めする。
更なる態様では、T−SAXS測定データを用いて、検出された回折次数の測定強度に基づいて、測定された構造の画像を生成する。いくつかの実施形態では、T−SAXS応答関数モデルが、一般的な電子密度メッシュからの散乱を記述するように一般化される。モデル化された電子密度をこのメッシュ内に拘束して連続性及び疎らなエッジを補強しながら、このモデルを測定された信号に整合させることは、試料の3次元画像を提供する。
幾何学モデルベースパラメトリック逆転が、T−SAXS測定に基づく限界寸法(CD)計測に対して好ましいけれども、同じT−SAXS測定データから生成された試料のマップが、測定される試料が幾何学モデルの仮定から逸脱する場合に、モデル誤差を識別及び補正するのに役に立つ。
いくつかの例では、画像が、同じ散乱計測測定データの幾何学モデルベースパラメータ逆転によって推定された構造特徴と比較される。ずれ量を用いて、測定された構造の幾何学モデルを更新し、測定性能を改善する。正確なパラメータ測定モデルに収束する能力は、集積回路の製造プロセスを制御する、監視する、修理するためにそれを測定するとき、特に重要である。
いくつかの例では、画像は、電子密度、吸収能、複素屈折率又はこれらの材料特性の組合せについての2次元(2D)マップである。いくつかの例では、画像は、電子密度、吸収能、複素屈折率又はこれらの材料特性の組合せについての3次元(3D)マップである。マップは、比較的少ない物理的拘束を用いて生成される。いくつかの例では、限界寸法(CD)、側壁角(SWA)、オーバレイ、エッジ配置誤差、ピッチウォーク等の1つ又は複数の対象パラメータが、結果として生じるマップから直接推定される。いくつかの別の例では、試料形状又は材料が、モデルベースCD測定に用いられるパラメータ構造モデルによって考察された期待値の範囲から外に逸脱する場合に、そのマップがウェハプロセスをデバッグすることに役に立つ。一例では、マップと、パラメータ構造モデルの測定されたパラメータに従って予測された構造の描画との間の差分を用いて、パラメータ構造モデルを更新し、それの測定性能を改善させる。更なる詳細が、米国特許出願公開第2015/0300965号に記載されており、その内容が全体として参照によって本明細書に組み込まれる。更なる詳細が、米国特許出願公開第2015/0117610号に記載されており、その内容が全体として参照によって本明細書に組み込まれる。
更なる態様では、モデル構築及び解析エンジン180を用いて、複合X線及び光学測定解析のためのモデルを生成する。いくつかの例では、光学シミュレーションは、例えば、マクスウェル方程式が、異なる偏波に対する反射率、偏向解析パラメータ、相変化等の光学信号を計算するために解かれる厳密結合波解析(RCWA)に基づいている。
1つ又は複数の対象パラメータの値が、複数の異なる入射角で検出されたX線回折次数の強度及び複合幾何学パラメータ表記応答モデルによって検出された光学強度についての複合適合解析に基づいて決定される。光学強度は、図1に表すシステム100等のX線計測システムと機械的に統合されても又は統合されなくてもよい光学計測ツールによって測定される。更なる詳細が、米国特許出願公開第2014/0019097号及び米国特許出願公開第2013/0304424号に記載されており、それぞれの内容が全体として参照によって本明細書に組み込まれる。
一般に、計測標的は、計測標的の最大高さ寸法(すなわち、ウェハ表面の法線方向寸法)を、最大横方向範囲寸法(すなわち、ウェハ表面と整列した寸法)で割ったものとして規定されたアスペクト比によって特徴評価される。いくつかの実施形態では、測定される計測標的は、少なくとも20であるアスペクト比を有する。いくつかの実施形態では、計測標的は、少なくとも40であるアスペクト比を有する。
認識すべきは、本開示全体を通して説明した様々なステップが、単一のコンピュータシステム130、又はその代替として多重コンピュータシステム130によって実行されてもよいことである。更に、試料位置決めシステム140等のシステム100の異なるサブシステムは、本明細書で説明したステップの少なくとも一部分を実行するのに適したコンピュータシステムを含んでもよい。そのため、前述の説明は、本発明についての限定としてではなく、単に例示として解釈されなければならない。更に、1つ又は複数の計算システム130は、本明細書に記載した方法実施形態のうちの任意のものの任意の別のステップを実行するように構成されてもよい。
それに加えて、コンピュータシステム130は、X線照明源110、ビーム成形スリット機構120、試料位置決めシステム140及び当該技術分野で公知の任意方式の検出器119に通信可能に結合されてもよい。例えば、1つ又は複数の計算システム130は、それぞれ、X線照明源110、ビーム成形スリット機構120、試料位置決めシステム140及び検出器119と関連する計算システムに結合されてもよい。別の例では、X線照明源110、ビーム成形スリット機構120、試料位置決めシステム140及び検出器119のうちの任意のものが、コンピュータシステム130に結合された単一のコンピュータシステムによって、直接制御されてもよい。
コンピュータシステム130は、有線及び/又は無線部分を含んでもよい伝送媒体によって、データ又は情報をシステムのサブシステム(例えば、X線照明源110、ビーム成形スリット機構120、試料位置決めシステム140、検出器119等)から受け取る及び/又は取得するように構成されてもよい。このように、伝送媒体は、コンピュータシステム130とシステム100の別のサブシステムとの間のデータリンクとして機能してもよい。
計測システム100のコンピュータシステム130は、有線及び/又は無線部分を含んでもよい伝送媒体によって、別のシステムからデータ又は情報(例えば、測定結果、モデル化入力、モデル化結果等)を受け取る及び/又は取得するように構成されてもよい。このように、伝送媒体は、コンピュータシステム130と別のシステム(例えば、メモリ搭載計測システム100、外部メモリ又は外部システム)との間のデータリンクとして機能してもよい。例えば、計算システム130は、データリンクを介して記憶媒体(すなわち、メモリ132又は190)から測定データ(例えば信号135)を受け取るように構成されてもよい。例えば、検出器119を用いて取得された分光結果が、永久又は半永久メモリ素子(例えば、メモリ132又は190)内に記憶されてもよい。この点に関して、測定結果が、搭載メモリから、又は外部メモリシステムから読み込まれてもよい。更に、コンピュータシステム130は、伝送媒体を介して別のシステムにデータを送信してもよい。例えば、コンピュータシステム130によって決定された試料パラメータ値186が、永久又は半永久メモリ素子(例えば、メモリ190)内に記憶されてもよい。この点に関して、測定結果が、別のシステムに書き出されてもよい。
計算システム130としては、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、パラレルプロセッサ又は当該技術分野で公知の別の任意のデバイスが挙げられてもよいが、これに限定されない。一般に、用語「計算システム」とは、記憶媒体からの命令を実行する、1つ又は複数のプロセッサを有する任意のデバイスを包含するように広く規定されてもよい。
本明細書に記載したもの等の方法を実装するプログラム命令134が、ワイヤ、ケーブル又は無線伝送リンク等の伝送媒体を介して伝送されてもよい。例えば、図1に示すように、メモリ132に記憶されたプログラム命令が、バス133を介してプロセッサ131まで伝送される。プログラム命令134は、コンピュータ読取り可能媒体(例えば、メモリ132)内に記憶される。例示的なコンピュータ可読媒体としては、読取り専用メモリ、ランダムアクセスメモリ、磁性若しくは光学ディスク又は磁気テープが挙げられる。
図23は、本発明の計測システム100による実装に適した方法300を示す。一態様では、方法300のデータ処理ブロックが、計算システム130の1つ又は複数のプロセッサによって実行される事前にプログラミングされたアルゴリズムを介して実行されてもよいことが認識される。以下の説明が計測システム100に関して示されるけれども、ここで認識すべきは、計測システム100の特定の構造上の態様は、限定を示さず、例示としてのみ解釈されなければならないことである。
ブロック301において、X線照明ビームをX線照明サブシステムによって生成する。
ブロック302において、試料をX線照明ビームに対して位置決めすることにより、X線照明ビームが試料表面上のいずれかの位置で試料表面に入射する。
ブロック303において、試料を回転軸の周りでX線照明ビームに対して回転させることにより、X線照明ビームが複数の入射角でいずれかの位置で試料表面に入射する。
ブロック304において、試料を方位回転軸の周りで回転させることにより、X線照明ビームが複数の方位角でいずれかの位置で試料表面に入射する。
ブロック305において、校正標的をX線照明ビームによって照明する。校正標的は、1つ又は複数の目印を含む。
ブロック306において、透過光束の量を試料位置決めシステムの位置の範囲にわたって検出し、X線照明ビームの少なくとも一部分が、位置の範囲にわたって校正標的に入射する。
ブロック307において、X線照明ビームの入射位置を、透過光束の検出された量に基づいて試料位置決めシステムに対して決定する。
いくつかの実施形態では、本明細書に記載したような散乱計測測定は、製造プロセスツールの部分として実装される。製造プロセスツールの例としては、リソグラフィ露光ツール、膜堆積ツール、インプラントツール、及びエッチングツールが挙げられるが、これに限定されない。このように、T−SAXS解析の結果を用いて、製造プロセスを制御する。一例では、1つ又は複数の標的から収集されたT−SAXS測定データが、製造プロセスツールに送られる。T−SAXS測定データは、本明細書に記載したように解析され、その結果を用いて、製造プロセスツールの作動を調整する。
本明細書に記載したような散乱計測測定を用いて、様々な半導体構造の特徴を決定してもよい。例示的な構造としては、FinFET、ナノワイヤ又はグラフェン等の低次元構造、サブ10nm構造、リソグラフィ構造、スルー基板バイア(TSV)、DRAM、DRAM4F2、FLASH、MRAM等の記憶構造及び高アスペクト比記憶構造が挙げられるが、これに限定されない。例示的な構造特徴としては、ラインエッジ粗度等の形状パラメータ、ライン幅粗度、細孔サイズ、細孔密度、側壁角、プロファイル、限界寸法、ピッチ、厚さ、オーバレイ、及び電子密度、組成、粒構造、形態学、応力、歪等の材料パラメータ並びに元素同定が挙げられるが、これに限定されない。いくつかの実施形態では、計測標的は、周期構造である。いくつかの別の実施形態では、計測標的は、非周期的である。
いくつかの例では、高アスペクト比半導体構造の限界寸法、厚さ、オーバレイ及び材料特性の測定が、本明細書に記載するようなT−SAXS測定システムによって実行され、当該測定としては、スピン移動トルクランダムアクセスメモリ(STT−RAM)、3次元NANDメモリ(3D−NAND)又は鉛直方向NANDメモリ(V−NAND)、動的ランダムアクセスメモリ(DRAM)、3次元FLASHメモリ(3D−FLASH)、抵抗ランダムアクセスメモリ(Re−RAM)及び相変化ランダムアクセスメモリ(PC−RAM)が挙げられるが、これに限定されない。
本明細書に記載するように、用語「限界寸法」は、構造についての任意の限界寸法(例えば、底部限界寸法、中央限界寸法、最上部限界寸法、側壁角、格子高さ等)、任意の2つ以上の構造間の限界寸法(例えば、2つの構造間の間隔)、及び2つ以上の構造間の変位(例えば、オーバレイ格子構造間のオーバレイ変位等)を含む。構造は、3次元構造、パターン付き構造、オーバレイ構造等を含んでもよい。
本明細書に記載するように、用語「限界寸法応用」又は「限界寸法測定応用」は、任意の限界寸法測定を含む。
本明細書に記載するように、用語「計測システム」は、限界寸法応用及びオーバレイ計測応用を含む任意の態様で少なくとも部分的に試料を特徴評価するために用いられる任意のシステムを含む。しかし、かかる技術用語は、本明細書で記載したような用語「計測システム」の範囲を限定しない。それに加えて、本明細書に記載した計測システムは、パターン付きウェハ及び/又はパターン無しウェハの測定のために構成されてもよい。計測システムは、LED検査ツール、エッジ検査ツール、裏面検査ツール、マクロ検査ツール又はマルチモード検査ツール(同時に1つ又は複数の試料台からのデータを含む)、及び本明細書に記載した測定技術から利益を得る任意の別の計測又は検査ツールとして構成されてもよい。
試料を処理するために用いられてもよい半導体処理システム(例えば、検査システム又はリソグラフィシステム)についての様々な実施形態が、本明細書に記載されている。用語「試料」は、当該技術分野で公知の手段によって処理されてもよい(例えば、プリントされる又は欠陥を検査される)ウェハ、レクチル又は任意の別の試料を指すために本明細書において用いられている。
本明細書で用いられるとき、用語「ウェハ」は、通常、半導体又は非半導体材料から形成された基板を指す。その例としては、単結晶シリコン、ヒ化ガリウム及びリン化インジウムが挙げられるが、これに限定されない。かかる基板は、半導体製造設備内で共通に見られてもよく及び/又は処理されてもよい。場合によっては、ウェハは、基板(すなわち、ベアウェハ)だけを含んでもよい。その代替として、ウェハは、基板上に形成された、異なる材料の1つ又は複数の層を含んでもよい。ウェハ上に形成された1つ又は複数の層は、「パターン付き」、又は「パターン無し」であってもよい。例えば、ウェハは、反復型パターン特徴を有する複数のダイを含んでもよい。
「レクチル」は、レクチル製造プロセスの任意の段階におけるレクチル、又は半導体製造設備において用いるために放出されても放出されなくてもよい完成レクチルであってもよい。レクチル又は「マスク」は、通常、その上に形成された及びパターンに構成された実質的に不透明な領域を有する実質的に透明な基板として規定される。基板は、例えば、非晶質SiO等のガラス材料を含んでもよい。レクチルは、リソグラフィプロセスの露光ステップ中に、レクチル上のパターンがレジストに転写されてもよいようにレジスト被覆ウェハ上に配設されてもよい。
ウェハ上に形成された1つ又は複数の層は、パターン付きでも又はパターン無しでもよい。例えば、ウェハは、反復型パターン特徴をそれぞれが有する複数のダイを含んでもよい。そのような材料層の形成及び処理は、最終的に完成素子をもたらしてもよい。多くの異なるタイプの素子が、ウェハ上に形成されてもよく、そして、本明細書で用いられるような用語ウェハは、当該技術分野で公知の任意のタイプの素子がその上に製造されるウェハを包含することが考えられる。
1つ又は複数の例示的な実施形態において、記載された機能は、ハードウェア、ソフトウェア、ファームウェア又はその任意の組合せ内に実装されてもよい。ソフトウェア内に実装される場合、機能が、コンピュータ可読媒体上の1つ又は複数の命令又はコードとしてその上に記憶されても又はそれを介して伝送されてもよい。コンピュータ可読メディアは、コンピュータ記憶媒体、及び1つの場所から別の場所までのコンピュータプログラムの移送を容易にする任意の媒体を含む通信メディアの両方を含む。記憶媒体は、汎用又は専用コンピュータによってアクセスされてもよい任意の利用可能なメディアであってもよい。例であって限定ではなく、かかるコンピュータ可読メディアは、RAM、ROM、EEPROM、CD―ROM又は別の光学ディスク記憶装置、磁気ディスク記憶装置又は別の磁気記憶装置、あるいは命令又はデータ構造の形式の所望のプログラムコード手段を伝達又は記憶するために用いられてもよい、及び汎用若しくは専用コンピュータ又は汎用若しくは専用プロセッサによってアクセスされてもよい任意の別の媒体を備えてもよい。また、なんらかの接続が、適切にコンピュータ可読媒体と呼ばれる。例えば、ソフトウェアが、同軸ケーブル、光ファイバケーブル、ツイストペア、デジタル加入者線(DSL)、又は赤外線、無線通信及びマイクロ波等の無線技術を用いて、ウェブサイト、サーバ又は別の遠隔源から伝送される場合、同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は赤外線、無線通信及びマイクロ波等の無線技術は、媒体の定義内に含まれる。本明細書で用いられるときのディスク(disk)及びディスク(disc)は、コンパクトディスク(CD)、レーザーディスク、XRFディスク、デジタル多用途ディスク(DVD)、フロッピーディスク及びブルーレイディスクを含み、ここに、ディスク(disk)は、通常、磁気によってデータを複写し、一方、ディスク(disc)は、レーザによって光学的にデータを複写する。上記の組合せは、また、コンピュータ可読メディアの範囲内に含まれなければならない。
一定の特定実施形態が教示目的のために上記されたけれども、本特許文書の教示は、普遍的な適用性を有し、上記の特定実施形態に限定されない。したがって、記載した実施形態の様々な特徴についての様々な修正、適合及び結合が、クレームにおいて述べられるような本発明の範囲から逸脱することなく実践されてもよい。

Claims (38)

  1. 計測システムであって、
    半導体ウェハ上に入射するX線照明ビームを生成するように構成されたX線照明源と、
    前記X線照明ビームに対する6自由度の前記半導体ウェハの位置をアクティブ制御するように構成された試料位置決めシステムであって、前記ウェハの表面の法線ベクトルが、前記計測システムによる前記半導体ウェハの測定中に重力によって前記半導体ウェハに負荷された重力の力の方向にほぼ垂直である、試料位置決めシステムと、
    前記入射X線照明ビームに応じて前記半導体ウェハからのX線放射の第1量を検出するように構成されたX線検出器と、
    前記半導体ウェハ上に配設された構造を特徴評価する対象パラメータの値を決定するように構成されたコンピューティングシステムと、を備える計測システム。
  2. 前記試料位置決めシステムは、
    基部フレームと、
    前記照明ビームに垂直であって前記ウェハ表面にほぼ平行である回転軸線の周りで、前記基部フレームに対して回転するように構成されたステージ基準フレームと、
    ステージ基準フレームに据え付けられたウェハステージであって、前記ウェハステージは、前記半導体ウェハの活性領域にわたるいずれかの所望の位置で入射する照明ビームに対して前記ウェハを設置するように構成されている、ウェハステージと、
    前記ウェハステージに据え付けられた3軸ステージであって、前記半導体ウェハを前記照明ビームとほぼ整列した方向に動かし、前記半導体ウェハを前記照明ビームに両方ともほぼ垂直である2つの直交回転軸線の周りで回転させるように構成された3軸ステージと、
    前記3軸ステージに据え付けられた回転ステージであって、前記回転ステージは、前記ウェハを前記ウェハ表面のほぼ法線の軸線の周りで回転させるように構成されている、回転ステージと、を備える、請求項1に記載の計測システム。
  3. 前記ウェハステージと前記3軸ステージとは、運動学的結合に配列された6点の機械的接触によって機械的に結合されている、請求項2に記載の計測システム。
  4. 前記試料位置決めシステムは、前記ウェハ表面のほぼ法線の方向に前記試料位置決めシステムに対する前記半導体ウェハの裏側表面の位置を測定するように構成された1つ又は複数のセンサ、前記ウェハ表面のほぼ法線の方向に前記試料位置決めシステムに対する前記半導体ウェハの表側表面の位置を測定するように構成された1つ又は複数のセンサ、又はそれらの組合せを備える、請求項1に記載の計測システム。
  5. 前記試料位置決めシステムは、前記半導体ウェハを前記半導体ウェハのエッジで前記回転ステージに機械的に結合するように構成された1つ又は複数のエッジグリッパ装置を備える、請求項2に記載の計測システム。
  6. 前記試料位置決めシステムは、前記ステージ基準フレーム上に配設された回転カウンタウェイトを備え、前記回転軸線の周りで前記基部フレームに対して回転するように構成された前記ステージ基準フレームの質量中心が、前記回転軸線とほぼ整列している、請求項1に記載の計測システム。
  7. 前記X線照明源と前記半導体ウェハとの間にある照明ビーム経路の有意な部分を包囲する第1真空室を更に備える、請求項1に記載の計測システム。
  8. 前記半導体ウェハと前記X線検出器との間にある収集ビーム経路の有意な部分を包囲する第1真空室を更に備える、請求項1に記載の計測システム。
  9. 計測システムであって、
    X線照明ビームを生成するように構成されたX線照明サブシステムと、
    試料位置決めシステムであって、前記X線照明ビームが試料の表面上のいずれかの位置で前記試料の表面に入射するように前記試料を前記X線照明ビームに対して位置決めし、前記X線照明ビームが複数の入射角でいずれかの位置で前記試料の表面に入射するように前記試料を回転軸線の周りで前記X線照明ビームに対して回転させ、前記X線照明ビームが複数の方位角でいずれかの位置で前記試料の表面に入射するように前記試料を方位回転軸線の周りで回転させるように構成された試料位置決めシステムと、
    円筒形ピン及び前記円筒形ピンの中心軸線と整列した平面内に配設された1つ又は複数の目印を含むビーム遮蔽校正標的と、
    前記試料位置決めシステムの位置の範囲にわたって透過光束の量を検出するように構成されたX線検出器であって、前記X線照明ビームの少なくとも一部分は、前記位置の範囲にわたって前記円筒形ピンに入射する、X線検出器と、
    前記透過光束の検出された量に基づいて、前記試料位置決めシステムに対する前記X線照明ビームの入射位置を決定するように構成された計算システムと、を備える計測システム。
  10. 前記位置の範囲は、入射角の範囲を含み、前記計算システムは、前記回転軸線と前記X線照明ビームとを整列させるために、前記X線照明ビームに対する前記回転軸線の位置の調整を決定するように更に構成されている、請求項9に記載の計測システム。
  11. 前記X線照明ビームに対する前記回転軸線の位置の調整の決定は、前記透過光束の検出された量に基づいている、請求項10に記載の計測システム。
  12. 複数の異なる入射角で、前記1つ又は複数の目印あるいは前記試料上に配設された1つ又は複数の目印のうちの少なくとも一部分の複数の画像を生成する整列カメラを更に備え、前記1つ又は複数の目印あるいは前記試料上に配設された1つ又は複数の目印に対する前記回転軸線の位置の不整列が、前記複数の画像内で測定された、前記1つ又は複数の目印あるいは前記試料上に配設された1つ又は複数の目印の変位に基づいて決定される、請求項10に記載の計測システム。
  13. 前記X線照明ビームに対する前記回転軸線の位置を調整するために、前記X線照明サブシステムの1つ又は複数の要素の位置を調整するように構成された1つ又は複数の作動装置を更に備える、請求項10に記載の計測システム。
  14. 前記回転軸線と前記X線照明ビームとを整列させるために、前記X線照明ビームに対する前記試料位置決めシステムの位置を調整するように構成された1つ又は複数の作動装置を更に備える、請求項10に記載の計測システム。
  15. 前記試料位置決めシステムに対する前記X線照明ビームの入射位置を決定することは、前記X線照明ビームに対する前記円筒形ピンの位置の関数としての透過光束のモデルに基づいている、請求項9に記載の計測システム。
  16. 前記目印の少なくとも一部分の画像を生成する整列カメラを更に備え、前記計算システムは、前記画像に基づいて前記試料位置決めシステムの座標系内に前記目印を設置し、前記目印の位置及び前記目印と前記円筒形ピンとの間の既知の距離に基づいて、前記試料位置決めシステムの座標系内での前記X線照明ビームの入射位置を推定するように更に構成されている、請求項9に記載の計測システム。
  17. 前記整列カメラは、前記試料上に配置された少なくとも1つの基準目印の画像を生成し、前記計算システムは、前記画像に基づいて、前記基準目印を前記試料位置決めシステムの座標系内に設置するように更に構成されている、請求項16に記載の計測システム。
  18. 前記整列カメラは、前記試料と共に前記回転軸線の周りを回転する、請求項17に記載の計測システム。
  19. ウェハ表面のほぼ法線の方向に前記試料位置決めシステムに対する前記試料の裏側表面の位置を測定するように構成された1つ又は複数のセンサ、前記ウェハ表面のほぼ法線の方向に前記試料位置決めシステムに対する前記試料の表側表面の位置を測定するように構成された1つ又は複数のセンサ、あるいはそれらの組合せを更に備える、請求項9に記載の計測システム。
  20. 前記ビーム遮蔽校正標的は、前記試料位置決めシステム又は前記試料上に配設されている、請求項9に記載の計測システム。
  21. X線照明源と前記試料との間にある照明ビーム経路の有意な部分を包囲する第1真空室を更に備える、請求項9に記載の計測システム。
  22. 前記試料と前記X線検出器との間にある収集ビーム経路の有意な部分を包囲する第1真空室を更に備える、請求項9に記載の計測システム。
  23. 計測システムであって、
    X線照明ビームを生成するように構成されたX線照明源と、
    試料位置決めシステムであって、前記X線照明ビームが試料の表面上のいずれかの位置で前記試料の表面に入射するように前記試料を前記X線照明ビームに対して位置決めし、前記X線照明ビームが複数の入射角でいずれかの位置で前記試料の表面に入射するように前記試料を回転軸線の周りで前記X線照明ビームに対して回転させ、前記X線照明ビームが複数の方位角でいずれかの位置で前記試料の表面に入射するように前記試料を方位回転軸線の周りで回転させるように構成された試料位置決めシステムと、
    周期校正標的であって、前記周期校正標的上の既知の範囲の1つ又は複数の周期構造、及び前記1つ又は複数の周期構造と整列した平面内に配設された1つ又は複数の目印を含む、周期校正標的と、
    前記試料位置決めシステムの位置の範囲にわたって透過光束の量を検出するように構成されたX線検出器であって、前記X線照明ビームの少なくとも一部分は、前記位置の範囲にわたって前記1つ又は複数の周期構造に入射する、X線検出器と、
    前記透過光束の検出された量に基づいて、前記試料位置決めシステムに対する前記X線照明ビームの入射位置を決定するように構成された計算システムと、を備える計測システム。
  24. 前記位置の範囲は、入射角の範囲を含み、前記計算システムは、前記透過光束の検出された量に基づいて、前記X線照明ビームに対する前記回転軸線の位置の調整を決定するように更に構成されている、請求項23に記載の計測システム。
  25. 前記周期校正標的は、周期数、方向又はその両方が異なる2つの周期構造の間にある境界線を含み、前記1つ又は複数の目印に対する前記境界線の位置は、200ナノメートル未満の正確度で既知である、請求項23に記載の計測システム。
  26. 前記周期校正標的は、周期数、方向又はその両方が異なる3つ以上の周期構造の間に交点を含み、前記1つ又は複数の目印に対する前記交点の位置は、200ナノメートル未満の正確度で既知である、請求項23に記載の計測システム。
  27. 前記1つ又は複数の周期構造のそれぞれの高さは、少なくとも500マイクロメータである、請求項23に記載の計測システム。
  28. 前記1つ又は複数の周期構造のピッチは、200ナノメートル未満である、請求項23に記載の計測システム。
  29. 前記1つ又は複数の周期構造は、タングステン、炭化タングステン又はプラチナから製作される、請求項23に記載の計測システム。
  30. 前記周期校正標的は、前記試料位置決めシステム又は前記試料上に配設されている、請求項23に記載の計測システム。
  31. 方法であって、
    X線照明サブシステムによってX線照明ビームを生成するステップと、
    前記X線照明ビームが試料の表面上のいずれかの位置で前記試料の表面に入射するように前記試料を前記X線照明ビームに対して位置決めするステップと、
    前記X線照明ビームが複数の入射角でいずれかの位置で前記試料の表面に入射するように前記試料を回転軸線の周りで前記X線照明ビームに対して回転させるステップと、
    前記X線照明ビームが複数の方位角でいずれかずれかの位置で前記試料の表面に入射するように前記試料を方位回転軸線の周りで回転させるステップと、
    校正標的を前記X線照明ビームによって照明するステップであって、前記校正標的は、1つ又は複数の目印を含む、ステップと、
    試料位置決めシステムの位置の範囲にわたって透過光束の量を検出するステップであって、前記X線照明ビームの少なくとも一部分は、前記位置の範囲にわたって前記校正標的に入射する、ステップと、
    前記透過光束の検出された量に基づいて、前記試料位置決めシステムに対する前記X線照明ビームの入射位置を決定するステップと、を含む方法。
  32. 前記回転軸線と前記X線照明ビームとを整列させるために、前記X線照明ビームに対する前記回転軸線の位置の調整を決定するステップを更に含み、前記位置の範囲は、入射角の範囲を含む、請求項31に記載の方法。
  33. 前記X線照明ビームに対する前記回転軸線の位置の調整を決定するステップは、前記透過光束の検出された量に基づいている、請求項32に記載の方法。
  34. 複数の異なる入射角で、前記1つ又は複数の目印あるいは前記試料上に配置された1つ又は複数の目印の少なくとも一部分の複数の画像を生成するステップを更に含み、前記1つ又は複数の目印又は前記試料上に配設された前記1つ又は複数の目印に対する前記回転軸線の位置の不整列は、前記複数の画像内で測定された、前記1つ又は複数の目印又は前記試料上に配設された前記1つ又は複数の目印の変位に基づいて決定される、請求項32に記載の方法。
  35. 前記X線照明ビームに対する前記回転軸線の位置を調整するために、前記X線照明サブシステムの1つ又は複数の要素の位置を調整するステップを更に含む、請求項32に記載の方法。
  36. 前記回転軸線と前記X線照明ビームとを整列させるために、前記X線照明ビームに対する前記試料位置決めシステムの位置を調整するステップを更に含む、請求項32に記載の方法。
  37. 前記校正標的は、既知の範囲の1つ又は複数の周期構造を含み、前記1つ又は複数の目印は、前記1つ又は複数の周期構造と整列した平面内に配設されている、請求項31に記載の方法。
  38. 前記校正標的は、円筒形ピンを含み、前記1つ又は複数の目印は、前記円筒形ピンの中心軸線と整列した平面内に配設されている、請求項31に記載の方法。
JP2019561818A 2017-05-11 2018-05-10 高空間分解能を有するx線ビームの特性評価のためのシステム Active JP7135003B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022138062A JP7379620B2 (ja) 2017-05-11 2022-08-31 高空間分解能を有するx線ビームの特性評価のための方法及びシステム

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762505014P 2017-05-11 2017-05-11
US62/505,014 2017-05-11
US15/974,962 US11073487B2 (en) 2017-05-11 2018-05-09 Methods and systems for characterization of an x-ray beam with high spatial resolution
US15/974,962 2018-05-09
PCT/US2018/032141 WO2018209134A1 (en) 2017-05-11 2018-05-10 Methods and systems for characterization of an x-ray beam with high spatial resolution

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022138062A Division JP7379620B2 (ja) 2017-05-11 2022-08-31 高空間分解能を有するx線ビームの特性評価のための方法及びシステム

Publications (2)

Publication Number Publication Date
JP2020520453A true JP2020520453A (ja) 2020-07-09
JP7135003B2 JP7135003B2 (ja) 2022-09-12

Family

ID=64097222

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019561818A Active JP7135003B2 (ja) 2017-05-11 2018-05-10 高空間分解能を有するx線ビームの特性評価のためのシステム
JP2022138062A Active JP7379620B2 (ja) 2017-05-11 2022-08-31 高空間分解能を有するx線ビームの特性評価のための方法及びシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022138062A Active JP7379620B2 (ja) 2017-05-11 2022-08-31 高空間分解能を有するx線ビームの特性評価のための方法及びシステム

Country Status (8)

Country Link
US (1) US11073487B2 (ja)
EP (1) EP3622276A4 (ja)
JP (2) JP7135003B2 (ja)
KR (1) KR102515243B1 (ja)
CN (1) CN110603437A (ja)
IL (1) IL270359B2 (ja)
TW (2) TWI806803B (ja)
WO (1) WO2018209134A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10816486B2 (en) * 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10955459B2 (en) * 2018-06-27 2021-03-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of analyzing semiconductor structure
US11476144B2 (en) 2018-12-03 2022-10-18 Kla Corporation Single cell in-die metrology targets and measurement methods
US11328964B2 (en) * 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
CN109490348A (zh) * 2019-01-21 2019-03-19 长沙开元仪器有限公司 Xrf探测器及用于xrf探测器的标样校准装置
WO2020191121A1 (en) * 2019-03-20 2020-09-24 Carl Zeiss Smt Inc. Method for imaging a region of interest of a sample using a tomographic x-ray microscope, microscope, system and computer program
JP7210065B2 (ja) 2019-03-28 2023-01-23 株式会社リガク 透過型小角散乱装置
CN110082376B (zh) * 2019-05-20 2024-01-30 中国人民大学 一种双列单晶中子分析器单元
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
JP7221536B2 (ja) * 2019-12-27 2023-02-14 株式会社リガク 散乱測定解析方法、散乱測定解析装置、及び散乱測定解析プログラム
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
CN115023605A (zh) * 2020-02-27 2022-09-06 深圳帧观德芯科技有限公司 相位对比成像法
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
KR20230056770A (ko) * 2020-08-27 2023-04-27 노바 엘티디. 높은 처리량 광학 계측
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11614480B2 (en) 2021-06-08 2023-03-28 Kla Corporation System and method for Z-PAT defect-guided statistical outlier detection of semiconductor reliability failures
DE102021116258A1 (de) * 2021-06-23 2022-12-29 Helmut Fischer GmbH Institut für Elektronik und Messtechnik Messobjekt, Verfahren und Vorrichtung zum Betreiben einer Röntgenquelle
US20240077437A1 (en) * 2022-09-05 2024-03-07 Bruker Technologies Ltd. Monitoring properties of X-ray beam during X-ray analysis

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62294905A (ja) * 1985-11-26 1987-12-22 エ−デイ−イ−・コ−ポレ−シヨン 対象物の測定方法及び装置
JP2004064076A (ja) * 2002-07-23 2004-02-26 Nikon Corp 変形ミラー構造体、変形ミラーの制御方法及び露光装置
JP2008014862A (ja) * 2006-07-07 2008-01-24 Rigaku Corp 超小角x線散乱測定の測定結果表示方法、及び超小角x線散乱測定に基づく配向度の解析方法
US20140064445A1 (en) * 2012-09-05 2014-03-06 David Lewis Adler High speed x-ray inspection microscope

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
JP3655778B2 (ja) 1999-07-23 2005-06-02 株式会社堀場製作所 X線分析装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6882739B2 (en) * 2001-06-19 2005-04-19 Hypernex, Inc. Method and apparatus for rapid grain size analysis of polycrystalline materials
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US7119351B2 (en) 2002-05-17 2006-10-10 Gsi Group Corporation Method and system for machine vision-based feature detection and mark verification in a workpiece or wafer marking system
SG120949A1 (en) 2002-09-20 2006-04-26 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
JP2004226126A (ja) 2003-01-20 2004-08-12 On Denshi Kk 基板検査装置
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7120228B2 (en) * 2004-09-21 2006-10-10 Jordan Valley Applied Radiation Ltd. Combined X-ray reflectometer and diffractometer
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US20060213537A1 (en) 2005-03-23 2006-09-28 Thu Anh To Vertical wafer platform systems and methods for fast wafer cleaning and measurement
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7481579B2 (en) * 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
EP2095065A4 (en) * 2006-11-15 2010-11-24 Zygo Corp MEASURING SYSTEMS FOR DISTANCE MEASUREMENT INTERFEROMETER AND COORDINATOR FOR LITHOGRAPHY TOOL
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
WO2010052840A1 (ja) * 2008-11-05 2010-05-14 株式会社日立ハイテクノロジーズ 校正用標準部材およびその作製方法並びにそれを用いた走査電子顕微鏡
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5631013B2 (ja) * 2010-01-28 2014-11-26 キヤノン株式会社 X線撮像装置
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
JP2012189517A (ja) 2011-03-13 2012-10-04 National Institute Of Advanced Industrial & Technology X線ct装置の校正及び評価用の標準ゲージ、並びに該x線ct装置の校正及び評価用の標準ゲージを用いたx線ct装置の校正方法及び評価方法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9839407B2 (en) * 2013-06-28 2017-12-12 Koninklijke Philips N.V. Correction in slit-scanning phase contrast imaging
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9719947B2 (en) * 2013-10-31 2017-08-01 Sigray, Inc. X-ray interferometric imaging system
US9551677B2 (en) * 2014-01-21 2017-01-24 Bruker Jv Israel Ltd. Angle calibration for grazing-incidence X-ray fluorescence (GIXRF)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US20150355098A1 (en) * 2014-05-06 2015-12-10 California Institute Of Technology Rotating scattering plane based nonlinear optical spectrometer to study the crystallographic and electronic symmetries of crystals
WO2015176023A1 (en) * 2014-05-15 2015-11-19 Sigray, Inc. X-ray method for measurement, characterization, and analysis of periodic structures
US10401309B2 (en) * 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
US9606073B2 (en) * 2014-06-22 2017-03-28 Bruker Jv Israel Ltd. X-ray scatterometry apparatus
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
WO2016187623A1 (en) * 2015-05-15 2016-11-24 Sigray, Inc. X-ray techniques using structured illumination
FR3040867A1 (fr) 2015-09-11 2017-03-17 Thales Sa Mire et procede de calibration d'un systeme d'imagerie par rayons x
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62294905A (ja) * 1985-11-26 1987-12-22 エ−デイ−イ−・コ−ポレ−シヨン 対象物の測定方法及び装置
JP2004064076A (ja) * 2002-07-23 2004-02-26 Nikon Corp 変形ミラー構造体、変形ミラーの制御方法及び露光装置
JP2008014862A (ja) * 2006-07-07 2008-01-24 Rigaku Corp 超小角x線散乱測定の測定結果表示方法、及び超小角x線散乱測定に基づく配向度の解析方法
US20140064445A1 (en) * 2012-09-05 2014-03-06 David Lewis Adler High speed x-ray inspection microscope

Also Published As

Publication number Publication date
JP7379620B2 (ja) 2023-11-14
JP2022173222A (ja) 2022-11-18
TW201907155A (zh) 2019-02-16
WO2018209134A1 (en) 2018-11-15
KR20190141009A (ko) 2019-12-20
TW202314238A (zh) 2023-04-01
KR102515243B1 (ko) 2023-03-28
US20180328868A1 (en) 2018-11-15
CN110603437A (zh) 2019-12-20
TWI806803B (zh) 2023-06-21
TWI798221B (zh) 2023-04-11
IL270359A (ja) 2019-12-31
IL270359B2 (en) 2023-11-01
EP3622276A1 (en) 2020-03-18
US11073487B2 (en) 2021-07-27
EP3622276A4 (en) 2021-03-24
IL270359B1 (en) 2023-07-01
JP7135003B2 (ja) 2022-09-12

Similar Documents

Publication Publication Date Title
JP7379620B2 (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム
KR102548653B1 (ko) 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션
KR102416916B1 (ko) X-선 기반 측정 시스템의 교정 및 정렬을 위한 다층 타깃
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
KR20220136492A (ko) 투과 소각 x 선 산란 계측 시스템
KR20220140826A (ko) X-선 기반 계측을 위한 웨이퍼 기울기 측정 및 제어

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210506

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220524

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220802

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220831

R150 Certificate of patent or registration of utility model

Ref document number: 7135003

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150