KR102515243B1 - 높은 공간 분해능을 가진 x-선 빔의 특성화를 위한 방법 및 시스템 - Google Patents

높은 공간 분해능을 가진 x-선 빔의 특성화를 위한 방법 및 시스템 Download PDF

Info

Publication number
KR102515243B1
KR102515243B1 KR1020197036071A KR20197036071A KR102515243B1 KR 102515243 B1 KR102515243 B1 KR 102515243B1 KR 1020197036071 A KR1020197036071 A KR 1020197036071A KR 20197036071 A KR20197036071 A KR 20197036071A KR 102515243 B1 KR102515243 B1 KR 102515243B1
Authority
KR
South Korea
Prior art keywords
illumination beam
specimen
ray
wafer
ray illumination
Prior art date
Application number
KR1020197036071A
Other languages
English (en)
Other versions
KR20190141009A (ko
Inventor
알렉산더 비카노프
니콜라이 아르테미에프
조셉 에이. 디레골로
안토니오 겔리노
알렉산더 쿠즈네초프
안드레이 벨드만
존 헨치
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20190141009A publication Critical patent/KR20190141009A/ko
Application granted granted Critical
Publication of KR102515243B1 publication Critical patent/KR102515243B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/223Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material by irradiating the sample with X-rays or gamma-rays and by measuring X-ray fluorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/2204Specimen supports therefor; Sample conveying means therefore
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Measurement Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Radar Systems Or Details Thereof (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

T-SAXS(Transmission, Small-Angle X-ray Scatterometry) 계측 시스템에서 시료를 위치결정하고, 시료에 입사하는 X-선 빔을 특성화하기 위한 방법 및 시스템이 본 명세서에 설명된다. 시료 위치결정 시스템은 웨이퍼를 수직으로 위치시키고 투과 방사선을 감쇠시키지 않으면서 x-선 조명 빔에 대해 6개의 자유도에서 웨이퍼를 능동적으로 위치결정한다. 일부 실시예들에서, 빔 중심을 정확하게 위치시키기 위해 투과 플럭스의 검출된 강도가 측정되면서 원통 형상의 폐색 요소가 조명 빔을 가로질러 스캐닝된다. 일부 다른 실시예들에서, 주기적인 캘리브레이션 타깃은 빔 중심을 정확하게 위치시키는 데 이용된다. 주기적인 캘리브레이션 타깃은 X-선 조명 광을 구별되는 측정가능한 회절 패턴들로 회절시키는 상이한 주기적인 구조체들을 가지는 하나 이상의 공간적으로 정의된 존들을 포함한다.

Description

높은 공간 분해능을 가진 X-선 빔의 특성화를 위한 방법 및 시스템
관련 출원의 상호 참조
본 특허 출원은 2017년 5월 11일자로 출원된 미국 가특허 출원 제62/505,014호로부터 35 U.S.C. §119에 따른 우선권을 주장하며, 이 미국 가특허 출원의 주제는 그 전체가 참고로 본 명세서에 포함된다.
기술 분야
설명된 실시예들은 x-선 계측 시스템 및 방법에 관한 것이며, 보다 상세하게는 개선된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스들과 같은 반도체 디바이스들은 전형적으로 시료에 적용되는 프로세싱 단계들의 시퀀스에 의해 제조된다. 반도체 디바이스들의 다양한 피처들(features) 및 다수의 구조적 레벨들(structural levels)은 이러한 프로세싱 단계들에 의해 형성된다. 예를 들어, 그 중에서도, 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스들의 부가의 예들은 화학 기계적 폴리싱, 에칭, 퇴적, 및 이온 주입을 포함하지만, 이들로 제한되지 않는다. 다수의 반도체 디바이스들은 단일 반도체 웨이퍼 상에 제조되고 이어서 개별 반도체 디바이스들로 분리될 수 있다.
계측 프로세스들은 보다 높은 수율을 도모하기 위해 반도체 제조 프로세스 동안 다양한 단계들에서 웨이퍼들 상의 결함들을 검출하는 데 사용된다. 산란계측(scatterometry) 및 반사계측(reflectometry) 구현들 및 연관된 분석 알고리즘들을 포함하는 다수의 계측 기반 기술들은 통상적으로 나노스케일 구조체들의 임계 치수들, 막 두께들, 조성 및 다른 파라미터들을 특성화하는 데 사용된다.
전통적으로, 산란계측 임계 치수 측정은 박막들 및/또는 반복된 주기적인 구조체들로 이루어져 있는 타깃들에 대해 수행된다. 디바이스 제조 동안, 이러한 막들 및 주기적인 구조체들은 전형적으로 실제 디바이스 기하구조(device geometry) 및 재료 구조 또는 중간 디자인을 나타낸다. 디바이스들(예컨대, 로직 및 메모리 디바이스들)이 보다 작은 나노미터-스케일 치수들을 향해 감에 따라, 특성화가 더욱 어려워진다. 복잡한 3차원 기하구조 및 다양한 물리적 속성들을 가진 재료들을 통합한 디바이스들은 특성화 어려움에 기여한다. 예를 들어, 현대의 메모리 구조체들은, 종종, 광학 방사선(optical radiation)이 하부 층들(bottom layers)까지 침투(penetrate)하는 것을 어렵게 만드는 고종횡비 3차원 구조체들이다. 적외선 내지 가시 광을 이용하는 광학 계측 툴들은 반투명 재료들의 많은 층들에 침투할 수 있지만, 양호한 침투 깊이(depth of penetration)를 제공하는 보다 긴 파장들은 작은 이상들(anomalies)에 대해 충분한 감도를 제공하지 못한다. 그에 부가하여, 복잡한 구조체들(예컨대, FinFET들)을 특성화하는 데 요구되는 파라미터들의 개수의 증가는 파라미터 상관(parameter correlation)의 증가를 가져온다. 그 결과, 타깃을 특성화하는 파라미터들이, 종종, 이용가능한 측정을 통해 확실하게 분리될(decoupled) 수 없다.
일 예에서, 스택에서의 교호하는 재료들 중 하나로서 폴리실리콘을 활용하는 3D FLASH 디바이스들에 대한 침투 이슈들을 극복하려는 시도에서 보다 더 긴 파장들(예컨대, 근적외선)이 이용되었다. 그렇지만, 3D FLASH의 미러형 구조체(mirror like structure)는 조명이 필름 스택 내로 보다 깊게 전파될 때 본질적으로 광 강도의 감소를 야기한다. 이것은 깊이에 따른 감도 손실 및 상관 이슈들을 야기한다. 이 시나리오에서, SCD는 높은 감도와 낮은 상관으로 계측 치수들의 축소된 세트를 성공적으로 추출할 수 있을 뿐이다.
다른 예에서, 불투명한 하이-k 재료들이 현대의 반도체 구조체들에 점점 더 많이 이용되고 있다. 광학 방사선은 이러한 재료들로 구성된 층들에 종종 침투할 수 없다. 그 결과, 엘립소미터들(ellipsometers) 또는 반사계들(reflectometers)과 같은 박막 산란계측 툴들을 이용한 측정이 점점 더 어려워지고 있다.
이러한 과제들(challenges)에 응답하여, 보다 복잡한 광학 계측 툴들이 개발되었다. 예를 들어, 다수의 조명 각도들, 보다 짧은 조명 파장들, 보다 넓은 범위들의 조명 파장들, 및 반사된 신호들로부터의 보다 완전한 정보 취득을 갖는(예컨대, 보다 전통적인 반사율 또는 엘립소메트릭(ellipsometric) 신호들에 부가하여 다수의 뮬러(Mueller) 매트릭스 요소들을 측정하는) 툴들이 개발되었다. 그렇지만, 이러한 접근법들은 많은 고도의 타깃들(advanced targets)(예컨대, 복잡한 3D 구조체들, 10 nm보다 작은 구조체들, 불투명한 재료들을 이용하는 구조체들) 및 측정 응용분야들(예컨대, 라인 에지 조도(line edge roughness) 및 라인 폭 조도(line width roughness) 측정)과 연관된 근본적인 과제들을 확실하게 극복하지 못하였다.
원자력 현미경들(atomic force microscopes)(AFM)과 스캐닝 터널링 현미경들(scanning-tunneling microscopes)(STM)은 원자 분해능(atomic resolution)을 달성할 수 있지만, 그들은 시료의 표면을 프로빙(probe)할 수 있을 뿐이다. 그에 부가하여, AFM 및 STM 현미경들은 긴 스캐닝 시간들을 요구한다. 스캐닝 전자 현미경들(scanning electron microscopes)(SEM)은 중간 분해능 레벨들을 달성하지만, 충분한 깊이까지 구조체들에 침투할 수 없다. 따라서, 고종횡비 홀들은 잘 특성화되지 않는다. 그에 부가하여, 시료의 요구된 대전(charging)은 이미징 성능에 악영향을 미친다. X-선 반사계들은 고 종횡비 구조체들을 측정할 때 그들의 유효성을 제한하는 침투 이슈들을 또한 겪는다.
침투 깊이 이슈들을 극복하기 위해, TEM, SEM 등과 같은 전통적인 이미징 기술들은 집속 이온 빔(focused ion beam, FIB) 머시닝, 이온 밀링, 블랭킷 또는 선택적 에칭 등과 같은 파괴적 샘플 준비(destructive sample preparation) 기술들과 함께 이용된다. 예를 들어, 투과 전자 현미경들(transmission electron microscopes)(TEM)은 높은 분해능 레벨들을 달성하고 임의의 깊이들을 프로빙할 수 있지만, TEM은 시료의 파괴적 절편화(destructive sectioning)를 요구한다. 재료 제거 및 측정의 여러 번의 반복은 일반적으로 3차원 구조체 전반에 걸쳐 중요한 계측 파라미터들을 측정하는 데 요구된 정보를 제공한다. 그러나, 이러한 기술들은 샘플 파괴 및 긴 프로세스 시간들을 요구한다. 이러한 유형들의 측정을 완료하기 위한 복잡성 및 시간은 에칭 및 계측 단계들의 드리프트로 인해 큰 부정확성들을 유입시킨다. 그에 부가하여, 이러한 기술들은 레지스트레이션 에러들(registration errors)을 유입시키는 수많은 반복들을 요구한다.
하드 X-선 에너지 레벨(hard X-ray energy level)(>15keV)의 광자를 이용하는 T-SAXS(Transmission, Small-Angle X-Ray Scatterometry) 시스템들은 어려운 측정 응용분야들을 해결할 가능성을 보여주었다. 임계 치수들(CD-SAXS) 및 오버레이(OVL-SAXS) 측정에 대한 SAXS 기술의 적용의 다양한 양태들이 1) 발명의 명칭이 "High-brightness X-ray metrology"인, Zhuang 및 Fielden의 미국 특허 제7,929,667호, 2) 발명의 명칭이 "Model Building And Analysis Engine For Combined X-Ray And Optical Metrology"인, Bakeman, Shchegrov, Zhao, 및 Tan의 미국 특허 공개 제2014/0019097호, 3) 발명의 명칭이 "Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology"인, Veldman, Bakeman, Shchegrov, 및 Mieher의 미국 특허 공개 제2015/0117610호, 4) 발명의 명칭이 "Measurement System Optimization For X-Ray Based Metrology"인, Hench, Shchegrov, 및 Bakeman의 미국 특허 공개 제2016/0202193호, 5) 발명의 명칭이 "X-ray Metrology For High Aspect Ratio Structures"인, Dziura, Gellineau, 및 Shchegrov의 미국 특허 공개 제2017/0167862호, 및 6) 발명의 명칭이 "Full Beam Metrology for X-Ray Scatterometry Systems"인, Gellineau, Dziura, Hench, Veldman, 및 Zalubovsky의 미국 특허 공개 제2018/0106735호에 설명되어 있다. 전술한 특허 문서들은 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor Corporation에 양도되었다.
SAXS는 재료들 및 다른 비-반도체 관련 응용분야들의 특성화에 또한 적용되었다. 예시적인 시스템들이, Xenocs SAS(www.xenocs.com), Bruker Corporation(www.bruker.com), 및 Rigaku Corporation(www.rigaku.com/en)을 포함한, 몇 개의 회사에 의해 상용화되었다.
반도체 구조체들의 CD-SAXS 계측에 대한 연구는 과학 문헌에도 설명되어 있다. 대부분의 연구 그룹들은 엄청난 크기, 비용 등으로 인해 반도체 제조 시설에 사용하기에는 적당하지 않은 고휘도 X-선 싱크로트론 소스들(high-brightness X-ray synchrotron sources)을 이용해 왔다. 그러한 시스템의 일 예는 " Intercomparison between optical and x-ray scatterometry measurements of FinFET structures"[Lemaillet, Germer, Kline et al., Proc. SPIE, v.8681, p. 86810Q (2013)]이라는 제하의 논문에 설명되어 있다. 보다 최근에, NIST(National Institute of Standards and Technology)에 있는 한 그룹이 미국 특허 제7,929,667호에 설명된 것들과 유사한 콤팩트하고 밝은 X-선 소스들을 이용하여 연구를 개시하였다. 이 연구는 "X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices"[J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (Jan-Mar 2017)]이라는 제하의 논문에 설명되어 있다.
X-선 빔과 타깃의 상호작용은 효과적인 측정을 보장하기 위해 계측 시스템을 이용하여 캘리브레이션 및 정렬되어야 한다. 예시적인 특성화들은 타깃 상에 X-선 빔의 피크 강도를 정확하게 위치시키는 것, X-선 빔 강도 분포를 측정하는 것, 특정 퍼센티지의 빔 플럭스만이 X-선 빔의 경계들 밖에 놓이도록 그 경계들을 식별하는 것을 포함한다. 예시적인 정렬들은 X-선 빔과 광학 비전 시스템의 정렬, X-선 빔과 툴의 특정 기계적 피처들(예컨대, 웨이퍼 회전축들 등)의 정렬 등을 포함한다.
일반적으로, 웨이퍼는 웨이퍼 전반에 걸쳐 배치된 정렬 마커들의 광학 현미경에 의한 광학 측정에 기초하여 X-선 빔의 경로에서 내비게이팅된다. 특정의 타깃이 X-선 빔에 대해 정확하게 내비게이팅도록 보장하기 위해, 빔 프로파일이 마커들을 측정하는 데 이용되는 광학 현미경의 좌표들로 측정될 필요가 있다.
일부 예들에서, 광학 현미경은 나이프 에지(knife edge)와 정렬되고 나이프 에지는 X-선 빔과 정렬된다. 전통적인 나이프 에지들을 이용한 X-선 빔의 특성화는 나이프 에지의 에지들 근방에 있는 X-선 방사선에 의해 조명되는 나이프 재료의 반투명성(semi-transparency)으로 인해 복잡하다. 예를 들어, 텅스텐은 20keV의 에너지 레벨을 갖는 광자들에 의해 조명될 때 약 8.4 마이크로미터의 빔 감쇠 길이(beam attenuation length)를 갖는다. 이 길이에서, 투과는 ~1/e (e = 2.718)배만큼 저하된다(drops). 30도의 각도로 셰이핑되는 나이프 에지의 경우, 8.4 마이크로미터의 높이에 대응하는 웨지(wedge)의 길이는 대략 14.5 마이크로미터이다. X-선 빔 스캔 동안 나이프 에지 위치의 불확실성의 이러한 간단한 추정치는, 요구된 정렬 정확도가 수 마이크로미터 미만(예컨대, 10 마이크로미터 미만)일 때, 나이프 에지의 반투명성이 제한적이라는 것을 나타낸다.
일부 다른 예들에서, X-선 빔 프로파일은 X-선 빔에 대해 어떤 지점(예컨대, 포커싱 광학계의 초점(focal spot))에 위치된 고분해능 X-선 카메라에 의해 특성화된다. 이러한 예들에서, 빔 프로파일은 고분해능 X-선 카메라를 이용하여 측정되고, 빔의 측정된 좌표들은 X-선 빔의 경로에서 웨이퍼를 내비게이팅하는 데 이용되는 광학 현미경에 전달된다. 불행히도, 측정된 좌표들을 X-선 카메라로부터 광학 현미경에 전송하는 것과 연관된 에러들은 상당하며 내비게이션의 요구된 정확도를 초과한다.
게다가, X-선 카메라 또는 나이프 에지들에 의한 X-선 빔의 특성화는 본질적으로 간접적이며, 타깃에 입사하는 광자 플럭스는 물론 이웃하는 영역들의 광자 오염에 대한 정량적 데이터를 제공하지 않는다.
미래의 계측 응용분야들은 점점 더 작은 분해능 요구사항들, 다중 파라미터 상관(multi-parameter correlation), 고 종횡비 구조체들을 포함한 점점 더 복잡한 기하학적 구조체들, 및 불투명한 재료들의 사용의 증가로 인해 계측에 대한 과제들을 제기한다. 기존의 X-선 도구 정렬 및 타깃 내비게이션 방법들은 대략 10 내지 20 마이크로미터의 정확도로 제한된다. 이러한 방법들은 반도체 계측 응용분야들에 충분한 정확도를 갖는 X-선 빔으로 작은 크기들(~50 마이크로미터)의 계측 타깃들을 위치결정하고 측정할 수 없다. 따라서, SAXS 시스템들에서 X-선 빔들의 개선된 정렬 및 캘리브레이션을 위한 방법 및 시스템은 고도의 제조 노드들(advanced manufacturing nodes)의 배치 요구사항들(placement requirements)을 충족시키도록 요망된다.
T-SAXS(Transmission, Small-Angle X-ray Scatterometry) 계측 시스템에서 시료를 위치결정하고, 시료에 입사하는 X-선 빔을 특성화하기 위한 방법 및 시스템이 본 명세서에 설명된다. 반도체 제조 환경에서의 실제 T-SAXS 측정은 작은 빔 스폿 크기(예컨대, 유효 조명 스폿을 가로질러 50 마이크로미터 미만)을 이용한 시료(예컨대, 반도체 웨이퍼)의 표면에 대한 큰 범위의 입사각들 및 방위각들에 걸친 측정을 요구한다. 작은 측정 박스 크기를 달성하기 위해 웨이퍼의 정확한 위치결정(positioning) 및 빔 크기와 형상의 특성화가 요구된다. 그에 부가하여, 전체 범위의 입사각들 및 방위각들에 걸쳐 반도체 웨이퍼의 표면 상의 원하는 타깃 영역(target area) 상에 조명 빔을 정확하게 위치시키는 캘리브레이션들이 본 명세서에 제시되어 있다.
일 양태에서, 계측 툴은 웨이퍼를 수직으로(즉, 중력 벡터와 대략 정렬된 웨이퍼 표면의 평면) 위치시키고 조명 빔에 대해 6개의 자유도에서 웨이퍼를 능동적으로 위치결정하도록 구성된 시료 위치결정 시스템을 포함한다. 시료 위치결정 시스템은 에지들에서 웨이퍼를 지지하여; 조명 빔이 재장착(remounting)없이 웨이퍼의 활성 영역(active area) 내의 임의의 위치에서 웨이퍼를 통해 투과할 수 있게 해준다. 웨이퍼를 그의 에지들에서 수직으로 지지함으로써, 웨이퍼의 중력 유도 처짐(gravity induced sag)이 효과적으로 완화된다.
추가의 양태에서, 시료 위치결정 시스템의 회전 질량체(rotating mass)의 무게 중심(center of gravity)이 그의 회전축과 대략 정렬되도록, 카운터웨이트(counterweight)가 이 회전 질량체를 정적으로 밸런싱한다.
일부 실시예들에서, 시료 위치결정 시스템에 대한 웨이퍼의 배면(backside)의 거리를 측정하기 위해 3개의 센서가 시료 위치결정 시스템 상에 배치된다. 이러한 방식으로, 웨이퍼 보우(wafer bow)가 측정되고 팁-틸트-Z 스테이지(tip-tilt-Z stage)를 사용한 웨이퍼의 이동에 의해 보상된다.
다른 양태에서, SAXS 계측 시스템은 적어도 하나의 빔 폐색 캘리브레이션 타깃(beam occlusion calibration target)을 이용하여 시료 위치결정 시스템에 대해 X-선 조명 빔을 위치시킨다. 빔 폐색 캘리브레이션 타깃은 적어도 하나의 마커 및 원통 형상의 폐색 요소(cylindrically shaped occlusion element)를 포함한다. 시료 위치결정 시스템의 좌표들로 마커를 위치시키기 위해 정렬 카메라가 이용된다. 원통 형상의 폐색 요소에 대한 마커의 위치는 (예컨대, 200 나노미터 미만의 정확도로) 사전에(apriori) 알려져 있다. 따라서, 시료 위치결정 시스템의 좌표들로 된 원통 형상의 폐색 요소의 위치는 간단한 좌표 변환에 의해 쉽게 결정된다. 투과 플럭스의 검출된 강도가 측정되면서 원통 형상의 폐색 요소가 조명 빔을 가로질러 스캐닝된다. 조명 빔의 중심이 측정된 강도에 기초하여 원통 형상의 폐색 요소에 대해 정확하게 위치된다. 원통 형상의 폐색 요소의 위치가 시료 위치결정 시스템의 좌표들로 알려져 있기 때문에, 시료 위치결정 시스템의 좌표들로 된 조명 빔의 중심의 위치가 간단한 좌표 변환에 의해 정확하게 위치확인된다(located).
일부 예들에서, 빔 폐색 캘리브레이션 타깃은 시료 위치결정 시스템에 대한 조명 빔의 입사 위치(location of incidence)를 캘리브레이션하는 데 이용된다. 일부 다른 예들에서, 빔 폐색 캘리브레이션 타깃은 웨이퍼에서의 조명 빔의 입사 지점(point of incidence)에서의 조명 빔에 대해 스테이지 기준 프레임의 회전축을 정렬시키는 데 이용된다.
다른 양태에서, SAXS 계측 시스템은 적어도 하나의 주기적인 캘리브레이션 타깃(periodic calibration target)을 이용하여 시료 위치결정 시스템에 대해 X-선 조명 빔을 위치시킨다. 각각의 주기적인 캘리브레이션 타깃은 X-선 조명 광을 본 명세서에 설명된 SAXS 계측 시스템에 의해 측정가능한 구별되는 회절 패턴들로 회절시키는 상이한 주기적인 구조체들을 가지는 하나 이상의 공간적으로 정의된 존들(zones)을 포함한다. 그에 부가하여, 각각의 주기적인 캘리브레이션 타깃은 높은 정렬 정확도(예컨대, 0.5 마이크로미터 이하의 정렬 정확도)로 시료 위치결정 시스템에 대해 주기적인 캘리브레이션 타깃을 위치시키기 위해 광학 현미경에 의해 판독가능한 하나 이상의 마커를 포함한다. 각각의 공간적으로 정의된 존은 공간적으로 잘 정의된 경계 라인들을 가진다. 하나 이상의 차원에서 높은 정확도(예컨대, 0.2 마이크로미터 이하의 정확도)로 마커들에 대한 경계 라인들의 위치가 알려져 있다.
다른 양태에서, X-선 검출기에 의해 측정되는 바와 같은 조명 빔과 2개 이상의 빔 폐색 캘리브레이션 타깃의 상호작용에 기초하여 웨이퍼의 표면의 평면에서의 조명 빔과 회전축의 정확한 정렬이 결정된다.
다른 양태에서, 웨이퍼의 표면의 평면에서의 캘리브레이션 타깃의 마커와 회전축의 정확한 정렬은 측면 정렬 스테이지(lateral alignment stage)에 장착된 정렬 카메라에 의해 수집된 마커의 이미지들에 기초하여 결정된다.
다른 양태에서, Z-방향에서의 웨이퍼의 표면의 형상은 정렬 카메라, 광학 근접 센서, 용량성 근접 센서, 간섭계측 기반 센서, 또는 임의의 다른 적당한 근접 센서 중 임의의 것을 사용하여 매핑된다. 일부 예들에서, 웨이퍼 표면은 웨이퍼의 전면(front side)(즉, 패터닝된 측면) 상에 매핑된다. 일부 다른 예들에서, 웨이퍼의 두께가 충분히 균일하거나, 잘 모델링되거나, 또는 인-시츄로(in-situ) 또는 사전에 측정되기만 한다면, 웨이퍼 표면은 웨이퍼의 배면(즉, 패터닝되지 않은 측면) 상에 매핑된다.
전술한 바는 요약이고 따라서, 필요에 따라, 세부사항의 단순화들, 일반화들 및 생략들을 포함하며; 결론적으로, 본 기술분야의 통상의 기술자는 요약이 예시적인 것에 불과하고 어떠한 식으로든 제한하는 것이 아님을 이해할 것이다. 본 명세서에 설명된 디바이스들 및/또는 프로세스들의 다른 양태들, 발명적 특징들(inventive features), 및 장점들은 본 명세서에 기재된 비제한적인 상세한 설명에서 명백해질 것이다.
도 1은 본 명세서에 설명된 방법에 따라 다양한 시스템 파라미터들의 캘리브레이션을 수행하도록 구성된 계측 시스템(100)을 예시하는 다이어그램이다.
도 2는 하나의 구성에서의 빔 셰이핑 슬릿 메커니즘(beam shaping slit mechanism)(120)의 단면도(end view)를 묘사한다.
도 3은 다른 구성에서의 빔 셰이핑 슬릿 메커니즘(120)의 단면도를 묘사한다.
도 4는 각도들(φ 및 θ)에 의해 설명된 특정의 배향으로 웨이퍼(101)에 입사하는 X-선 조명 빔(116)을 묘사한다.
도 5는 조명 빔(116)이 웨이퍼(101)에 입사하는 위치로 웨이퍼 스테이지가 이동된 상태에서의 시료 위치결정 시스템(140)을 예시하는 다이어그램이다.
도 6은 부가의 세부사항들을 갖는 시료 위치결정 시스템(140)을 예시하는 다이어그램이다.
도 7은 일 실시예에서의 빔 폐색 캘리브레이션 타깃(190)을 묘사한다.
도 8a는, 회전축(153)이 웨이퍼(101)에 대한 조명 빔(116)의 입사 지점에서 조명 빔(116)과 교차하는, 도 5에 묘사된 바와 같은 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도(top view)를 묘사한다.
도 8b는, 회전축(153)이 Z-방향에서 웨이퍼(101)의 표면과 오정렬되어 있는, 도 5에 묘사된 바와 같은 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다.
도 8c는, 회전축(153)이 X-방향에서 조명 빔(116)으로부터 오프셋되어 있는, 도 5에 묘사된 바와 같은 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다.
도 9는 조명 빔(116)이 원통형 핀 요소(151)에 의해 폐색되는 위치로 웨이퍼 스테이지가 이동된 상태에서의 시료 위치결정 시스템(140)을 예시하는 다이어그램이다.
도 10은 측정된 플럭스를 조명 빔(116)에 대한 원통형 핀의 상대 위치의 함수로서 예시하는 플롯(170)을 묘사한다.
도 11은 주기적인 캘리브레이션 타깃(171)이 웨이퍼(101) 상에 위치된 것을 포함하는 시료 위치결정 시스템(140)의 다른 예시를 묘사한다.
도 12는 주기적인 캘리브레이션 타깃(210)의 실시예를 묘사한다.
도 13은 주기적인 캘리브레이션 타깃(220)의 실시예를 묘사한다.
도 14는 주기적인 캘리브레이션 타깃(230)의 실시예를 묘사한다.
도 15는 주기적인 캘리브레이션 타깃(240)의 실시예를 묘사한다.
도 16은 주기적인 캘리브레이션 타깃(250)의 실시예를 묘사한다.
도 17은 주기적인 캘리브레이션 타깃(260)의 실시예를 묘사한다.
도 18은 주기적인 캘리브레이션 타깃(270)의 실시예를 묘사한다.
도 19a 및 도 19b는, 각각이 한 방향에서 주기적인 캘리브레이션 타깃에 대해 조명 빔을 위치시키기에 적당한, 주기적인 캘리브레이션 타깃들(290 및 295)의 세트를 묘사한다.
도 20은, 마커들(288 및 289), 및 육각형 패턴으로 배열된 7개의 상이한 주기적인 존들(281 내지 287)을 포함하는, 주기적인 캘리브레이션 타깃(280)을 묘사한다.
도 21은 시료(101)와 분리된 진공 환경들에 포함된 계측 시스템(100)의 요소들을 예시하는 다이어그램이다.
도 22는 본 명세서에 설명된 방법에 따라 T-SAXS 데이터에 기초하여 시료 파라미터 값들을 분해(resolve)하도록 구성된 모델 구축 및 분석 엔진(180)을 예시하는 다이어그램이다.
도 23은 본 명세서에 설명된 바와 같이 다수의 입사각들 및 방위각들에서의 T-SAXS 측정에 기초하여 입사각 오프셋 값을 캘리브레이션하는 예시적인 방법(300)을 예시하는 플로차트를 묘사한다.
본 발명의 배경 예들 및 일부 실시예들에 대해 이제 상세히 언급될 것이고, 이들의 예들이 첨부 도면들에 예시된다.
T-SAXS(Transmission, Small-Angle X-ray Scatterometry) 계측 시스템에서 시료를 위치결정하고, 시료에 입사하는 X-선 빔을 특성화하기 위한 방법 및 시스템이 본 명세서에 설명된다. 반도체 제조 환경에서의 실제 T-SAXS 측정은 작은 빔 스폿 크기(예컨대, 유효 조명 스폿을 가로질러 50 마이크로미터 미만)을 이용한 시료(예컨대, 반도체 웨이퍼)의 표면에 대한 큰 범위의 입사각들 및 방위각들에 걸친 측정을 요구한다. 작은 측정 박스 크기를 달성하기 위해 웨이퍼의 정확한 위치결정 및 빔 크기와 형상의 특성화가 요구된다. 그에 부가하여, 전체 범위의 입사각들 및 방위각들에 걸쳐 반도체 웨이퍼의 표면 상의 원하는 타깃 영역 상에 조명 빔을 정확하게 위치시키는 캘리브레이션들이 본 명세서에 제시되어 있다.
6 자유도 시료 위치결정 시스템이 본 명세서에서 제시된다. 그에 부가하여, 본 명세서에서 설명되는 특수 캘리브레이션 타깃들(specialized calibration targets)은 X-선 빔 프로파일의 고정확도 특성화 및 캘리브레이션 타깃들에 대한 X-선 빔의 고정확도 정렬을 가능하게 해준다. 이것은 작은 박스 크기 계측 타깃들(예컨대, 100 마이크로미터 이하의 치수들을 가지는 스크라이브 라인들(scribe lines)에 위치한 계측 타깃들)을 측정하는 데 요구되는 웨이퍼의 정밀한 네비게이션을 가능하게 해준다.
도 1은 적어도 하나의 신규 양태에서 시료의 특성들을 측정하기 위한 T-SAXS 계측 툴(100)의 실시예를 예시한다. 도 1에 도시된 바와 같이, 시스템(100)은 조명 빔 스폿에 의해 조명되는 시료(101)의 검사 영역(102)에 걸쳐 T-SAXS 측정을 수행하는 데 사용될 수 있다.
묘사된 실시예에서, 계측 툴(100)은 x-선 조명 소스(110), 포커싱 광학계(111), 빔 발산 제어 슬릿(112), 중간 슬릿(113) 및 빔 셰이핑 슬릿 메커니즘(120)을 포함하는 x-선 조명 서브시스템(125)을 포함한다. x-선 조명 소스(110)는 T-SAXS 측정에 적당한 x-선 방사선을 발생시키도록 구성된다. 일부 실시예들에서, x-선 조명 소스(110)는 0.01 나노미터 내지 1 나노미터의 파장들을 발생시키도록 구성된다. 일반적으로, 고처리량 인라인 계측(high-throughput, inline metrology)을 가능하게 해주기에 충분한 플럭스 레벨들로 고휘도 x-선을 생성할 수 있는 임의의 적당한 고휘도 x-선 조명 소스가 T-SAXS 측정을 위한 x-선 조명을 공급하기 위해 고려될 수 있다. 일부 실시예들에서, x-선 소스는 x-선 소스가 상이한 선택가능한 파장들의 x-선 방사선을 전달할 수 있게 해주는 튜닝가능한 모노크로메이터(tunable monochromator)를 포함한다.
일부 실시예들에서, 15keV보다 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 x-선 소스는 x-선 소스가 디바이스 전체는 물론 웨이퍼 기판을 통한 충분한 투과를 가능하게 해주는 파장들의 광을 공급하도록 보장하는 데 사용된다. 비제한적인 예로서, 입자 가속기 소스(particle accelerator source), 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 고정식 고체 애노드 소스(stationary, solid anode source), 마이크로포커스 소스(microfocus source), 마이크로포커스 회전 애노드 소스(microfocus rotating anode source), 플라스마 기반 소스(plasma based source), 및 역 콤프턴 소스(inverse Compton source) 중 임의의 것이 x-선 조명 소스(110)로서 이용될 수 있다. 일 예에서, 미국 캘리포니아주 팔로 알토 소재의 Lyncean Technologies, Inc.로부터 입수가능한 역 콤프턴 소스가 고려될 수 있다. 역 콤프턴 소스들은 일정 범위의 광자 에너지들에 걸쳐 x-선들을 생성할 수 있다는 부가의 장점을 가지며, 그에 의해 x-선 소스가 상이한 선택가능한 파장들의 x-선 방사선을 전달할 수 있게 해준다.
예시적인 x-선 소스들은 x-선 방사선을 자극하기 위해 고체 또는 액체 타깃들에 충격을 가하도록(bombard) 구성된 전자 빔 소스들을 포함한다. 고휘도 액체 금속 X-선 조명을 생성하기 위한 방법 및 시스템은 2011년 4월 19일자로 KLA-Tencor Corp.에 등록된 미국 특허 제7,929,667호에 설명되어 있으며, 이 미국 특허 전체는 본 명세서에 참고로 포함된다.
x-선 조명 소스(110)는 유한한 측면 치수들(즉, 빔 축에 직교하는 영이 아닌 치수들)을 가지는 소스 영역에 걸쳐 x-선 방출을 생성한다. 포커싱 광학계(111)는 소스 방사선을 시료(101) 상에 위치된 계측 타깃 상에 포커싱한다. 유한한 측면 소스 치수는 소스의 에지들로부터 유래한 광선들(117)에 의해 정의되는 타깃 상의 유한한 스폿 크기(102)를 결과한다. 일부 실시예들에서, 포커싱 광학계(111)는 타원 형상의 포커싱 광학 요소들을 포함한다.
빔 발산 제어 슬릿(112)은 포커싱 광학계(111)와 빔 셰이핑 슬릿 메커니즘(120) 사이의 빔 경로에 위치된다. 빔 발산 제어 슬릿(112)은 측정 중인 시료에 제공되는 조명의 발산을 제한한다. 부가의 중간 슬릿(113)은 빔 발산 제어 슬릿(112)과 빔 셰이핑 슬릿 메커니즘(120) 사이의 빔 경로에 위치된다. 중간 슬릿(113)은 부가의 빔 셰이핑을 제공한다. 그렇지만, 일반적으로, 중간 슬릿(113)은 임의적(optional)이다.
빔 셰이핑 슬릿 메커니즘(120)는 빔 경로에서 시료(101) 직전에 위치된다. 일 양태에서, 빔 셰이핑 슬릿 메커니즘(120)의 슬릿들은 유한한 소스 크기에 의해 정의되는 빔 발산으로 인한 입사 빔 스폿 크기의 확대를 최소화하기 위해 시료(101)에 가깝게 근접하여 위치된다. 일 예에서, 유한한 소스 크기에 의해 생성된 섀도(shadow)로 인한 빔 스폿 크기의 확장은 10 마이크로미터 x-선 소스 크기 및 25 밀리미터의 빔 셰이핑 슬릿들과 시료(101) 사이의 거리에 대해 대략 1 마이크로미터이다.
일부 실시예들에서, 빔 셰이핑 슬릿 메커니즘(120)은 다수의 독립적으로 작동되는 빔 셰이핑 슬릿들을 포함한다. 일 실시예에서, 빔 셰이핑 슬릿 메커니즘(120)은 4개의 독립적으로 작동되는 빔 셰이핑 슬릿을 포함한다. 이 4개의 빔 셰이핑 슬릿은 들어오는 빔(incoming beam)(115)의 일 부분을 효과적으로 차단하고 박스 형상의 조명 단면을 가지는 조명 빔(116)을 발생시킨다.
도 2 및 도 3은 2개의 상이한 구성으로 된 도 1에 묘사된 빔 셰이핑 슬릿 메커니즘(120)의 단면도를 묘사한다. 도 2 및 도 3에 예시된 바와 같이, 빔 축은 도면 지면에 수직이다. 도 2에 묘사된 바와 같이, 들어오는 빔(115)은 큰 단면을 갖는다. 일부 실시예들에서, 들어오는 빔(115)은 대략 1 밀리미터의 직경을 갖는다. 게다가, 빔 셰이핑 슬릿들(126 내지 129) 내에서의 들어오는 빔(115)의 위치는 빔 포인팅 에러들(beam pointing errors)로 인해 대략 3 밀리미터의 불확실성을 가질 수 있다. 들어오는 빔의 크기 및 빔 위치의 불확실성을 수용하기 위해, 각각의 슬릿은 대략 6 밀리미터의 길이(L)를 갖는다. 도 2에 묘사된 바와 같이, 각각의 슬릿은 빔 축에 수직인 방향으로 이동가능하다. 도 2의 예시에서, 슬릿들(126 내지 129)은 빔 축으로부터 최대 거리에 위치된다(즉, 슬릿들은 완전히 개방되어 있으며 빔 셰이핑 슬릿 메커니즘(120)를 통과하는 광을 제한하지 않는다.
도 3은, 측정 중인 시료에 전달되는 나가는 빔(outgoing beam)(116)이 감소된 크기 및 잘 정의된 형상을 갖도록, 들어오는 빔(115)의 일 부분을 차단하는 위치들에 있는 빔 셰이핑 슬릿 메커니즘(120)의 슬릿들(126 내지 129)을 묘사한다. 도 3에 묘사된 바와 같이, 슬릿들(126 내지 129) 각각은 원하는 출력 빔 형상을 달성하기 위해 빔 축을 향해 안쪽으로 이동하였다.
슬릿들(126 내지 129)은 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 재료들로 구성된다. 예시적인 재료들은 게르마늄, 갈륨 비화물, 인듐 인화물 등과 같은 단결정 재료들(single crystal materials)을 포함한다. 전형적으로, 슬릿 재료는 구조적 경계들을 가로지르는 산란을 최소화하기 위해, 소잉되기(sawn)보다는, 결정학적 방향을 따라 클리빙된다(cleaved). 그에 부가하여, 들어오는 방사선과 슬릿 재료의 내부 구조 사이의 상호작용이 최소 양의 산란을 생성하도록 슬릿이 들어오는 빔에 대해 배향된다. 결정들은 슬릿의 한쪽 측면에서의 X-선 빔의 완전한 차단을 위해 고밀도 재료(예컨대, 텅스텐)로 이루어져 있는 각각의 슬릿 홀더에 부착된다. 일부 실시예들에서, 각각의 슬릿은 대략 0.5 밀리미터의 폭 및 대략 1 내지 2 밀리미터의 높이를 갖는 직사각형 단면을 갖는다. 도 2에 묘사된 바와 같이, 슬릿의 길이(L)는 대략 6 밀리미터이다.
일반적으로, x-선 광학계는 x-선 방사선을 셰이핑하여 시료(101) 쪽으로 지향시킨다. 일부 예들에서, x-선 광학계는 시료(101)에 입사하는 x-선 빔을 단색화(monochromatize)하기 위한 x-선 모노크로메이터를 포함한다. 일부 예들에서, x-선 광학계는 x-선 빔을 다층 x-선 광학계를 사용하여 1 밀리라디안 미만의 발산으로 시료(101)의 측정 영역(102) 상으로 콜리메이팅 또는 포커싱시킨다. 이러한 예들에서, 다층 x-선 광학계는 빔 모노크로메이터로서도 기능한다. 일부 실시예들에서, x-선 광학계는 하나 이상의 x-선 콜리메이팅 미러(x-ray collimating mirrors), x-선 개구(x-ray apertures), x-선 빔 스톱(x-ray beam stops), 굴절 x-선 광학계(refractive x-ray optics), 존 플레이트들(zone plates)과 같은 회절 광학계, 몬텔 광학계(Montel optics), 스침 입사 타원체 미러들(grazing incidence ellipsoidal mirrors)과 같은 거울반사 x-선 광학계(specular x-ray optics), 중공 모세관 x-선 도파관들(hollow capillary x-ray waveguides)과 같은 다중모세관 광학계(polycapillary optics), 다층 광학계 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 추가 세부사항들은 미국 특허 공개 제2015/0110249호에 설명되어 있으며, 이 미국 특허 공개의 내용은 그 전체가 본 명세서에 참고로 포함된다.
x-선 검출기(119)는 시료(101)로부터 산란되는 x-선 방사선(114)을 수집하고 T-SAXS 측정 모달리티(measurement modality)에 따라 입사 x-선 방사선에 민감한 시료(101)의 속성들을 나타내는 출력 신호들(135)을 발생시킨다. 일부 실시예들에서, 시료 위치결정 시스템(140)이 각도 분해된(angularly resolved) 산란 x-선들을 생성하도록 시료(101)를 위치시키고 배향시키는 동안, 산란된 x-선들(114)이 x-선 검출기(119)에 의해 수집된다.
일부 실시예들에서, T-SAXS 시스템은 높은 다이내믹 레인지(예컨대, 105 초과)를 갖는 하나 이상의 광자 계수 검출기(photon counting detectors)를 포함한다. 일부 실시예들에서, 단일 광자 계수 검출기는 검출된 광자들의 위치 및 개수를 검출한다.
일부 실시예들에서, x-선 검출기는 하나 이상의 x-선 광자 에너지를 분해하고 시료의 속성들을 나타내는 각각의 x-선 에너지 성분에 대한 신호들을 생성한다. 일부 실시예들에서, x-선 검출기(119)는 CCD 어레이, 마이크로채널 플레이트(microchannel plate), 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터(scintillator), 또는 형광 재료 중 임의의 것을 포함한다.
이러한 방식으로, 검출기 내에서의 X-선 광자 상호작용들은 픽셀 위치 및 카운트 개수(number of counts)에 부가하여 에너지에 의해 구별된다. 일부 실시예들에서, X-선 광자 상호작용들은 X-선 광자 상호작용의 에너지를 미리 결정된 상한 임계 값 및 미리 결정된 하한 임계 값과 비교함으로써 구별된다. 일 실시예에서, 이 정보는 추가 프로세싱 및 저장을 위해 출력 신호들(135)을 통해 컴퓨팅 시스템(130)에 전달된다.
추가의 양태에서, T-SAXS 시스템은 산란 광의 하나 이상의 회절 차수에 기초하여 시료의 속성들(예컨대, 구조 파라미터 값들)을 결정하는 데 이용된다. 도 1에 묘사된 바와 같이, 계측 툴(100)은 검출기(119)에 의해 발생된 신호들(135)을 취득하고 취득된 신호들에 적어도 부분적으로 기초하여 시료의 속성들을 결정하는 데 이용되는 컴퓨팅 시스템(130)을 포함한다.
일부 예들에서, T-SAXS에 기초한 계측은 측정된 데이터를 이용하여 미리 결정된 측정 모델의 역해법(inverse solution)에 의해 샘플의 치수들을 결정하는 것을 포함한다. 측정 모델은 몇 개의(10개 정도의) 조정가능한 파라미터를 포함하며 시료의 기하구조 및 광학 속성들 및 측정 시스템의 광학 속성들을 나타낸다. 역해법(method of inverse solve)은 모델 기반 회귀, 단층 촬영(tomography), 머신 러닝, 또는 이들의 임의의 조합을 포함하지만, 이들로 제한되지 않는다. 이러한 방식으로, 타깃 프로파일 파라미터들은 측정된 산란 x-선 강도들과 모델링된 결과들 사이의 에러들을 최소화하는 파라미터화된 측정 모델의 값들에 대한 해를 구하는 것에 의해 추정된다.
측정된 파라미터 값들의 정밀도 및 정확도를 증가시키기 위해 큰 범위들의 입사각 및 방위각에서 측정을 수행하는 것이 바람직하다. 이 접근법은 다양한 대각 평면외 배향들(large-angle, out of plane orientations)을 포함하도록 분석에 이용가능한 데이터 세트들의 개수 및 다양성을 확장함으로써 파라미터들 간의 상관들을 감소시킨다. 예를 들어, 법선 배향(normal orientation)에서, T-SAXS는 피처의 임계 치수를 분해할 수 있지만, 피처의 측벽 각도 및 높이에 크게 영향을 받지 않는다(insensitive). 그렇지만, 넓은 범위의 평면외 각도 배향들에 걸쳐 측정 데이터를 수집하는 것에 의해, 피처의 측벽 각도 및 높이가 분해될 수 있다. 다른 예들에서, 큰 범위들의 입사각 및 방위각에서 수행되는 측정은 고 종횡비 구조체들을 그들의 전체 깊이에 걸쳐 특성화하기에 충분한 분해능 및 침투 깊이를 제공한다.
웨이퍼 표면 법선에 대한 x-선 입사각의 함수인 회절 방사선의 강도의 측정이 수집된다. 다수의 회절 차수들에 포함된 정보는 전형적으로 고려 중인 각각의 모델 파라미터 간에 고유하다. 따라서, x-선 산란은 작은 에러들 및 감소된 파라미터 상관을 갖는 관심 파라미터들의 값들에 대한 추정 결과들을 산출한다.
반도체 웨이퍼(101)의 표면 법선에 대한 조명 x-선 빔(116)의 각각의 배향이 x-선 조명 빔(115)에 대한 웨이퍼(101)의 임의의 2개의 각도 회전에 의해 설명되거나, 그 반대도 마찬가지이다. 일 예에서, 배향은 웨이퍼에 고정된 좌표계와 관련하여 설명될 수 있다. 도 4는 입사각(θ) 및 방위각(φ)에 의해 설명되는 특정의 배향에서 웨이퍼(101)에 입사하는 x-선 조명 빔(116)을 묘사한다. 좌표 프레임 XYZ는 계측 시스템(예컨대, 조명 빔(116))에 고정되고 좌표 프레임 X'Y'Z'은 웨이퍼(101)에 고정된다. Y 축은 웨이퍼(101)의 표면과 평면 내에(in plane) 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z'은 웨이퍼(101)의 표면에 수직(normal)인 축과 정렬되고, X' 및 Y'은 웨이퍼(101)의 표면과 정렬된 평면에 있다. 도 4에 묘사된 바와 같이, x-선 조명 빔(116)은 Z-축과 정렬되며 따라서 XZ 평면 내에 놓인다. 입사각(θ)은 XZ 평면에서의 웨이퍼의 표면 법선에 대한 x-선 조명 빔(116)의 배향을 나타낸다. 게다가, 방위각(φ)은 X'Z' 평면에 대한 XZ 평면의 배향을 나타낸다. Θ 및 φ는, 함께, 웨이퍼(101)의 표면에 대한 x-선 조명 빔(116)의 배향을 일의적으로 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x-선 조명 빔의 배향은 웨이퍼(101)의 표면에 수직인 축(즉, Z' 축)을 중심으로 한 회전 및 웨이퍼(101)의 표면과 정렬된 축(즉, Y 축)을 중심으로 한 회전에 의해 설명된다. 일부 다른 예들에서, 웨이퍼(101)의 표면에 대한 x-선 조명 빔의 배향은 웨이퍼(101)의 표면과 정렬된 제1 축 및 웨이퍼(101)의 표면과 정렬되고 제1 축에 수직인 다른 축을 중심으로 한 회전에 의해 설명된다.
일 양태에서, 계측 툴(100)은 웨이퍼를 수직으로(즉, 중력 벡터와 대략 정렬된 웨이퍼 표면의 평면) 위치시키고 조명 빔(116)에 대해 6개의 자유도에서 시료(101)를 능동적으로 위치결정하도록 구성된 시료 위치결정 시스템(140)을 포함한다. 그에 부가하여, 시료 위치결정 시스템(101)은 시료(101)를 정렬시키고 조명 빔(116)에 대해 큰 범위의 입사각들(예컨대, 적어도 70도) 및 방위각(예컨대, 적어도 190도)에 걸쳐 시료(101)를 배향시키도록 구성된다. 일부 실시예들에서, 시료 위치결정 시스템(140)은 시료(101)의 표면과 평면 내에 정렬된 큰 범위의 회전 각도들(예컨대, 적어도 70도)에 걸쳐 시료(101)를 회전시키도록 구성된다. 이러한 방식으로, 시료(101)의 각도 분해된 측정이 시료(101)의 표면 상의 임의의 개수의 위치들 및 배향들에 걸쳐 계측 시스템(100)에 의해 수집된다. 일 예에서, 컴퓨팅 시스템(130)은 시료(101)의 원하는 위치를 지시하는 커맨드 신호들(도시되지 않음)을 시료 위치결정 시스템(140)에 전달한다. 이에 응답하여, 시료 위치결정 시스템(140)은 시료(101)의 원하는 위치결정을 달성하기 위해 시료 위치결정 시스템(140)의 다양한 액추에이터들에 대한 커맨드 신호들을 발생시킨다.
도 5는 일 실시예에서의 시료 위치결정 시스템(140)을 묘사한다. 일 양태에서, 시료 위치결정 시스템(140)은 웨이퍼(101)를 중력 벡터(즉, 중력 벡터는 웨이퍼 표면과 대략 평면 내에 있음)에 대해 수직으로 지지하면서 6개의 자유도 전부에서 조명 빔(116)에 대한 웨이퍼(101)의 위치의 능동 제어를 제공한다. 시료 위치결정 시스템(140)은 웨이퍼(101)의 에지들에서 웨이퍼(101)를 지지하여, 웨이퍼(101)를 재장착함이 없이 조명 빔(116)이 웨이퍼(101)의 활성 영역의 임의의 부분에 걸쳐 웨이퍼(101)를 통해 투과할 수 있게 해준다. 웨이퍼(101)를 그의 에지들에서 수직으로 지지함으로써, 웨이퍼(101)의 중력 유도 처짐(gravity induced sag)이 효과적으로 완화된다.
도 5에 묘사된 바와 같이, 시료 위치결정 시스템(140)은 베이스 프레임(base frame)(141), 측면 정렬 스테이지(142), 스테이지 기준 프레임(143), 및 스테이지 기준 프레임(143)에 장착된 웨이퍼 스테이지(144)를 포함한다. 참조 목적을 위해, {XBF, YBF, ZBF} 좌표 프레임은 베이스 프레임(141)에 부착되고, {XNF, YNF, ZNF} 좌표 프레임은 측면 정렬 스테이지(142)에 부착되며, {XRF, YRF, ZRF} 좌표 프레임은 스테이지 기준 프레임(143)에 부착되고, {XSF, YSF, ZSF} 좌표 프레임은 웨이퍼 스테이지(144)에 부착된다. 웨이퍼(101)는 액추에이터들(150A 내지 150C)을 포함하는 팁-틸트-Z 스테이지(156)에 의해 웨이퍼 스테이지(144) 상에 지지된다. 팁-틸트-Z 스테이지(156)에 장착된 회전 스테이지(158)는 웨이퍼(101)를 조명 빔(116)에 대해 일정 범위의 방위각들(φ)에 걸쳐 배향시킨다. 도시된 실시예에서, 3개의 선형 액추에이터(150A 내지 150C)가 웨이퍼 스테이지(144)에 장착되고 회전 스테이지(158)를 지지하며, 회전 스테이지(158)는, 차례로, 웨이퍼(101)를 지지한다.
액추에이터(145)는 측면 정렬 스테이지(142)를 XBF 축을 따라 베이스 프레임(141)에 대해 평행이동시킨다(translates). 회전 액추에이터(146)는 스테이지 기준 프레임(143)을 YNF 축과 정렬된 회전축(153)을 중심으로 측면 정렬 스테이지(142)에 대해 회전시킨다. 회전 액추에이터(146)는 웨이퍼(101)를 조명 빔(116)에 대해 일정 범위의 입사각들(θ)에 걸쳐 배향시킨다. 웨이퍼 스테이지 액추에이터들(147 및 148)은 웨이퍼 스테이지(144)를, 제각기, XRF 축 및 YRF 축을 따라 스테이지 기준 프레임(143)에 대해 평행이동시킨다.
일 양태에서, 웨이퍼 스테이지(144)는 개방 개구, 2-축(XY) 선형 적층 스테이지(open aperture, two-axis (XY) linear stacked stage)이다. 개방 개구는 측정 빔이 전체 웨이퍼(예컨대, 300 밀리미터 웨이퍼)의 임의의 부분을 통해 투과할 수 있게 해준다. 웨이퍼 스테이지(144)는 Y-축 스테이지가 회전축(153)에 대략 평행한 방향으로 연장되도록 배열된다. 게다가, Y-축 스테이지는 중력 벡터와 대략 정렬된 방향으로 연장된다.
액추에이터들(150A 내지 150C)은 회전 스테이지(158) 및 웨이퍼(101)를 ZSF 방향으로 웨이퍼 스테이지(144)에 대해 평행이동시키고, 회전 스테이지(158) 및 웨이퍼(101)를 XSF-YSF 평면과 동일 평면에 있는(coplanar) 축들을 중심으로 웨이퍼 스테이지(144)에 대해 팁 앤 틸트(tip and tilt)시키도록 협력하여 동작한다. 회전 스테이지(158)는 웨이퍼(101)를 웨이퍼(101)의 표면에 수직인 축을 중심으로 회전시킨다. 추가의 양태에서, 회전 스테이지(158)의 프레임은 운동학적 장착 요소들(제각기, 157A 내지 157C)을 포함하는 운동학적 장착 시스템에 의해 액추에이터들(150A 내지 150C)에 커플링된다. 일 예에서, 각각의 운동학적 장착 요소(157A 내지 157C)는 대응하는 액추에이터에 부착된 구(sphere) 및 회전 스테이지(158)에 부착된 V자 형상의 슬롯을 포함한다. 각각의 구는 대응하는 V자 형상의 슬롯과 2점 접촉(two point contact)을 한다. 각각의 운동학적 장착 요소는 2개의 자유도에서의 액추에이터들(150A 내지 150C)에 대한 회전 스테이지(158)의 움직임(motion)을 구속하고(constrains), 3개의 운동학적 장착 요소(157A 내지 157C)는 6개의 자유도에서의 액추에이터들(150A 내지 150C)에 대한 회전 스테이지(158)의 움직임을 구속한다. 구가 대응하는 V자 형상의 슬롯과 언제나 접촉한 채로 있도록 보장하기 위해 각각의 운동학적 커플링 요소가 프리로딩된다(preloaded). 일부 실시예들에서, 프리로드(preload)는 중력, 기계적 스프링 메커니즘, 또는 이들의 조합에 의해 제공된다.
다른 추가의 양태에서, 회전 스테이지(158)는 개방 개구, 회전 스테이지(open aperture, rotary stage)이다. 개방 개구는 측정 빔이 전체 웨이퍼(예컨대, 300 밀리미터 웨이퍼)의 임의의 부분을 통해 투과할 수 있게 해준다. 회전 스테이지(158)는 그의 회전축이 회전축(153)에 대략 수직이도록 배열된다. 또한, 회전 스테이지(158)의 회전축은 중력 벡터에 대략 수직이다. 웨이퍼(101)는 에지 그리퍼들(edge grippers)을 통해 회전 스테이지(158)에 고정되어 최소한의 에지 배제(edge exclusion)를 갖는 전체 웨이퍼 커버리지(full wafer coverage)를 제공한다.
요약하면, 조명 빔(116)이 웨이퍼(101)의 표면 상의 임의의 위치(즉, XRF 및 YRF 방향에서 적어도 300 밀리미터 범위)에 입사할 수 있도록, 시료 위치결정 시스템(140)은 조명 빔(116)에 대해 6개의 자유도에서 웨이퍼(101)의 위치를 능동적으로 제어할 수 있다. 조명 빔(116)이 (예컨대, 2도보다 큰) 큰 범위의 입사각들 중 임의의 것에서 웨이퍼(101)의 표면에 입사할 수 있도록, 회전 액추에이터(146)는 스테이지 기준 프레임(143)을 조명 빔(116)에 대해 회전시킬 수 있다. 일 실시예에서, 회전 액추에이터(146)는 적어도 60도의 범위에 걸쳐 스테이지 기준 프레임(143)을 회전시키도록 구성된다. 조명 빔(116)이 큰 범위의 방위각들 중 임의의 것(예컨대, 적어도 90도 회전 범위)으로 웨이퍼(101)의 표면에 입사할 수 있도록, 웨이퍼 스테이지(144)에 장착된 회전 액추에이터(158)는 웨이퍼(101)를 조명 빔(116)에 대해 회전시킬 수 있다. 일부 실시예들에서, 방위각들의 범위는 적어도 190도 회전 범위이다.
일부 다른 실시예들에서, 측면 정렬 스테이지(142)가 제거되고 스테이지 기준 프레임(143)이 회전 액추에이터(146)에 의해 베이스 프레임(141)에 대해 회전된다. 이 실시예들에서, x-선 조명 시스템은 x-선 조명 빔(116)이 베이스 프레임(141)에 대해, 예를 들어, XBF 방향으로 이동하게 하는 x-선 조명 시스템의 하나 이상의 광학 요소를 이동시키는 하나 이상의 액추에이터를 포함한다. 이 실시예들에서, 본 명세서에서 설명된 바와 같은 캘리브레이션의 목적을 위한 스테이지 기준 프레임(143)의 이동은 x-선 조명 시스템의 하나 이상의 광학 요소의 이동으로 대체되며, 예를 들어, x-선 조명 빔을 회전축(153)에 대해 원하는 위치로 이동시킨다. 도 1 및 도 21에 묘사된 실시예들에서, 컴퓨팅 시스템(130)은 x-선 조명 서브시스템(125)의 하나 이상의 요소를 이동시킴으로써 원하는 빔 방향을 달성하기 위해 베이스 프레임(141)에 대해 x-선 방출을 재지향시키도록 커맨드 신호들(138)을 액추에이터 서브시스템(111')에 전달한다. 도시된 실시예에서, 액추에이터 서브시스템(111')은 포커싱 광학계(111)를 이동시켜 베이스 프레임(141)에 대해 x-선 방출을 재지향시키고, 따라서 회전축(153)에 대해 x-선 방출을 위치변경한다(relocate).
도 6은 시료 위치결정 시스템(140)의 다른 예시를 보다 상세하게 묘사한다. 도 6에 묘사된 유사한 번호의 요소들은 도 5를 참조하여 설명된 것들과 유사하다. 도 5에 묘사된 바와 같이, 회전 액추에이터(146)는 스테이지 기준 프레임(143), 웨이퍼 스테이지(144), 팁-틸트-Z 스테이지(156), 및 회전 스테이지(158)를 포함하는 큰 질량체를 회전축(153)을 중심으로 회전시킨다. 도 6에 묘사된 바와 같이, 웨이퍼 스테이지(144), 팁-틸트-Z 스테이지(156), 및 회전 스테이지(158)는 상당한 거리만큼 회전축(153)으로부터 오프셋된다.
추가의 양태에서, 스테이지 기준 프레임(143) 및 모든 장착된 컴포넌트들의 회전 질량체의 무게 중심이 회전축(153)과 대략 정렬되도록, 웨이퍼 스테이지(144), 팁-틸트-Z 스테이지(156), 및 회전 스테이지(158) 등을 카운터밸런싱(counterbalance)하기 위해 카운터웨이트(159)가 스테이지 기준 프레임(143)에 장착된다. 이러한 방식으로, 액추에이터(146)에 의해 가해지는 힘은 최소한의 기생 선형력들(parasitic linear forces)로 회전축(153)을 중심으로 한 토크를 발생시킨다.
도 6에 묘사된 바와 같이, 에어 베어링들(air bearings)(172)은 베이스 프레임(141)에 대한 측면 정렬 스테이지(142)의 이동을 안내하는 데 이용된다. 이와 유사하게, 에어 베어링들(171)은 측면 정렬 스테이지(142)에 대한 스테이지 기준 프레임(143)의 이동을 안내하는 데 이용된다. 정밀 화강암 표면들(precision granite surfaces) 상에서 동작하는 에어 베어링들은 정적 마찰을 최소화하고 축 안정성을 제공한다. 이것은 큰 하중들(loads)을 지지하면서 위치결정 성능(즉, 높은 반복성 및 작은 정착 시간들)을 개선시킨다.
조명 빔(116)과 웨이퍼(101)의 표면의 교차 위치(location of intersection)가 큰 범위의 입사각들에 걸쳐 변하지 않도록 보장하기 위해, 회전축(153)은 매우 작은 동기 및 비동기 에러들을 가져야한다. 그에 부가하여, 임의의 아베 에러들(Abbe errors)이 최소화되어야 한다. 아베 에러들을 최소화하기 위해, 에어 베어링들(171)이 회전축(153) 주위에 방사상으로 등간격으로 이격되어(equally spaced radially) 있다. 베어링 서클(bearing circle)은 큰 각도 에러들을 방지하기에 충분히 크다. 베어링들은 측면 정렬 스테이지(142)의 표면에 의해 수직으로 구속된다. 일부 실시예들에서, 측면 정렬 스테이지(142)의 표면은 회전축(153)에 수직인 정밀 연마 화강암 표면(precision ground granite surface)이다.
일반적으로, 시료 위치결정 시스템은 6개의 자유도에서 반도체 웨이퍼들의 자동 위치결정을 제공한다. 그에 부가하여, 시료 위치결정 시스템은 웨이퍼 핸들링 로봇(wafer handling robot)과 협력하여 수직 위치로 웨이퍼를 효과적으로 로딩 및 언로딩하기 위해 회전 스테이지 상에 에지 그리핑(edge gripping) 피처들 및 액추에이터들을 포함한다.
일부 실시예들에서, 시료 위치결정 시스템에 대한 웨이퍼의 배면의 거리를 측정하기 위해 3개의 센서가 시료 위치결정 시스템 상에 배치된다. 이러한 방식으로, 웨이퍼 보우가 측정되고 팁-틸트-Z 스테이지를 사용한 웨이퍼의 이동에 의해 보상된다.
다른 양태에서, SAXS 계측 시스템은 적어도 하나의 빔 폐색 캘리브레이션 타깃을 이용하여 시료 위치결정 시스템에 대해 X-선 조명 빔을 위치시킨다. 빔 폐색 캘리브레이션 타깃은 적어도 하나의 마커 및 원통 형상의 폐색 요소를 포함한다. 시료 위치결정 시스템의 좌표들로 마커를 위치시키기 위해 정렬 카메라가 이용된다. 원통 형상의 폐색 요소에 대한 마커의 위치는 (예컨대, 200 나노미터 미만의 정확도로) 사전에 알려져 있다. 따라서, 시료 위치결정 시스템의 좌표들로 된 원통 형상의 폐색 요소의 위치는 간단한 좌표 변환에 의해 쉽게 결정된다. 투과 플럭스의 검출된 강도가 측정되면서 원통 형상의 폐색 요소가 조명 빔을 가로질러 스캐닝된다. 조명 빔의 중심이 측정된 강도에 기초하여 원통 형상의 폐색 요소에 대해 정확하게 위치된다. 원통 형상의 폐색 요소의 위치가 시료 위치결정 시스템의 좌표들로 알려져 있기 때문에, 시료 위치결정 시스템의 좌표들로 된 조명 빔의 중심의 위치가 간단한 좌표 변환에 의해 정확하게 위치확인된다.
일부 예들에서, 빔 폐색 캘리브레이션 타깃은 시료 위치결정 시스템에 대한 조명 빔의 입사 위치(location of incidence)를 캘리브레이션하는 데 이용된다. 일부 다른 예들에서, 빔 폐색 캘리브레이션 타깃은 웨이퍼에서의 조명 빔의 입사 지점(point of incidence)에서의 조명 빔에 대해 스테이지 기준 프레임의 회전축을 정렬시키는 데 이용된다.
도 7은 일 실시예에서의 빔 폐색 캘리브레이션 타깃(190)을 묘사한다. 도 7에 묘사된 실시예에서, 빔 폐색 캘리브레이션 타깃(190)은 정밀 셰이핑된(precision shaped) 원통형 핀(192) 및 원통형 핀(192)을 지지하는 프레임(191)을 포함한다. 원통형 핀(192)은 목표 불확실성(target uncertainty)(예컨대, 0.5 마이크로미터 미만의 공차들)의 정도의 높은 표면 품질 및 정확한 치수들로 제조된다.
일부 실시예들에서, 프레임(191)은 시료 위치결정 시스템(140)과 같은 시료 위치결정 시스템에 장착된 구조체일 수 있다. 이 실시예들에서, 빔 폐색 캘리브레이션 타깃(190)은, 캘리브레이션 웨이퍼가 아니라, 시료 위치결정 시스템(140)에 장착된다. 일부 다른 실시예들에서, 프레임(191)은 웨이퍼 자체에 부착된 하나 이상의 원통형 핀을 포함하는 특수 캘리브레이션 웨이퍼일 수 있다. 이 실시예들에서, 빔 폐색 캘리브레이션 타깃(190)은 캘리브레이션 웨이퍼에 장착된다. 빔 폐색 캘리브레이션 타깃(190)은 원통형 핀(192)의 한쪽 또는 양쪽에 개구부들(193)을 또한 포함한다. 개구부들(193)은 조명 빔(예컨대, 조명 빔(197))이 폐색없이 빔 폐색 캘리브레이션 타깃(190)을 통과할 수 있도록 하는 크기로 되어 있다(예컨대, 적어도 2 밀리미터 x 2 밀리미터). 빔 폐색 캘리브레이션 타깃(190)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 하나 이상의 마커(예컨대, 마커들(195 및 196))를 또한 포함한다. 원통형 핀의 에지들(198 및 199)에 대한 마커들(195 및 196)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 원통형 핀(192)의 에지들의 위치는 마커들(195 및 196) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
원통형 핀 형상의 폐색 요소는 나이프 에지를 정렬 타깃으로 이용할 때 발생하는 유한 투명성(finite transparency)의 문제를 주로 없앤다. 원통형 핀을 통한 빔 경로는 원통(cylinder)의 반경(R), 및 원통형 핀의 에지에 대한 빔 경로의 충돌 깊이(depth of impingement)(S)에 의해 정의된다. R이 S보다 상당히 더 클 때, 원통형 핀을 통한 빔 경로(L)의 길이는 수학식 1에 의해 근사된다.
Figure 112019125875800-pct00001
대략 2 밀리미터 직경의 텅스텐 카바이드 원통형 핀을 이용할 때, 반투명성으로 인한 하드 X-선들에 대한 에지 위치의 불확실성은 1 마이크로미터 미만이다. 일반적으로, 원통형 핀(192)은 임의의 적당한 밀도의 고 원자번호 재료로 제조될 수 있다. 비제한적인 예로서, 원통형 핀(192)은 텅스텐 카바이드, 텅스텐, 백금 등으로 구성될 수 있다. 재료의 반투명성으로 인한 에지 위치의 유도된 불확실성이 총 정렬 에러 버짓(total alignment error budget) 내에 충분히 들어가도록 원통형 핀의 직경이 충분히 커야 한다. 전형적으로, 재료의 반투명성으로 인해 에지 위치의 유도된 불확실성을 1 내지 2 마이크로미터 미만으로 유지하기 위해 2 내지 3 밀리미터의 직경으로 충분하다.
도 7에 묘사된 바와 같이, 빔 폐색 캘리브레이션 타깃(190)은 원통형 핀(192)의 축과 정확하게 정렬되는 하나 이상의 편평한 표면(예컨대, 편평한 표면(194))을 포함한다. 일부 예들에서, 표면(194)은 거리 센서(예컨대, 용량성 프로브, 유도성 프로브 등)에 의해 X-선 빔 축과 동일 선상에 있는(collinear) 타깃 위치를 측정하기 위한 기준 표면이다. 그에 부가하여, 일부 실시예들에서, 하나 이상의 마커가 편평한 표면 상에 위치된다. 예를 들어, 도 7에 묘사된 바와 같이, 마커(195)는 편평한 표면(194) 상에 위치된다.
도 5에 묘사된 실시예에서, 원통형 핀들의 중심 축이 웨이퍼(101)의 표면과 대략 동일 평면에 있도록 빔 폐색 캘리브레이션 타깃들(151 및 152)이 회전 스테이지(158)의 프레임에 장착된다. 도 5에 묘사된 바와 같이, 원통형 핀(151)은 YNF 축과 대략 평행하게 정렬된 중심 축을 포함하고 원통형 핀(152)은 XRF 축과 대략 평행하게 정렬된 중심 축을 포함한다. 각각의 원통형 핀은 임의의 충돌하는 x-선들의 대부분(large fraction)의 흡수에 의해 빔을 폐색한다.
시료 위치결정 시스템(140)은 스테이지 기준 프레임(143)에 장착된 정렬 카메라(154)를 또한 포함한다. 묘사된 실시예에서, 정렬 카메라는 스테이지 기준 프레임에 장착되고, 따라서 스테이지 기준 프레임과 함께 회전한다. 정렬 카메라(154)는, 웨이퍼(101)와 같은, 그의 시야 내의 객체들의 고분해능 이미지들(high resolution images)을 발생시키도록 구성된다. 일부 실시예들에서, 정렬 카메라(154)는 측정된 거리만큼 카메라의 초점(focal point)을 정확하게 이동시킴으로써 선명한 이미지 초점을 유지하는 자동 초점(auto-focus) 메커니즘을 또한 포함한다. 이 실시예들 중 일부에서, 정렬 카메라(154)는 카메라의 초점의 z-변위를 모니터링함으로써 카메라 본체가 장착된 스테이지 기준 프레임과 카메라에 의해 이미징되는 웨이퍼(101) 또는 마커들(151A 및 152A) 사이의 상대 거리들을 측정하는 데 사용될 수 있다.
일부 다른 실시예들에서, 정렬 카메라는 측면 정렬 스테이지(142)에 장착된다. 이 실시예들 중 일부에서, 정렬 카메라는 정렬 카메라의 시야 내의 마커들(151A 및 152A) 또는 웨이퍼(101)에 장착된 광학 마커들의 위치를 모니터링함으로써 카메라 본체가 장착된 {XNF, YNF, ZNF} 좌표 프레임과 카메라에 의해 이미징되는 웨이퍼(101) 또는 마커들(151A 및 152A) 사이의 상대 거리들을 측정하는 데 사용된다.
하나의 추가의 양태에서, 웨이퍼의 표면의 평면에서의 2개의 차원에서의 조명 빔의 정확한 입사 위치는 조명 빔과 2개 이상의 빔 폐색 캘리브레이션 타깃의 상호작용에 기초하여 결정된다.
도 9는 조명 빔(116)이 원통형 핀 요소(151)에 의해 폐색되는 위치로 웨이퍼 스테이지가 이동된 상태에서의 시료 위치결정 시스템(140)을 예시하는 다이어그램이다. 원통형 핀(151)에 대한 조명 빔의 정확한 입사 위치는 조명 빔(116)(즉, 베이스 프레임(141))에 대한 원통형 핀(151)의 X 위치의 함수로서 검출기(119)에 의해 측정된 투과 플럭스(transmitted flux)에 기초하여 결정된다. 도 9에 묘사된 바와 같이, 원통형 핀(151)이 양의 X-방향으로(XBF 방향으로) 이동됨에 따라, 점점 더 많은 조명 빔(116)이 원통형 핀(151)에 의해 폐색된다. 그 결과, 보다 적은 광자들이 검출기(119)에 도달한다. 그렇지만, 원통형 핀(151)이 음의 X-방향으로(XBF와 반대 방향으로) 이동됨에 따라, 점점 더 적은 조명 빔(116)이 원통형 핀(151)에 의해 폐색된다. 검출기(119)는 X-위치의 함수인 측정된 플럭스를 나타내는 신호들(155)을 발생시키고 그 결과들이 조명 빔(116)의 중심에 대응하는 원통형 핀의 위치를 식별하기 위해 분석된다.
도 10은 측정된 플럭스를 조명 빔(116)에 대한 원통형 핀의 상대 위치의 함수로서 예시하는 플롯(170)을 묘사한다. 측정된 플럭스(155)와 상대 위치 사이의 묘사된 관계는 시그모이드형 함수(sigmoid type function)(예컨대, 빔 프로파일에 의존하는 로지스틱(logistic) 또는 다른 에러 함수)이다.
일부 예들에서, 빔 중심은, 측정된 플럭스가 최소 플럭스 값(FMIN)과 최대 플럭스 값(FMAX) 사이의 중간, 또는 미분(dF/dx)의 최대 값에 있는 조명 빔에 대한 원통형 핀의 상대 위치인 것으로 결정된다. 그렇지만, 일부 다른 예들에서, 빔 중심이 측정된 플럭스 범위의 중간과 상이한 다른 플럭스 값에서 결정될 수 있다. 일부 예들에서, 보다 정확한 관계는 원통형 핀의 재료 및 기하구조와 빔의 상호작용을 모델링하여 결정된다. 이러한 예들에서, 모델링된 상호작용이 측정된 투과 플럭스와 비교되며, 모델에 대한 측정 결과들의 적합도(fit)에 기초하여 빔 중심과 정렬하는 조명 빔에 대한 원통형 핀의 상대 위치를 결정하는 데 피팅 알고리즘(fitting algorithm)이 사용된다.
일 예에서, 조명 빔(116)의 중심에 대한 원통형 핀(151)의 현재 위치와 빔 중심과 일치하는 원통형 핀(151)의 위치 사이의 거리(ΔХ)의 추정치는, 수학식 2에 의해 나타낸 바와 같이, 측정된 플럭스(FMEAS), 플럭스의 중간점(midpoint)(FMID), 및 원통형 핀 위치의 함수인 측정된 플럭스의 미분의 역수(inverse)에 기초하고,
Figure 112019125875800-pct00002
FMID는 수학식 3에 의해 나타내어진다.
Figure 112019125875800-pct00003
측정된 플럭스의 최대 값 및 최소 값은 투과 플럭스를 측정하는 동안 웨이퍼 스테이지를 스캐닝하는 것에 의해 측정될 수 있다. 게다가, 중간점에서의 기울기(slope)가 또한 추정될 수 있다. 이러한 양들에 기초하여, 원통형 핀의 중심 위치(centered position)의 변화의 추정치가 단순히 하나의 위치에서의 플럭스를 측정함으로써 수학식 2에 따라 결정된다. 필요한 경우, 중심 위치에 수렴하기 위해 중심 위치의 변화가 반복적으로 결정될 수 있다.
빔이 2개의 방향(예컨대, X 방향 및 Y 방향)에서 중심 성분(centroid component)을 갖기 때문에, 각각이 중심 성분의 방향에 수직으로 배향된 2개의 원통형 핀이 측정된다. 도 9에 묘사된 실시예에서, 원통형 핀(151)은 X-방향에서 스테이지 기준 프레임에 대해 빔 중심을 위치시키는 데 이용되고, 원통형 핀(152)은 Y-방향에서 스테이지 기준 프레임에 대해 빔 중심을 위치시키는 데 이용된다. 일반적으로, 중복성(redundancy)을 발생시키고 빔 위치의 캘리브레이션의 정확도를 증가시키기 위해 2개 초과의 원통형 핀이 활용될 수 있다.
도 9에 묘사된 바와 같이, 조명 빔(116)의 중심은 위에서 설명된 바와 같이 수직으로 및 수평으로 배향된 원통형 핀들(151 및 152)의 에지들과 정렬된다. 도 9에 묘사된 실시예에서, 기점 마크(fiducial mark)(151A)는 원통형 핀(151)의 중심 축과 동일 평면에 위치된다. 이와 유사하게, 기점 마크(152A)는 원통형 핀(152)의 중심 축과 동일 평면에 위치된다. 원통형 핀(151)과의 빔 중심 정렬의 위치에서, 원통형 핀(151), 또는 원통형 핀에 또는 그 근방에 있는 기점(fiducial)(151A)에 대한 조명 빔(116)의 위치가 정렬 카메라(154)에 의해 기록된다. 이것은 정렬 카메라의 시야에서의 정확한 위치에 대한 조명 빔의 상대 위치를 등록한다(registers)(초점 위치의 변화가 없는 것으로 가정함). 도 5에 묘사된 바와 같이, 웨이퍼(101)는 정렬 카메라(154)의 시야 내에서 이동된다. 웨이퍼 상의 원하는 위치(예컨대, 기점 마크)가 정렬 카메라(154)의 시야 내에서 이미징되도록 웨이퍼(101)가 이동된다. 원하는 위치에 대한 조명 빔(116)의 위치는 이전의 레지스트레이션에 기초하여 정렬 카메라(154)에 의해 결정된다. 이러한 방식으로, X 및 Y 방향에서의 웨이퍼(101) 상의 조명 빔(116)의 위치는 정렬 카메라(154)에 의해 수집된 이미지에 기초하여 신속하게 추정된다. 일부 실시예들에서, 원통형 핀(151)의 Z-위치에 대한 Z-방향에서의 웨이퍼의 위치는 웨이퍼(101)의 표면 상의 리소그래픽 피처들이 정확하게 초점이 맞을 때까지 정렬 카메라(154)의 초점 위치를 변경하는 것에 의해 측정된다. 초점 위치의 변화는 원통형 핀과 웨이퍼 상의 이미징된 위치 사이의 Z-위치의 차이를 나타낸다. 일부 다른 실시예들에서, 원통형 핀(151)의 Z-위치에 대한 Z-방향에서의 웨이퍼의 위치는 하나 이상의 광학 근접 센서, 용량성 근접 센서, 간섭계측 기반 센서, 또는 다른 적당한 근접 센서에 의해 측정된다. 액추에이터들(150A 내지 150C)은 이미징된 위치를 원통형 핀(예컨대, 기점(151A))과 평면 내에 있도록 위치변경하기 위해 웨이퍼(101)를 Z-방향으로 재위치결정(reposition)하는 데 이용될 수 있다.
추가의 양태에서, 웨이퍼 스테이지 좌표들에 기초하여 웨이퍼 상의 임의의 위치에서 조명 빔의 입사 위치가 결정된다. 조명 빔의 중심이 수직 및 수평 원통형 핀들과 정렬되고, 원통형 핀에 대한 조명 빔의 위치가 위에서 설명된 바와 같이 정렬 카메라에 의해 기록되면, 조명 빔의 입사 위치가 스테이지 좌표들로 변환될(transferred) 수 있다. 도 5에 묘사된 바와 같이, 웨이퍼(101)는 정렬 카메라(154)의 시야 내에서 이동된다. 웨이퍼(101)의 이동은 웨이퍼 스테이지(144)의 위치 측정 시스템(예컨대, 선형 인코더들 등)에 의해 측정된다. 웨이퍼(101)를 정렬 카메라(154)의 시야 내의 이미징된 웨이퍼 상의 3개 이상의 원하는 위치(예컨대, 기점 마크)로 이동시킴으로써, 스테이지 좌표들로 된 웨이퍼의 위치와 함께, 각각의 원하는 위치에서 원하는 위치에 대한 조명 빔의 위치가 결정된다. 조명 빔의 알려진 위치 및 3개 이상의 위치에서의 스테이지 좌표들에 기초하여, 스테이지 좌표들을 조명 빔의 입사 위치에 관련시키는 맵이 발생된다.
(X-방향에서) 조명 빔(116)의 중심에 원통형 핀(151)을 위치시킨 후에, 정렬 카메라(154)는 원통형 핀 자체의 위치, 또는 원통형 핀 상에 또는 그 근방에 위치된 기점 마크를 이미징하여, 정렬 카메라(154)의 시야 내의 이미지 위치와 빔 위치 사이의 관계를 구축한다. 정렬 카메라(154)가 스테이지 기준 프레임(143)에 대해 고정된 또는 반복가능한 위치에 위치되기 때문에, 이미지는 스테이지 기준 프레임(143)에 대한 조명 빔의 위치를 등록하고, 따라서 X-방향에서 빔 위치에 대한 기준으로서 역할한다. 더욱이, 정렬 카메라(154)는 스테이지 기준 프레임(143)에 대한 원통형 핀의 정확한 Z-위치를 확립하기 위해 기점 마크의 정확한 초점 위치를 확립한다. 정렬 카메라(154)가 스테이지 기준 프레임과 함께 회전하는 실시예들에서, 정렬 카메라(154)의 초점 위치는 스테이지 기준 프레임에 대한 원통형 핀의 Z-위치에 대한 기준으로서 역할한다.
폐색된 플럭스가 빔 입사 위치를 추정하는 데 활용되기 때문에, 조명 빔의 플럭스 변화들(changes in flux)이 위치 시프트(shift in position)로서 해석될 위험이 있다. 일부 실시예들에서, 조명 빔의 플럭스는 폐색 측정 직전에, 폐색 측정 이후에, 또는 폐색 측정과 동시에 측정된다. 조명 플럭스의 변동들은 측정에 대한 이들의 영향을 제거하기 위해 측정된 플럭스(155)의 분석에서 보상된다.
다른 양태에서, X-선 검출기(119)에 의해 측정되는 바와 같은 조명 빔과 2개 이상의 빔 폐색 캘리브레이션 타깃의 상호작용에 기초하여 웨이퍼의 표면의 평면에서의 조명 빔과 회전축(153)의 정확한 정렬이 결정된다.
측정 무결성을 보장하기 위해, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 범위의 입사각들 및 방위각들에 걸친 측정 동안 움직이지 않은(stationary) 채로 있어야 한다. 이 목적을 달성하기 위해, 스테이지 기준 프레임(143)의 회전축(153)은 측정 위치에서 웨이퍼(101)의 표면과 대략 동일 평면에 있어야 한다. 게다가, 회전축(153)이 측정 위치에서 웨이퍼(101)에 대한 조명 빔(116)의 입사 지점에서 조명 빔(116)과 교차하도록 회전축(153)이 XBF 방향에서 조명 빔(116)과 정렬되어야 한다.
도 8a는 도 5에 묘사된 바와 같이 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다. 도 8a는 회전축(153)이 웨이퍼(101) 상의 위치(103)에서 웨이퍼(101)에서의 조명 빔(116)의 입사 지점에서 조명 빔(116)과 교차하는 정렬 상태에서의 회전축(153)의 단면도를 묘사한다. 도 8a에 묘사된 바와 같이, 웨이퍼(101)가 큰 입사각(θ)에 걸쳐 회전축(153)을 중심으로 회전될 때, 조명 빔(116)은 위치(103)에서 입사하는 채로 있다. 이와 같이, 이 시나리오에서, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 범위의 입사각들에 걸친 측정 동안 움직이지 않은 채로 있다.
도 8b는 도 5에 묘사된 바와 같이 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다. 도 8b는 회전축(153)이 거리(
Figure 112019125875800-pct00004
z)만큼 웨이퍼(101)의 표면과 오정렬되는 정렬 상태에서의 회전축(153)의 단면도를 묘사한다. 도 8b에 묘사된 바와 같이, 웨이퍼(101)가 큰 입사각(θ)에 걸쳐 회전축(153)을 중심으로 회전될 때, 위치(103)의 일 부분은 더 이상 조명되지 않는다(즉, 웨이퍼(101)의 어떤 다른 부분이 그 대신에 조명된다). 이와 같이, 이 시나리오에서, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 범위의 입사각들에 걸쳐 측정 동안 드리프트하며, 이는 매우 바람직하지 않다.
도 8c는 도 5에 묘사된 바와 같이 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다. 도 8c는 회전축(153)이 웨이퍼(101)의 표면과 동일 평면에 있지만 거리(
Figure 112019125875800-pct00005
x)만큼 조명 빔(116)으로부터 오프셋되는 정렬 상태에서의 회전축(153)의 단면도를 묘사한다. 도 8c에 묘사된 바와 같이, 웨이퍼(101)가 큰 입사각(θ)에 걸쳐 회전축(153)을 중심으로 회전될 때, 위치(103)의 일 부분은 더 이상 조명되지 않는다(즉, 웨이퍼(101)의 어떤 다른 부분이 그 대신에 조명된다). 이와 같이, 이 시나리오에서, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 범위의 입사각들에 걸쳐 측정 동안 드리프트하며, 이는 매우 바람직하지 않다.
일부 실시예들에서, 스테이지 기준 프레임의 회전축의 캘리브레이션은 조명 빔의 중심을 X-방향 원통형 핀(151)과 정렬시키는 것, 및 스테이지 기준 프레임의 복수의 상이한 회전 위치들(θ)에서 플럭스를 측정하는 것에 의해 달성된다. X-방향에서의 원통형 핀의 겉보기 움직임(apparent motion)(ΔХ)은 위에서 설명된 바와 같이 선택된 폐색 모델(예컨대, 도 10에 묘사된 시그모이드 함수, 또는 다른 모델)에 기초하여 결정된다. 그에 부가하여, X-방향에서의 원통형 핀의 겉보기 움직임은 1) x-방향에서의 회전축으로부터의 원통형 핀의 거리(
Figure 112019125875800-pct00006
x) 및 z-방향에서의 회전축으로부터의 원통형 핀의 거리(
Figure 112019125875800-pct00007
z), 2) x-방향에서의 빔 중심 및 회전축(153)으로부터의 거리(
Figure 112019125875800-pct00008
n), 및 3) 스테이지 기준 프레임의 회전축(153)을 중심으로 한 회전 각도(θ)의 함수이다. 이 관계는 수학식 4로 나타내어진다.
Figure 112019125875800-pct00009
일 예에서, 3개의 입사각 {-Θ, 0, +Θ}에서 투과 플럭스가 측정된다. 수학식 5에 의해 나타내어진 선형 연립 방정식(linear system of equations)은 수학식 4로부터 결과된다.
Figure 112019125875800-pct00010
수학식 6은 수학식 5의 역을 구하는 것(inverting)에 의해 획득된다. 수학식 6은 x-방향에서의 원통형 핀의 겉보기 움직임으로부터
Figure 112019125875800-pct00011
n,
Figure 112019125875800-pct00012
x 및
Figure 112019125875800-pct00013
z의 값들에 대한 해를 구한다.
Figure 112019125875800-pct00014
수학식 3과 결합된 수학식 6은 측정된 플럭스로부터 결정된 X-방향에서의 원통형 핀의 겉보기 움직임으로부터
Figure 112019125875800-pct00015
n,
Figure 112019125875800-pct00016
x 및
Figure 112019125875800-pct00017
z의 값들에 대한 해를 구한다. 일부 예들에서,
Figure 112019125875800-pct00018
n,
Figure 112019125875800-pct00019
x, 및
Figure 112019125875800-pct00020
z의 값들에 대한 해는 수학식 7에 의해 나타낸 바와 같이 반복적으로 획득된다.
Figure 112019125875800-pct00021
여기서 k는 반복 인덱스(iteration index)이고, w는 X 방향 및 Z 방향에서 회전축(153)을 나이프 에지(151)와 정렬시키는 데 요구되는 시료 위치결정 시스템(140)의 액추에이터들의 변위들의 값들의 벡터 [
Figure 112019125875800-pct00022
n,
Figure 112019125875800-pct00023
x, 및
Figure 112019125875800-pct00024
z]이다. 변위(
Figure 112019125875800-pct00025
n)는 액추에이터(145)가 전체 스테이지 기준 프레임(143)을 조명 빔(116)에 대해 X-방향으로 이동시키는 것에 의해 실현된다. 변위(
Figure 112019125875800-pct00026
x)는 액추에이터(147)가 원통형 핀(151)을 다시 빔과 정렬되도록 이동시키는 것에 의해 실현된다. 변위(
Figure 112019125875800-pct00027
z)는 액추에이터들(150A 내지 150C)이 회전축(153)을 Z-방향에서 원통형 핀의 중심 축과 평면 내로 정렬시키도록 원통형 핀을 Z-방향으로 이동시키는 것에 의해 실현된다. 초기 추정치(w0)에서 시작하여, 수학식 7의 재귀(recursion)는 회전축(153)이 원통형 핀(151)에 정렬되는 지점으로 수렴할 것이다.
일반적으로, 수학식 7이 정확하게 적용될 필요는 없다. AΘ
Figure 112019125875800-pct00028
X/
Figure 112019125875800-pct00029
F의 값들은 수치적으로 근사될 수 있다. 다른 예들에서, 반복이 안정적이고 올바른 값으로 수렴하기만 하면, 다른 행렬들이 사용될 수 있다.
일반적으로, X 방향 및 Z 방향에서 회전축(153)을 원통형 핀(151)과 정렬시키는 데 요구되는 변위들의 값들을 결정하기 위해 임의의 3개 이상의 상이한 입사각에서 투과 플럭스가 측정될 수 있다. 임의의 3개의 상이한 입사각의 선택은 직접 역이 구해질 수 있는 선형 연립 방정식을 결과한다. 4개 이상의 상이한 입사각의 선택은 X 방향 및 Z 방향에서 회전축(153)을 원통형 핀(151)과 정렬시키는 데 요구되는 변위들의 값들을 결정하기 위해 의사 역 알고리즘(pseudoinverse algorithm)을 이용하여 해가 구해질 수 있는 과잉 결정된(overdetermined) 선형 연립 방정식을 결과한다. 수학식 5 및 수학식 6에 예시된 행렬들의 항들은 선택된 입사각들에 의존한다. 따라서, 상이한 입사각들이 선택되는 예들에서는 항들이 수학식 5 및 수학식 6과 상이할 것이다.
다른 양태에서, 웨이퍼의 표면의 평면에서의 캘리브레이션 타깃의 마커(예컨대, 빔 폐색 캘리브레이션 타깃(151)의 마커(151A), 웨이퍼(101) 상에 위치된 마커 등)와 회전축(153)의 정확한 정렬은 측면 정렬 스테이지(142)에 장착된 정렬 카메라에 의해 수집된 마커의 이미지들에 기초하여 결정된다.
정렬 카메라의 시야에서 X-방향에서의 마커의 겉보기 움직임(ΔХ)은 x-방향에서의 회전축으로부터의 마커의 거리(
Figure 112019125875800-pct00030
x) 및 z-방향에서의 회전축으로부터의 마커의 거리(
Figure 112019125875800-pct00031
z), 및 스테이지 기준 프레임의 회전축(153)을 중심으로 한 회전 각도(θ)의 함수이다. 측면 정렬 스테이지(142)에 장착된 정렬 카메라에 대해, 이 관계는 수학식 8로 나타내어진다.
Figure 112019125875800-pct00032
일부 예들에서, X 방향 및 Z 방향에서 회전축(153)을 원통형 핀(151)과 정렬시키는 데 요구되는 변위들의 값들을 결정하기 위해 임의의 3개의 상이한 입사각에서 마커(예컨대, 마커(151A))의 X-위치가 측정된다. 임의의 3개의 상이한 입사각의 선택은 x-방향에서의 회전축으로부터의 마커의 거리(
Figure 112019125875800-pct00033
x) 및 z-방향에서의 회전축으로부터의 마커의 거리(
Figure 112019125875800-pct00034
z)에 대한 해를 구하기 위해 직접 역이 구해질 수 있는 선형 연립 방정식을 결과한다.
이상화된 빔 폐색 캘리브레이션 타깃 및 회전축에 대해, 빔 캘리브레이션을 위해 단지 하나의 빔 폐색 캘리브레이션 타깃을 갖는 것으로 충분할 것이다. 그렇지만, 시스템의 요구사항들에 따라, 다수의 빔 폐색 캘리브레이션 타깃들이 요구될 수 있다. 다수의 폐색 요소들의 에지들을 정렬시키는 것에 의해, 공칭 YNF 축으로부터 회전축의 임의의 편차를 추론하는 것이 가능하다. 또한, 다수의 동일한 폐색 요소들은 좌우로 또는 상하로 에지의 캘리브레이션을 가능하게 해주어, 이미징된(즉, 정렬 카메라(154)에 의해 이미징된) 에지들 및 폐색된 플럭스 변화로부터 추론된 겉보기 에지에서의 시스템적 에러들(systematic errors)을 제거하는 데 도움을 준다.
다른 양태에서, SAXS 계측 시스템은 적어도 하나의 주기적인 캘리브레이션 타깃을 이용하여 시료 위치결정 시스템에 대해 X-선 조명 빔을 위치시킨다. 각각의 주기적인 캘리브레이션 타깃은 X-선 조명 광을 본 명세서에 설명된 SAXS 계측 시스템에 의해 측정가능한 구별되는 회절 패턴들로 회절시키는 상이한 주기적인 구조체들을 가지는 하나 이상의 공간적으로 정의된 존들을 포함한다. 그에 부가하여, 각각의 주기적인 캘리브레이션 타깃은 높은 정렬 정확도(예컨대, 0.5 마이크로미터 이하의 정렬 정확도)로 시료 위치결정 시스템에 대해 주기적인 캘리브레이션 타깃을 위치시키기 위해 광학 현미경에 의해 판독가능한 하나 이상의 마커를 포함한다. 각각의 공간적으로 정의된 존은 공간적으로 잘 정의된 경계 라인들을 가진다. 하나 이상의 차원에서 높은 정확도(예컨대, 0.2 마이크로미터 이하의 정확도)로 마커들에 대한 경계 라인들의 위치가 알려져 있다.
일부 실시예들에서, 각각의 주기적인 존의 크기는 주기적인 캘리브레이션 타깃 상으로의 조명 빔의 투영보다 더 크도록 설계된다. 이러한 방식으로, 빔 프로파일은 각각이 조명 빔보다 큰 크기로 되어 있는 2개의 상이한 주기적인 존 사이의 인터페이스(interface)를 가로질러 조명 빔을 스캐닝하는 것에 의해 특성화될 수 있다. 일부 실시예들에서, 조명 빔(116)은 200 마이크로미터 미만의 빔 폭을 갖는다. 일부 실시예들에서, 조명 빔(116)은 100 마이크로미터 미만의 빔 폭을 갖는다. 일부 실시예들에서, 조명 빔(116)은 50 마이크로미터 미만의 빔 폭을 갖는다. 그에 부가하여, 일부 예들에서, 캘리브레이션 측정이 큰 입사각들에서 수행된다. 이러한 예들에서, 주기적인 캘리브레이션 타깃 상으로의 조명 빔의 투영은 한 방향으로 가늘고 길게 되며(elongated), 각각의 주기적인 존은 투영된 조명 영역보다 더 큰 크기로 되어 있다.
일부 실시예들에서, 각각의 주기적인 존의 치수들은 조명 빔에 대한 방향에 따라 상이하다. 예를 들어, 주기적인 존은 큰 입사각을 수용하기 위해 회전축(153)에 수직인 방향에서 보다 클 수 있다. 다른 예에서, 조명 빔은 한 방향에서 다른 방향보다 클 수 있고(예컨대, 직사각형 조명 빔 형상), 주기적인 존은 가늘고 긴 방향에서 보다 클 수 있다.
일부 실시예들에서, 주기적인 존들 중 하나 이상의 주기적인 존의 치수들은 요구된 측정 박스 크기와 매칭하도록 하는 크기로 되어 있다. 일 예에서, 주기적인 존들 중 하나는 조명 빔 크기(예컨대, 50 제곱마이크로미터 또는 100 제곱마이크로미터) 또는 조명 빔(116)에 대한 회전축(153)의 정렬의 캘리브레이션을 위한 어떤 다른 수치와 매칭하도록 하는 크기로 되어 있다. 이 예에서, 조명 빔(116)이 큰 범위의 AOI에 걸쳐 주기적인 캘리브레이션 타깃에 대해 이동하지 않을 때 완벽한 정렬이 달성된다. 이 예에서, AOI가 변함에 따라 조명 빔이 주기적인 캘리브레이션 타깃에 대해 이동하는 경우, 조명 빔은 조명 빔 크기를 인접한 주기적인 존과 매칭하도록 하는 크기로 되어 있는 주기적인 존들로부터 이동할 것이다. 존들 사이의 경계를 가로지르는 조명 빔의 이러한 이동은 검출기(119)에 의해 검출된다.
일반적으로, 주기적인 캘리브레이션 타깃들의 세트 또는 주기적인 캘리브레이션 타깃의 존들의 세트는 빔 프로파일 및 크기를 특성화하는 데 유용한 상이한 크기의 존들을 포함한다. 일반적으로, 하나 이상의 존이 조명 빔보다 크거나, 작거나, 또는 조명 빔과 동일한 크기로 되었을 수 있다.
일반적으로, 주기적인 캘리브레이션 타깃의 주기성은 x-선 산란 콘트라스트(scattering contrast)를 향상시키도록 최적화된다. 각각의 주기적인 구조체의 피치는 검출기에서 검출된 차수들(orders)의 적절한 공간적 분리를 보장하기에 충분히 작다. 적절한 공간적 분리를 보장하기 위해 각각의 회절 차수(diffracted order)의 각도는 빔 발산보다 상당히 더 커야 하고, 피치가 감소함에 따라 각각의 회절 차수의 각도가 증가한다. 일부 실시예들에서, 각각의 주기적인 구조체의 피치는 적절한 공간적 분리 및 측정 정확도를 보장하기 위해 0.1 마이크로미터 정도(예컨대, 200 나노미터 미만)여야 한다.
각각의 주기적인 구조체는 하드 X-선들에 대해 높은 콘트라스트를 갖고 큰 원자 번호를 갖는 재료(예컨대, 텅스텐, 텅스텐 카바이드, 백금 등)로 이루어져 있다.
그에 부가하여, 각각의 주기적인 구조체는 적정한 노출 시간에 걸쳐 측정가능한 회절 패턴을 발생시키기에 충분한 높이로 제조된다. 일부 예들에서, 0.5 밀리미터 이상의 높이를 갖는 주기적인 구조체가 유리하다.
일부 실시예들에서, 본 명세서에서 설명된 주기적인 캘리브레이션 타깃들 중 임의의 것은, 시료 위치결정 시스템(140)과 같은, 시료 위치결정 시스템에 장착된다. 일부 다른 실시예들에서, 본 명세서에서 설명된 주기적인 캘리브레이션 타깃들 중 임의의 것은 측정 중인 생산 웨이퍼(production wafer) 또는 캘리브레이션 웨이퍼(calibration wafer)에 장착된다.
도 11은 시료 위치결정 시스템(140)의 다른 예시를 보다 상세하게 묘사한다. 도 11에 묘사된 유사한 번호의 요소들은 도 5를 참조하여 설명된 것들과 유사하다. 도 11에 묘사된 실시예에서, 주기적인 캘리브레이션 타깃(171)은 웨이퍼(101) 상에 위치된다.
주기적인 캘리브레이션 타깃(171)은 적어도 하나의 마커 및 다수의 주기적인 구조체들(예컨대, 그레이팅들(gratings))을 포함한다. 조명 빔(116)이 2개 이상의 상이한 회절 패턴에 입사하면, 상이한 주기적인 구조체들과 연관된 차수들의 측정된 강도들의 비는 조명된 패턴들에 대한 조명 빔의 위치에 관한 정보를 제공한다. 시료 위치결정 시스템의 좌표들로 마커를 위치시키기 위해 정렬 카메라(154)가 이용된다. 주기적인 구조체에 대한 마커의 위치는 사전에 알려져 있다. 따라서, 시료 위치결정 시스템의 좌표들로 된 주기적인 구조체의 위치는 간단한 좌표 변환에 의해 쉽게 결정된다. 회절 차수들의 검출된 강도들이 검출기(119)에 의해 측정되는 동안 주기적인 캘리브레이션 타깃(171)이 조명 빔(116)을 가로질러 스캐닝된다. 조명 빔(116)의 중심은 측정된 강도들에 기초하여 주기적인 캘리브레이션 타깃(171)에 대해 정확하게 위치된다. 주기적인 캘리브레이션 타깃(171)의 위치가 시료 위치결정 시스템의 좌표들로 알려져 있기 때문에, 시료 위치결정 시스템의 좌표들로 된 조명 빔의 중심의 위치가 간단한 좌표 변환에 의해 정확하게 위치확인된다.
일부 예들에서, 주기적인 캘리브레이션 타깃은 시료 위치결정 시스템에 대한 조명 빔의 입사 위치를 캘리브레이션하는 데 이용된다. 일부 다른 예들에서, 주기적인 캘리브레이션 타깃은 웨이퍼에 대한 조명 빔의 입사 지점에서의 조명 빔에 대해 스테이지 기준 프레임의 회전축을 정렬시키는 데 이용된다. 일부 다른 예들에서, 주기적인 캘리브레이션 타깃은 많은 방위각들로 조명 빔을 가로질러 스캐닝된다. 이러한 방식으로, 타깃에 대한 조명 빔의 위치를 캘리브레이션하는 것에 부가하여 빔 프로파일이 특성화된다.
일부 실시예들에서, 주기적인 캘리브레이션 타깃은 중앙의 주기적인 존 및 중앙의 주기적인 존을 둘러싸는 하나 이상의 주기적인 존을 포함한다. 각각의 주기적인 존은 상이한 피치, 상이한 피치 배향, 또는 이들의 조합을 포함한다.
도 12는 주기적인 캘리브레이션 타깃(210)의 실시예를 묘사한다. 도 12에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(210)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(211 및 212), 중앙 존(214)에 위치된 작은 피치의 주기적인 구조체(215) 및 중앙 존(214) 주위의 주변 존(peripheral zone)에 있는 보다 큰 피치의 주기적인 구조체(213)를 포함한다. 마커들(211 및 212)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 중앙 존(214)의 경계들에 대한 마커들(211 및 212)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 경계들의 위치는 마커들(211 및 212) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 중앙 존(214)(즉, 주기적인 구조체(215))의 조명은 상대적으로 큰 간격(예컨대, 100 마이크로미터)으로 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 조명 빔(116)에 의한 주변 존(즉, 주기적인 구조체(213))의 조명은 보다 큰 피치의 그레이팅(213)으로 인해 보다 작은 간격으로 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 그레이팅(215)의 측정된 차수들과 그레이팅(213)의 측정된 차수들 사이의 강도들의 비는 중앙 존(214)과 주변 존 사이의 경계 라인들에 대한 조명 빔(116)의 위치를 나타낸다.
도 13은 주기적인 캘리브레이션 타깃(220)의 실시예를 묘사한다. 도 13에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(220)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(221 및 222), 중앙 존(224)에 위치된 수직으로 배치된 주기적인 구조체(225) 및 중앙 존(224) 주위의 주변 존에 있는 수평으로 배치된 주기적인 구조체(223)를 포함한다. 마커들(221 및 222)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 중앙 존(224)의 경계들에 대한 마커들(221 및 222)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 경계들의 위치는 마커들(221 및 222) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 중앙 존(224)(즉, 주기적인 구조체(225))의 조명은 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 조명 빔(116)에 의한 주변 존(224)(즉, 주기적인 구조체(223))의 조명은 수직 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 그레이팅(225)의 측정된 차수들과 그레이팅(223)의 측정된 차수들 사이의 강도들의 비는 중앙 존(224)과 주변 존 사이의 경계 라인들에 대한 조명 빔(116)의 위치를 나타낸다.
도 14는 주기적인 캘리브레이션 타깃(230)의 실시예를 묘사한다. 도 14에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(230)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(231 및 232), 주기적인 구조체를 전혀 갖지 않는 중앙 존(234) 주위의 주변 존에 있는 수평으로 배치된 주기적인 구조체(233)를 포함한다. 마커들(231 및 232)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 중앙 존(234)의 경계들에 대한 마커들(231 및 232)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 경계들의 위치는 마커들(231 및 232) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 중앙 존(234)의 조명은 어떠한 회절도 야기하지 않으며; 제로 차수만이 검출된다. 조명 빔(116)에 의한 주변 존(224)(즉, 주기적인 구조체(233))의 조명은 수직 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 그레이팅(233)의 측정된 차수들과 제로 차수 강도 사이의 강도들의 비는 중앙 존(234)과 주변 존 사이의 경계 라인들에 대한 조명 빔(116)의 위치를 나타낸다.
일부 실시예들에서, 주기적인 캘리브레이션 타깃은 공통 지점에서 교차하는 임의의 개수의 주기적인 존들을 포함한다. 이러한 방식으로, X-선 조명 빔은 주기적인 존들 각각에 의해 공유되는 공통 지점과 정렬된다. 각각의 주기적인 존은 상이한 피치, 상이한 피치 배향, 또는 이들의 조합을 포함한다.
도 15는 주기적인 캘리브레이션 타깃(240)의 실시예를 묘사한다. 도 15에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(240)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(241 및 242) 및 직교 배열(quadrature arrangement)로 위치된 4개의 주기적인 존을 포함한다. 도 15에 묘사된 바와 같이, 수직으로 배치된 주기적인 구조체(243)는 제1 사분면에 위치되고, 수평으로 배치된 주기적인 구조체(244)는 제2 사분면에 위치되며, 수직으로 배치된 주기적인 구조체(245)는 제3 사분면에 위치되고, 수평으로 배치된 주기적인 구조체(246)는 제4 사분면에 위치된다. 마커들(241 및 242)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 직교 배열의 중심에 있는 공통 지점에 대한 마커들(241 및 242)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 공통 지점의 위치는 마커들(241 및 242) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 구조들(243 및 245)의 조명은 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 조명 빔(116)에 의한 구조들(244 및 246)의 조명은 수직 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 측정된 차수들 사이의 강도들의 비는 구조들(234 내지 246)에 의해 공유되는 공통 지점에 대한 조명 빔(116)의 위치를 나타낸다.
도 16은 주기적인 캘리브레이션 타깃(250)의 실시예를 묘사한다. 도 16에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(250)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(251 및 252) 및 직교 배열로 위치된 4개의 주기적인 존을 포함한다. 도 16에 묘사된 바와 같이, 수직에 대해 -45도로 배향된 주기적인 구조체(253)는 제1 사분면에 위치되고, 수직에 대해 45도로 배향된 주기적인 구조체(254)는 제2 사분면에 위치되며, 수평으로 배치된 주기적인 구조체(255)는 제3 사분면에 위치되고, 수직으로 배치된 주기적인 구조체(256)는 제4 사분면에 위치된다. 마커들(251 및 252)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 직교 배열의 중심에 있는 공통 지점에 대한 마커들(251 및 252)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 공통 지점의 위치는 마커들(251 및 252) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 구조들(253 및 254)의 조명은, 제각기, +45도 및 -45도로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 조명 빔(116)에 의한 구조들(255 및 256)의 조명은, 제각기, 수직 방향 및 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 측정된 차수들 사이의 강도들의 비는 구조들(253 내지 256)에 의해 공유되는 공통 지점에 대한 조명 빔(116)의 위치를 나타낸다.
도 17은 주기적인 캘리브레이션 타깃(260)의 실시예를 묘사한다. 도 17에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(260)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(261 및 262) 및 직교 배열로 위치된 4개의 주기적인 존을 포함한다. 도 17에 묘사된 바와 같이, 상대적으로 작은 피치를 갖는 수직으로 배치된 주기적인 구조체(263)는 제1 사분면에 위치되고, 상대적으로 큰 피치를 갖는 수평으로 배치된 주기적인 구조체(264)는 제2 사분면에 위치되며, 상대적으로 큰 피치를 갖는 수직으로 배치된 주기적인 구조체(265)는 제3 사분면에 위치되고, 상대적으로 작은 피치를 갖는 수평으로 배치된 주기적인 구조체(246)는 제4 사분면에 위치된다. 마커들(261 및 262)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 직교 배열의 중심에 있는 공통 지점에 대한 마커들(261 및 262)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 공통 지점의 위치는 마커들(261 및 262) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 구조들(263 및 265)의 조명은 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 조명 빔(116)에 의한 구조들(264 및 266)의 조명은 수직 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 구조들(263 및 266)과 연관된 차수들은 구조들(264 및 265)과 연관된 차수들과 상이하게 이격되어 있다. 측정된 차수들 사이의 강도들의 비는 구조들(263 내지 266)에 의해 공유되는 공통 지점에 대한 조명 빔(116)의 위치를 나타낸다.
도 18은 주기적인 캘리브레이션 타깃(270)의 실시예를 묘사한다. 도 18에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(270)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(271 및 272) 및 직교 배열로 위치된 4개의 주기적인 존을 포함한다. 도 18에 묘사된 바와 같이, 상대적으로 작은 피치를 갖는 수직으로 배치된 주기적인 구조체(273)는 제1 사분면에 위치되고, 상대적으로 큰 피치를 갖는 수평으로 배치된 주기적인 구조체(274)는 제2 사분면에 위치되며, 상대적으로 작은 피치를 갖는 수직으로 배치된 주기적인 구조체(275)는 제3 사분면에 위치되고, 상대적으로 큰 피치를 갖는 수평으로 배치된 주기적인 구조체(276)는 제4 사분면에 위치된다. 마커들(271 및 272)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 직교 배열의 중심에 있는 공통 지점에 대한 마커들(271 및 272)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 공통 지점의 위치는 마커들(271 및 272) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 구조들(273 및 275)의 조명은 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 조명 빔(116)에 의한 구조들(274 및 276)의 조명은 수직 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 구조들(273 및 275)과 연관된 차수들은 구조들(274 및 276)과 연관된 차수들과 상이하게 이격되어 있다. 측정된 차수들 사이의 강도들의 비는 구조들(273 내지 276)에 의해 공유되는 공통 지점에 대한 조명 빔(116)의 위치를 나타낸다.
도 19a 및 도 19b는, 각각이 한 방향에서 주기적인 캘리브레이션 타깃에 대해 조명 빔을 위치시키기에 적당한, 주기적인 캘리브레이션 타깃들(290 및 295)의 세트를 묘사한다. 타깃들(290 및 295) 둘 다가 SAXS 계측 시스템을 캘리브레이션하는 데 이용될 때, 2개의 직교 차원(orthogonal dimensions)에서 시료 위치결정 시스템에 대한 조명 빔의 위치가 결정된다. 도 19a에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(290)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(291 및 292) 및 경계 라인을 따라 서로 인접하여 위치된 2개의 주기적인 존을 포함한다. 도 19a에 묘사된 바와 같이, 수평으로 배치된 주기적인 구조체(293)는 수직으로 배치된 주기적인 구조체(294)와 나란히 위치된다. 마커들(291 및 292)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 구조들(293 및 294) 사이의 경계에 대한 마커들(291 및 292)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 경계 라인의 위치는 마커들(291 및 292) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 구조들(293 및 294)의 조명은, 제각기, 수직 방향 및 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 측정된 차수들 사이의 강도들의 비는 구조들(293 및 294)에 의해 공유되는 경계 라인에 대한 조명 빔(116)의 위치를 나타낸다.
이와 유사하게, 도 19b에 묘사된 바와 같이, 주기적인 캘리브레이션 타깃(295)은 시료 위치결정 시스템에 장착된 광학 현미경에 의해 판독가능한 마커들(296 및 297) 및 경계 라인을 따라 서로 인접하여 위치된 2개의 주기적인 존을 포함한다. 도 19b에 묘사된 바와 같이, 타깃(295)의 경계 라인은 타깃(290)의 경계 라인에 직교한다. 도 19b에 묘사된 바와 같이, 수평으로 배치된 주기적인 구조체(298)는 수직으로 배치된 주기적인 구조체(299)와 나란히 위치된다. 마커들(296 및 297)은 주기적인 캘리브레이션 타깃의 주기적인 구조체들과 평면 내에 위치된다. 그에 부가하여, 구조들(298 및 299) 사이의 경계에 대한 마커들(296 및 297)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 경계 라인의 위치는 마커들(296 및 297) 중 어느 하나 또는 둘 다의 위치로부터 간단한 좌표 변환에 의해 결정된다.
조명 빔(116)에 의한 구조들(298 및 299)의 조명은, 제각기, 수직 방향 및 수평 방향으로 검출기(119)를 가로질러 다수의 차수들의 회절을 야기한다. 측정된 차수들 사이의 강도들의 비는 구조들(298 및 299)에 의해 공유되는 경계 라인에 대한 조명 빔(116)의 위치를 나타낸다.
일반적으로, 주기적인 캘리브레이션 타깃은 임의의 적당한 구성으로 다수의 상이한 주기적인 존들을 포함할 수 있다. 일부 실시예들에서, 주기적인 존들은 직교 패턴(Cartesian pattern)으로 배열된다. 그렇지만, 주기적인 존들의 다른 패턴들이 고려될 수 있다.
도 20은, 마커들(288 및 289), 및 육각형 패턴으로 배열된 7개의 상이한 주기적인 존들(281 내지 287)을 포함하는, 주기적인 캘리브레이션 타깃(280)을 묘사한다. 각각의 주기적인 존은 상이한 피치, 상이한 피치 배향, 또는 이들의 조합을 포함한다.
다른 양태에서, Z-방향에서의 웨이퍼의 표면의 형상은 정렬 카메라, 광학 근접 센서, 용량성 근접 센서, 간섭계측 기반 센서, 또는 임의의 다른 적당한 근접 센서 중 임의의 것을 사용하여 매핑된다. 일부 예들에서, 웨이퍼 표면은 웨이퍼의 전면(즉, 패터닝된 측면) 상에 매핑된다. 일부 다른 예들에서, 웨이퍼의 두께가 충분히 균일하거나, 잘 모델링되거나, 또는 인-시츄로 또는 사전에 측정되기만 한다면, 웨이퍼 표면은 웨이퍼의 배면(즉, 패터닝되지 않은 측면) 상에 매핑된다. 일부 실시예들에서, 많은 센서 기술들이 패터닝되지 않은 표면의 위치를 정확하게 측정하는 데 사용될 수 있기 때문에 배면 센서들이 웨이퍼 보우를 측정하는 데 이용된다. 이 실시예들 중 일부에서, 배면 센서들만이 웨이퍼의 배면을 가로지르는 웨이퍼 보우를 측정하는 데 이용되며, 전면을 가로지르는 웨이퍼 보우는 두께 모델 또는 사전에 수행된 두께 측정로부터 발생된 두께 매핑에 기초하여 추정된다. 일부 다른 실시예들에서, 배면 및 전면 센서들 둘 다가 웨이퍼 보우를 측정하는 데 이용된다. 이 실시예들 중 일부에서, 배면 센서들이 웨이퍼의 배면을 가로지르는 웨이퍼 보우를 측정하는 데 이용되며, 전면을 가로지르는 웨이퍼 보우는 두께 모델 또는 전면 또는 배면 측정로부터 도출된 웨이퍼 두께의 추정치들로부터 적어도 부분적으로 발생된 두께 매핑에 기초하여 추정된다. 일부 예들에서, 웨이퍼 맵은 다수의 표준 보간기들(standard interpolators)(예컨대, 다항식 기저 함수들, 유리 함수들, 신경 네트워크들 등)을 사용하여 모델링된다. 게다가, 웨이퍼의 해석적 또는 수치적 굽힘 모델(analytical or numerical bending model)을 사용하여 측면 변위들(lateral displacements)과 높이 변위들(height displacements)을 커플링시키는 것이 가능하다.
추가의 양태에서, Z-액추에이터들(150A 내지 150C)이, 조명 빔(116)의 입사 위치에서의 웨이퍼의 표면의 형상에 응답하여, Z-위치, Rx 배향, Ry 배향, 또는 이들의 임의의 조합을 조정하도록 제어된다. 일 예에서, 웨이퍼의 틸트(tilt)는 Z-액추에이터들(150A 내지 150C)에 의해 보정된다. 틸트 캘리브레이션은 웨이퍼 틸트의 맵 또는 로컬적으로 측정된 틸트의 값에 기초할 수 있다. 이것은 또한 웨이퍼의 후방 표면에서의 Rx 배향 및 Ry 배향(즉, 팁 및 틸트)을 모니터링하는 광학 기반 틸트 센서를 사용하여 달성될 수 있다.
다른 추가의 양태에서, Z-액추에이터들(150A 내지 150C)은 방위각 회전축(axis of rotation in azimuth)을 스테이지 기준 프레임(143)과 정렬시키기 위해 Z-위치, Rx 배향, Ry 배향, 또는 이들의 임의의 조합을 조정하도록 제어된다. 일 예에서, Z-액추에이터들(150A 내지 150C)은 특정 타깃이 일정 범위의 방위각들에 걸쳐 정렬 카메라(154)의 초점에 있는 채로 있도록 조정된다. 이러한 캘리브레이션을 수행하기 위해, 웨이퍼 스테이지는 모든 방위각들에 대해 정렬 카메라(154)의 시야 내에 타깃을 유지하기 위해 웨이퍼(101)를 X 방향 및 Y 방향으로 평행이동시킨다.
일반적으로, 모든 오프셋 효과들에 대해 캘리브레이션하는 것이 가능한 것은 아니다. 가장 큰 편차를 제거하기 위한 캘리브레이션이 전형적으로 선택되며 웨이퍼와 스테이지에서의 비-이상성들(non-idealities)을 고려하는 스테이지 맵들에 의해 나머지 오프셋들이 무시되거나 핸들링된다.
그에 부가하여, 온도 및 기압의 변화들 또는 임의의 다른 주변 조건이 조명 빔의 위치결정에 영향을 미칠 수 있다. 일부 실시예들에서, 빔 움직임은 이러한 변수들에 상관되고 빔의 위치는 측정된 온도와 압력 및 상관 모델에 기초하여 조정된다.
일반적으로, 시료 위치결정 시스템(140)은 고니오미터(goniometer) 스테이지들, 헥사포드(hexapod) 스테이지들, 각도 스테이지들, 및 선형 스테이지들을 포함하지만 이들로 제한되지 않는, 원하는 선형 및 각도 위치결정 성능을 달성하기 위해 기계적 요소들의 임의의 적당한 조합을 포함할 수 있다.
일부 실시예들에서, x-선 조명 소스(110), 포커싱 광학계(111), 슬릿들(112 및 113), 또는 이들의 임의의 조합은 시료(101)와 동일한 대기 환경(예컨대, 가스 퍼지 환경(gas purge environment))에서 유지된다. 그렇지만, 일부 실시예들에서, 이 요소들 중 임의의 것 사이의 그리고 그 내에서의 광학 경로 길이는 길고, 공기 중에서의 x-선 산란은 검출기 상의 이미지에 노이즈를 제공한다(contributes). 따라서, 일부 실시예들에서, x-선 조명 소스(110), 포커싱 광학계(111), 및 슬릿들(112 및 113) 중 임의의 것은 국소화된 진공 환경에서 유지된다. 도 1에 묘사된 실시예에서, 포커싱 광학계(111), 슬릿들(112 및 113), 및 빔 셰이핑 슬릿 메커니즘(120)은 배기된 비행 튜브(evacuated flight tube)(118) 내의 제어된 환경(예컨대, 진공)에서 유지된다. 조명 빔(116)은 시료(101)에의 입사 이전에 비행 튜브(118)의 끝에 있는 윈도(121)를 통과한다.
일부 실시예들에서, x-선 조명 소스(110), 포커싱 광학계(111), 및 슬릿들(112 및 113) 중 임의의 것은 진공 윈도들에 의해 서로 및 시료(예컨대, 시료(101))와 분리된 국소화된 진공 환경에서 유지된다. 도 21은 x-선 조명 소스(110)를 포함하는 진공 챔버(160), 포커싱 광학계(111)를 포함하는 진공 챔버(162), 및 슬릿들(112 및 113)을 포함하는 진공 챔버(163)를 예시한 다이어그램이다. 각각의 진공 챔버의 개구부들은 진공 윈도들에 의해 커버된다. 예를 들어, 진공 챔버(160)의 개구부는 진공 윈도(161)에 의해 커버된다. 이와 유사하게, 진공 챔버(163)의 개구부는 진공 윈도(164)에 의해 커버된다. 진공 윈도는 x-선 방사선에 실질적으로 투명한 임의의 적당한 재료(예컨대, 캡톤(Kapton), 베릴륨(Beryllium) 등)로 구성될 수 있다. 조명 빔의 산란을 최소화하기 위해 각각의 진공 챔버 내에 적당한 진공 환경이 유지된다. 적당한 진공 환경은 임의의 적당한 레벨의 진공, 작은 원자 번호를 갖는 가스(예컨대, 헬륨)를 포함하는 임의의 적당한 퍼지된 환경, 또는 이들의 임의의 조합을 포함할 수 있다. 이러한 방식으로, 플럭스를 최대화하고 산란을 최소화하기 위해 가능한 많은 조명 빔 경로가 진공 중에 위치된다.
이와 유사하게, 일부 실시예들에서, 시료(101)와 검출기(119) 사이의 광학 경로 길이(즉, 수집 빔 경로)는 길고, 공기 중에서의 x-선 산란은 검출기 상의 이미지에 노이즈를 제공한다. 따라서, 바람직한 실시예들에서, 시료(101)와 검출기(119) 사이의 수집 빔 경로 길이의 상당 부분은 진공 윈도(예컨대, 진공 윈도(124))에 의해 시료(예컨대, 시료(101))와 분리된 국소화된 진공 환경에서 유지된다. 일부 실시예들에서, x-선 검출기(119)는 시료(101)와 검출기(119) 사이의 빔 경로 길이와 동일한 국소화된 진공 환경에서 유지된다. 예를 들어, 도 1 및 도 21에 묘사된 바와 같이, 진공 챔버(123)는 검출기(119) 및 시료(101)와 검출기(119) 사이의 빔 경로 길이의 상당 부분을 둘러싸는 국소화된 진공 환경을 유지한다.
일부 다른 실시예들에서, x-선 검출기(119)는 시료(101)와 동일한 대기 환경(예컨대, 가스 퍼지 환경)에서 유지된다. 이것은 검출기(119)로부터 열을 제거하는 데 유리할 수 있다. 그렇지만, 이러한 실시예들에서, 시료(101)와 검출기(119) 사이의 빔 경로 길이의 상당 부분을 진공 챔버 내의 국소화된 진공 환경에서 유지하는 것이 바람직하다.
일부 실시예들에서, 시료(101)를 포함한, 전체 광학 시스템이 진공으로 유지된다. 그렇지만, 일반적으로, 시료 위치결정 시스템(140)의 구성과 연관된 복잡성들로 인해 시료(101)를 진공으로 유지하는 것과 연관된 비용이 높다.
다른 추가의 양태에서, 빔 셰이핑 슬릿 메커니즘(120)는 대기 환경 하의 빔 경로 길이를 최소화하기 위해 진공 챔버(163)와 기계적으로 통합된다. 일반적으로, 시료(101)에의 입사 이전에 가능한 한 많은 빔을 진공으로 캡슐화하는 것이 바람직하다. 일부 실시예들에서, 진공 빔 라인은 빔 셰이핑 슬릿 메커니즘(120)의 입력에서 중공의, 원통 형상의 캐비티 내로 연장된다. 진공 윈도(164)는 빔 셰이핑 슬릿 메커니즘(120) 내의 진공 챔버(163)의 출력에 위치된다.들어오는 빔(115)이 빔 셰이핑 슬릿 메커니즘(120)의 일 부분 내에서 진공으로 유지되고, 이어서 슬릿들(126 내지 129) 중 임의의 것 및 시료(101)와의 상호작용 이전에 진공 윈도(164)를 통과한다.
다른 추가의 양태에서, 컴퓨팅 시스템(130)은 시료의 측정된 구조의 구조 모델(structural model)(예컨대, 기하학적 모델, 재료 모델, 또는 결합된 기하학적 및 재료 모델)을 발생시키고, 구조 모델로부터의 적어도 하나의 기하학적 파라미터를 포함하는 T-SAXS 응답 모델을 발생시키며, T-SAXS 응답 모델을 이용하여 T-SAXS 측정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 시료 파라미터 값을 분해하도록 구성된다. 분석 엔진은 시뮬레이션된 T-SAXS 신호들을 측정된 데이터와 비교하여 그에 의해 샘플의 기하학적 속성들은 물론 전자 밀도와 같은 재료 속성들의 결정을 가능하게 해주는 데 사용된다. 도 1에 묘사된 실시예에서, 컴퓨팅 시스템(130)은 본 명세서에 설명된 바와 같이 모델 구축 및 분석 기능을 구현하도록 구성된 모델 구축 및 분석 엔진으로서 구성된다.
도 22는 컴퓨팅 시스템(130)에 의해 구현된 예시적인 모델 구축 및 분석 엔진(180)을 예시하는 다이어그램이다. 도 22에 묘사된 바와 같이, 모델 구축 및 분석 엔진(180)은 시료의 측정된 구조의 구조 모델(182)을 발생시키는 구조 모델 구축 모듈(181)을 포함한다. 일부 실시예들에서, 구조 모델(182)은 시료의 재료 속성들을 또한 포함한다. 구조 모델(182)은 T-SAXS 응답 함수 구축 모듈(183)에 대한 입력으로서 수신된다. T-SAXS 응답 함수 구축 모듈(183)은 구조 모델(182)에 적어도 부분적으로 기초하여 T-SAXS 응답 함수 모델(184)을 발생시킨다. 일부 예들에서, T-SAXS 응답 함수 모델(184)은 x-선 폼 팩터들(x-ray form factors)에 기초하며,
Figure 112019125875800-pct00035
여기서 F는 폼 팩터이고, q는 산란 벡터이며, ρ(r)은 구면 좌표들(spherical coordinates)로 된 시료의 전자 밀도이다. x-선 산란 강도는 그러면 수학식 10에 의해 주어진다.
Figure 112019125875800-pct00036
T-SAXS 응답 함수 모델(184)은 피팅 분석 모듈(185)에 대한 입력으로서 수신된다. 피팅 분석 모듈(185)은 모델링된 T-SAXS 응답을 대응하는 측정된 데이터와 비교하여 시료의 기하학적 속성들은 물론 재료 속성들을 결정한다.
일부 예들에서, 실험 데이터에 대한 모델링된 데이터의 피팅은 카이 제곱 값(chi-squared value)을 최소화함으로써 달성된다. 예를 들어, T-SAXS 측정의 경우, 카이 제곱 값은 수학식 11로서 정의될 수 있다.
Figure 112019125875800-pct00037
여기서,
Figure 112019125875800-pct00038
는 "채널" j에서의 측정된 T-SAXS 신호들(126)이고, 여기서 인덱스 j는 회절 차수, 에너지, 각도 좌표 등과 같은 시스템 파라미터들의 세트를 나타낸다.
Figure 112019125875800-pct00039
는, 구조(타깃) 파라미터들의 세트(v1, ...,vL)에 대해 평가된, "채널" j에 대한 모델링된 T-SAXS 신호(Sj)이며, 여기서 이러한 파라미터들은 기하학적 속성들(CD, 측벽 각도, 오버레이 등) 및 재료 속성들(전자 밀도 등)을 나타낸다.
Figure 112019125875800-pct00040
는 제j 채널과 연관된 불확실성이다. NSAXS는 x-선 계측에서의 채널들의 총수이다. L은 계측 타깃을 특성화하는 파라미터들의 개수이다.
수학식 11은 상이한 채널들과 연관된 불확실성들이 상관되지 않는다고 가정한다. 상이한 채널들과 연관된 불확실성들이 상관되는 예들에서는, 불확실성들 사이의 공분산(covariance)이 계산될 수 있다. 이러한 예들에서, T-SAXS 측정을 위한 카이 제곱 값은 수학식 12로서 표현될 수 있고,
Figure 112019125875800-pct00041
여기서,
Figure 112019125875800-pct00042
는 SAXS 채널 불확실성들의 공분산 행렬이고, T는 전치행렬(transpose)을 나타낸다.
일부 예들에서, 피팅 분석 모듈(185)은 T-SAXS 응답 모델(184)을 이용하여 T-SAXS 측정 데이터(135)에 대한 피팅 분석을 수행함으로써 적어도 하나의 시료 파라미터 값을 분해한다. 일부 예들에서,
Figure 112019125875800-pct00043
는 최적화되어 있다.
위에서 설명된 바와 같이, T-SAXS 데이터의 피팅은 카이 제곱 값들을 최소화함으로써 달성된다. 그렇지만, 일반적으로, T-SAXS 데이터의 피팅은 다른 함수들에 의해 달성될 수 있다.
T-SAXS 계측 데이터의 피팅은 관심의 기하학적 및/또는 재료 파라미터들에 대한 감도를 제공하는 임의의 유형의 T-SAXS 기술에 유리하다. 시료와의 T-SAXS 빔 상호작용을 설명하는 적절한 모델들이 사용되는 한, 시료 파라미터들은 결정적(예컨대, CD, SWA 등) 또는 통계적(예컨대, 측벽 조도(sidewall roughness)의 rms 높이, 조도 상관 길이(roughness correlation length) 등)일 수 있다.
일반적으로, 컴퓨팅 시스템(130)은, RTCD(Real Time Critical Dimensioning)를 이용하여, 실시간으로 모델 파라미터들에 액세스하도록 구성되거나, 시료(101)와 연관된 적어도 하나의 시료 파라미터 값의 값을 결정하기 위해 미리 계산된 모델들의 라이브러리들에 액세스할 수 있다. 일반적으로, 시료의 할당된 CD 파라미터들과 측정된 시료와 연관된 CD 파라미터들 사이의 차이를 평가하기 위해 어떤 형태의 CD-엔진이 사용될 수 있다. 시료 파라미터 값들을 계산하기 위한 예시적인 방법 및 시스템은 2010년 11월 2일자로 KLA-Tencor Corp.에 등록된 미국 특허 제7,826,071호에 설명되어 있으며, 이 미국 특허 전체는 본 명세서에 참고로 포함된다.
일부 예들에서, 모델 구축 및 분석 엔진(180)은 피드 사이드웨이 분석(feed sideways analysis), 피드 포워드 분석(feed forward analysis), 및 병렬 분석(parallel analysis)의 임의의 조합에 의해 측정된 파라미터들의 정확도를 개선시킨다. 피드 사이드웨이 분석은 동일한 시료의 상이한 영역들 상에서 다수의 데이터 세트들을 취하고 제1 데이터 세트로부터 결정되는 공통 파라미터들을 분석을 위해 제2 데이터 세트 상으로 전달하는 것을 말한다. 피드 포워드 분석은 상이한 시료들 상에서 데이터 세트들을 취하고 공통 파라미터들을 단계별 사본의 정확한 파라미터 피드 포워드 접근법(stepwise copy exact parameter feed forward approach)을 사용하여 후속 분석들로 순방향으로 전달하는 것을 말한다. 병렬 분석은, 피팅 동안 적어도 하나의 공통 파라미터가 커플링되는, 다수의 데이터세트들에 대한 비선형 피팅 방법론의 병렬 또는 동시 적용을 말한다.
다중 툴 및 구조 분석(multiple tool and structure analysis)은 회귀(regression), 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터세트들의 다른 피팅 절차에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 말한다. 다중 툴 및 구조 분석을 위한 예시적인 방법 및 시스템은 2009년 1월 13일자로 KLA-Tencor Corp.에 등록된 미국 특허 제7,478,019호에 설명되어 있으며, 이 미국 특허 전체는 본 명세서에 참고로 포함된다.
다른 추가의 양태에서, 하나 이상의 관심 파라미터의 값들의 초기 추정치는 측정 타깃에 대한 입사 x-선 빔의 단일 배향에서 수행된 T-SAXS 측정에 기초하여 결정된다. 초기의 추정된 값들은 다수의 배향들에서의 T-SAXS 측정로부터 수집된 측정 데이터를 이용한 측정 모델의 회귀에 대한 관심 파라미터들의 시작 값들로서 구현된다. 이러한 방식으로, 관심 파라미터의 근접한 추정치(close estimate)가 상대적으로 적은 양의 계산 노력으로 결정되며, 이 근접한 추정치를 훨씬 더 큰 데이터 세트에 걸친 회귀에 대한 시작점으로서 구현하는 것에 의해, 보다 적은 전체적인 계산 노력으로 관심 파라미터의 개량된 추정치가 획득된다.
다른 양태에서, 계측 툴(100)은 본 명세서에서 설명된 바와 같이 빔 제어 기능을 구현하도록 구성된 컴퓨팅 시스템(예컨대, 컴퓨팅 시스템(130))을 포함한다. 도 1에 묘사된 실시예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)의 강도, 발산, 스폿 크기, 편광, 스펙트럼, 및 위치결정과 같은 조명 속성들 중 임의의 것을 제어하도록 동작가능한 빔 제어기로서 구성된다.
도 1에 예시된 바와 같이, 컴퓨팅 시스템(1300)(130)은 검출기(119)에 통신가능하게 결합된다. 컴퓨팅 시스템(130)은 검출기(119)로부터 측정 데이터(135)를 수신하도록 구성된다. 일 예에서, 측정 데이터(135)는 시료의 측정된 응답의 지시(즉, 회절 차수들의 강도들)를 포함한다. 검출기(119)의 표면 상에서의 측정된 응답의 분포에 기초하여, 시료(101) 상에서의 조명 빔(116)의 입사 위치 및 면적이 컴퓨팅 시스템(130)에 의해 결정된다. 일 예에서, 측정 데이터(135)에 기초하여 시료(101) 상에서의 조명 빔(116)의 입사 위치 및 면적을 결정하기 위해 패턴 인식 기술들이 컴퓨팅 시스템(130)에 의해 적용된다. 일부 예들에서, 컴퓨팅 시스템(130)은 원하는 조명 파장을 선택하기 위해 커맨드 신호들(137)을 X-선 조명 소스(110)에 전달한다. 일부 예들에서, 컴퓨팅 시스템(130)은 원하는 빔 방향을 달성하기 위해 베이스 프레임(141)에 대해 x-선 방출을 재지향시키도록 커맨드 신호들(138)을 액추에이터 서브시스템(111')에 전달한다. 일부 예들에서, 컴퓨팅 시스템(130)은 입사 조도 빔(116)이 원하는 빔 스폿 크기 및 배향으로 시료(101)에 도달하도록 빔 스폿 크기를 변경하기 위해 커맨드 신호들(136)을 빔 셰이핑 슬릿 메커니즘(120)에 전달한다. 일 예에서, 커맨드 신호들(136)은 도 5에 묘사된 회전 액추에이터(122)로 하여금 빔 셰이핑 슬릿 메커니즘(120)을 시료(101)에 대해 원하는 배향으로 회전시키게 한다. 다른 예에서, 커맨드 신호들(136)은 슬릿들(126 내지 129) 각각과 연관된 액추에이터들로 하여금 입사 빔(116)을 원하는 형상 및 크기로 리셰이핑하도록 위치를 변경하게 한다. 일부 다른 예들에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)이 시료(101)에 대해 원하는 위치 및 각도 배향으로 도달하도록 시료(101)를 위치결정하고 배향하기 위해 커맨드 신호를 웨이퍼 위치결정 시스템(140)에 전달한다.
추가의 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수들의 측정된 강도들에 기초하여 측정된 구조의 이미지를 발생시키는 데 사용된다. 일부 실시예들에서, T-SAXS 응답 함수 모델은 일반 전자 밀도 메시(generic electron density mesh)로부터의 산란을 설명하도록 일반화된다. 연속성 및 희소 에지들(sparse edges)을 시행하기 위해 이 메시에서 모델링된 전자 밀도들을 제약하면서, 이 모델을 측정된 신호들에 매칭시키는 것은 샘플의 3차원 이미지를 제공한다.
T-SAXS 측정에 기초한 임계 치수(CD) 계측에는 기하학적 모델 기반의 파라메트릭 반전(parametric inversion)이 바람직하지만, 측정된 시료가 기하학적 모델의 가정들로부터 벗어날 때 동일한 T-SAXS 측정 데이터로부터 발생된 시료의 맵은 모델 에러들을 식별하고 보정하는 데 유용하다.
일부 예들에서, 이미지가 동일한 산란계측 측정 데이터의 기하학적 모델 기반 파라메트릭 반전에 의해 추정된 구조적 특성들과 비교된다. 불일치들(discrepancies)은 측정된 구조의 기하학적 모델을 업데이트하고 측정 성능을 개선시키는 데 사용된다. 정확한 파라메트릭 측정 모델에 수렴하는 능력은 집적 회로들의 제조 프로세스를 제어, 모니터링, 및 문제 해결하기 위해 집적 회로들을 측정할 때 특히 중요하다.
일부 예들에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이러한 재료 특성들의 조합의 2차원(2-D) 맵이다. 일부 예들에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이러한 재료 특성들의 조합의 3차원(3-D) 맵이다. 맵은 상대적으로 적은 물리적 제약조건들을 사용하여 발생된다. 일부 예들에서, 임계 치수(CD), 측벽 각도(sidewall angle, SWA), 오버레이, 에지 배치 에러(edge placement error), 피치 워크(pitch walk) 등과 같은, 하나 이상의 관심 파라미터가 결과적인 맵으로부터 직접 추정된다. 일부 다른 예들에서, 샘플 기하구조 또는 재료들이 모델 기반 CD 측정에 이용되는 파라메트릭 구조 모델에 의해 고려되는 예상된 값들의 범위를 벗어날 때 맵은 웨이퍼 프로세스를 디버깅하는 데 유용하다. 일 예에서, 파라메트릭 구조 모델에 의해 그의 측정된 파라미터들에 따라 예측되는 구조의 렌더링과 맵 사이의 차이들은 파라메트릭 구조 모델을 업데이트하고 그의 측정 성능을 개선시키는 데 사용된다. 추가 세부사항들은 미국 특허 공개 제2015/0300965호에 설명되어 있으며, 이 미국 특허 공개의 내용은 그 전체가 본 명세서에 참고로 포함된다. 부가의 세부사항들은 미국 특허 공개 제2015/0117610호에 설명되어 있으며, 이 미국 특허 공개의 내용은 그 전체가 본 명세서에 참고로 포함된다.
추가의 양태에서, 모델 구축 및 분석 엔진(180)은 결합된 x-선 및 광학 측정 분석을 위한 모델들을 발생시키는 데 사용된다. 일부 예들에서, 광학 시뮬레이션들은, 예컨대, 상이한 편광들에 대한 반사율들, 엘립소메트릭 파라미터들, 위상 변화 등과 같은 광학 신호들을 계산하기 위해 Maxwell 방정식들의 해가 구해지는 RCWA(rigorous coupled-wave analysis)에 기초한다.
하나 이상의 관심 파라미터의 값들은 결합된 기하학적으로 파라미터화된 응답 모델(combined, geometrically parameterized response model)을 이용한 복수의 상이한 입사각들에서의 x-선 회절 차수들의 검출된 강도들 및 검출된 광학 강도들의 결합된 피팅 분석에 기초하여 결정된다. 광학 강도들은, 도 1에 묘사된 시스템들(100)과 같은, x-선 계측 시스템과 기계적으로 통합될 수 있거나 통합되지 않을 수 있는 광학 계측 툴에 의해 측정된다. 추가 세부사항들은 미국 특허 공개 제2014/0019097호 및 미국 특허 공개 제2013/0304424호에 설명되어 있으며, 이 미국 특허 공개들 각각의 내용은 그 전체가 본 명세서에 참고로 포함된다.
일반적으로, 계측 타깃은 계측 타깃의 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)를 최대 측면 범위 치수(maximum lateral extent dimension)(즉, 웨이퍼 표면과 정렬된 치수)로 나눈 것으로서 정의되는 종횡비에 의해 특성화된다. 일부 실시예들에서, 측정 중인 계측 타깃은 적어도 20의 종횡비를 갖는다. 일부 실시예들에서, 계측 타깃은 적어도 40의 종횡비를 갖는다.
본 개시내용 전반에 걸쳐 설명된 다양한 단계들이 단일 컴퓨터 시스템(130) 또는, 대안적으로, 다중 컴퓨터 시스템(130)에 의해 수행될 수 있음이 인식되어야 한다. 더욱이, 시료 위치결정 시스템(140)과 같은, 시스템(100)의 상이한 서브시스템들은 본 명세서에서 설명된 단계들의 적어도 일 부분을 수행하기에 적당한 컴퓨터 시스템을 포함할 수 있다. 따라서, 전술한 설명은 본 발명에 대한 제한으로서 해석되어서는 안 되며 단지 예시로서 해석되어야 한다. 게다가, 하나 이상의 컴퓨팅 시스템(130)은 본 명세서에 설명된 방법 실시예들 중 임의의 방법 실시예의 임의의 다른 단계(들)을 수행하도록 구성될 수 있다.
그에 부가하여, 컴퓨터 시스템(130)은 본 기술분야에 공지된 임의의 방식으로 x-선 조명 소스(110), 빔 셰이핑 슬릿 메커니즘(120), 시료 위치결정 시스템(140), 및 검출기(119)에 통신가능하게 커플링될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(130)은 x-선 조명 소스(110), 빔 셰이핑 슬릿 메커니즘(120), 시료 위치결정 시스템(140), 및 검출기(119)와, 제각기, 연관된 컴퓨팅 시스템들에 커플링될 수 있다. 다른 예에서, x-선 조명 소스(110), 빔 셰이핑 슬릿 메커니즘(120), 시료 위치결정 시스템(140), 및 검출기(119) 중 임의의 것은 컴퓨터 시스템(130)에 커플링된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템들(예컨대, x-선 조명 소스(110), 빔 셰이핑 슬릿 메커니즘(120), 시료 위치결정 시스템(140), 검출기(119), 및 이와 유사한 것)로부터 데이터 또는 정보를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 사이의 데이터 링크로서 역할할 수 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예컨대, 측정 결과들, 모델링 입력들, 모델링 결과들 등)를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 다른 시스템들(예컨대, 메모리 온-보드 계측 시스템(100), 외부 메모리, 또는 외부 시스템들) 사이의 데이터 링크로서 역할할 수 있다. 예를 들어, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 190))로부터 측정 데이터(예컨대, 신호들(135))를 수신하도록 구성될 수 있다. 예를 들어, 검출기(119)를 사용하여 획득된 스펙트럼 결과들은 영구적 또는 반영구적 메모리 디바이스(예컨대, 메모리(132 또는 190))에 저장될 수 있다. 이 점에서, 측정 결과들은 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트될(imported) 수 있다. 더욱이, 컴퓨터 시스템(130)은 데이터를 전송 매체를 통해 다른 시스템들에 송신할 수 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정된 시료 파라미터 값들(186)은 영구적 또는 반영구적 메모리 디바이스(예컨대, 메모리(190))에 저장될 수 있다. 이 점에서, 측정 결과들이 다른 시스템으로 익스포트될(exported) 수 있다.
컴퓨팅 시스템(130)은 개인 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 본 기술분야에 공지된 임의의 다른 디바이스를 포함할 수 있지만, 이들로 제한되지 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터의 명령어들을 실행하는, 하나 이상의 프로세서를 가지는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수 있다.
본 명세서에서 설명된 것들과 같은 방법들을 구현하는 프로그램 명령어들(134)은 유선, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 1에 예시된 바와 같이, 메모리(132)에 저장된 프로그램 명령어들은 버스(133)를 통해 프로세서(131)에 전송된다. 프로그램 명령어들(134)은 컴퓨터 판독가능 매체(예컨대, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독가능 매체들은 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 23은 본 발명의 계측 시스템(100)에 의한 구현에 적당한 방법(300)을 예시한다. 일 양태에서, 방법(300)의 데이터 프로세싱 블록들이 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 수행될 수 있다는 것이 인식된다. 이하의 설명이 계측 시스템(100)의 맥락에서 제시되지만, 계측 시스템(100)의 특정의 구조적 양태들이 제한들을 나타내지 않으며 단지 예시적인 것으로 해석되어야 한다는 것이 본 명세서에서 인식된다.
블록(301)에서, x-선 조명 서브시스템에 의해 x-선 조명 빔이 발생된다.
블록(302)에서, x-선 조명 빔이 시료의 표면 상의 임의의 위치에서 시료의 표면에 입사하도록 시료가 x-선 조명 빔에 대해 위치결정된다.
블록(303)에서, x-선 조명 빔이 복수의 입사각들로 임의의 위치에서 시료의 표면에 입사하도록 시료가 회전축을 중심으로 x-선 조명 빔에 대해 회전된다.
블록(304)에서, x-선 조명 빔이 복수의 방위각들로 임의의 위치에서 시료의 표면에 입사하도록 시료가 방위각 회전축을 중심으로 회전된다.
블록(305)에서, 캘리브레이션 타깃이 x-선 조명 빔으로 조명된다. 캘리브레이션 타깃은 하나 이상의 마커를 포함한다.
블록(306)에서, 시료 위치결정 시스템의 일정 범위의 위치들에 걸쳐 투과 플럭스의 양이 검출되며, 여기서 x-선 조명 빔의 적어도 일 부분은 그 범위의 위치들에 걸쳐 캘리브레이션 타깃에 입사된다.
블록(307)에서, 검출된 투과 플럭스의 양에 기초하여 시료 위치결정 시스템에 대한 x-선 조명 빔의 입사 위치가 결정된다.
일부 실시예들에서, 본 명세서에서 설명된 바와 같은 산란계측 측정은 제조 프로세스 툴의 일부로서 구현된다. 제조 프로세스 툴들의 예들은 리소그래픽 노광 툴들, 막 퇴적 툴들, 주입 툴들, 및 에칭 툴들을 포함하지만, 이들로 제한되지 않는다. 이러한 방식으로, T-SAXS 분석의 결과들은 제조 프로세스를 제어하는 데 사용된다. 일 예에서, 하나 이상의 타깃으로부터 수집된 T-SAXS 측정 데이터는 제조 프로세스 툴에 송신된다. T-SAXS 측정 데이터는 본 명세서에서 설명된 바와 같이 분석되고 결과들은 제조 프로세스 툴의 동작을 조정하는 데 사용된다.
본 명세서에서 설명된 바와 같은 산란계측 측정은 다양한 반도체 구조체들의 특성들을 결정하는 데 사용될 수 있다. 예시적인 구조체들은 FinFET들, 나노와이어들 또는 그래핀과 같은 저차원 구조체들, 10 nm 이하(sub 10 nm) 구조체들, 리소그래픽 구조체들, 기판 관통 비아들(through substrate vias, TSV들), DRAM, DRAM 4F2, FLASH, MRAM과 같은 메모리 구조체들 및 고 종횡비 메모리 구조체들을 포함하지만, 이들로 제한되지 않는다. 예시적인 구조적 특성들은 라인 에지 조도, 라인 폭 조도, 기공 크기, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이과 같은 기하학적 파라미터들, 및 전자 밀도, 조성, 결정립 구조(grain structure), 모폴로지(morphology), 응력, 변형(strain), 및 원소 ID(elemental identification)와 같은 재료 파라미터들을 포함하지만 이들로 제한되지 않는다. 일부 실시예들에서, 계측 타깃이 주기적인 구조체이다. 일부 다른 실시예들에서, 계측 타깃이 비주기적이다.
일부 예들에서, 스핀 전달 토크 랜덤 액세스 메모리(STT-RAM), 3차원 NAND 메모리(3D-NAND) 또는 수직 NAND 메모리(V-NAND), 동적 랜덤 액세스 메모리(DRAM), 3차원 FLASH 메모리(3D-FLASH), 저항성 랜덤 액세스 메모리(Re-RAM), 및 상변화 랜덤 액세스 메모리(PC-RAM)를 포함하지만, 이들로 제한되지 않는 고 종횡비 반도체 구조체들의 임계 치수들, 두께들, 오버레이, 및 재료 속성들의 측정은 본 명세서에서 설명된 바와 같은 T-SAXS 측정 시스템들을 이용하여 수행된다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수"는 구조체의 임의의 임계 치수(예컨대, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 그레이팅 높이 등), 임의의 2개 이상의 구조체 사이의 임계 치수(예컨대, 2개의 구조체 사이의 거리), 및 2개 이상의 구조체 사이의 변위(예컨대, 오버레이하는 그레이팅 구조체들 사이의 오버레이 변위 등)를 포함한다. 구조체들은 3차원 구조체들, 패터닝된 구조체들, 오버레이 구조체들 등을 포함할 수 있다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수 응용(critical dimension application)" 또는 "임계 치수 측정 응용(critical dimension measurement application)"은 임의의 임계 치수 측정을 포함한다.
본 명세서에서 설명된 바와 같이, 용어 "계측 시스템"은, 임계 치수 응용들 및 오버레이 계측 응용들을 포함한, 임의의 양태에서 시료를 특성화하는 데 적어도 부분적으로 이용되는 임의의 시스템을 포함한다. 그렇지만, 그러한 기술 용어들은 본 명세서에서 설명된 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 그에 부가하여, 본 명세서에서 설명된 계측 시스템들은 패터닝된 웨이퍼들 및/또는 패터닝되지 않은 웨이퍼들의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 배면 검사 툴, 매크로 검사 툴, 또는 다중 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시에 포함함), 및 본 명세서에서 설명된 측정 기술들로부터 이득을 보는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
시료를 프로세싱하는 데 사용될 수 있는 반도체 프로세싱 시스템(예컨대, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시예들이 본 명세서에서 설명된다. 용어 "시료"는 본 명세서에서 본 기술분야에 공지된 수단에 의해 프로세싱(예컨대, 인쇄 또는 결함들이 있는지 검사)될 수 있는 웨이퍼, 레티클, 또는 임의의 다른 샘플을 지칭하는 데 사용된다.
본 명세서에서 사용되는 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 재료로 형성된 기판들을 지칭한다. 예들은 단결정 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만, 이들로 제한되지 않는다. 그러한 기판들은 반도체 제조 설비들에서 흔히 발견되고 그리고/또는 프로세싱될 수 있다. 일부 경우들에서, 웨이퍼는 기판만(즉, 베어 웨이퍼(bare wafer))을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 재료들의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패터닝되거나" 또는 "패터닝되지 않을" 수 있다. 예를 들어, 웨이퍼는 반복가능한 패턴 피처들을 갖는 복수의 다이들을 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클이거나, 또는 반도체 제조 설비에서 사용하기 위해 출시될(released) 수 있거나 출시되지 않을 수 있는 완성된 레티클일 수 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역들이 상부에 형성되어 어떤 패턴으로 구성되는, 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들어, 비정질 SiO2와 같은 유리 재료를 포함할 수 있다. 레티클은 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼(resist-covered wafer) 위에 배치될 수 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패터닝되거나 또는 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는, 각각이 반복가능한 패턴 피처들을 갖는, 복수의 다이들을 포함할 수 있다. 그러한 재료 층들의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스들을 결과할 수 있다. 많은 상이한 유형들의 디바이스들이 웨이퍼 상에 형성될 수 있으며, 본 명세서에서 사용되는 바와 같은 용어 웨이퍼는 본 기술분야에 공지된 임의의 유형의 디바이스가 상부에 제조되고 있는 웨이퍼를 포괄하도록 의도된다.
하나 이상의 예시적인 실시예에서, 설명되는 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능들은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독가능 매체 상에 저장되거나 컴퓨터 판독가능 매체를 통해 전송될 수 있다. 컴퓨터 판독가능 매체들은 한 장소로부터 다른 장소로의 컴퓨터 프로그램의 전송을 용이하게 하는 임의의 매체를 포함한 통신 매체들 및 컴퓨터 저장 매체들 둘 다를 포함한다. 저장 매체들은 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용가능한 매체들일 수 있다. 제한이 아닌 예로서, 그러한 컴퓨터 판독가능 매체들은 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스들, 또는 원하는 프로그램 코드 수단을 명령어들 또는 데이터 구조들의 형태로 운반하거나 저장하는 데 사용될 수 있고 범용 또는 특수 목적 컴퓨터, 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속(connection)이 컴퓨터 판독가능 매체라고 적절히 지칭된다. ㄴ를 들어, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), DSL(digital subscriber line), 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술들을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 전송되는 경우, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술들은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본 명세서에서 사용되는 바와 같이, CD(compact disc), 레이저 디스크(laser disc), XRF 디스크(XRF disc), DVD(digital versatile disc), 플로피 디스크(floppy disk) 및 블루레이 디스크(blu-ray disc)를 포함하고, 여기서 디스크들(disks)은 보통 데이터를 자기적으로 재생하는 반면, 디스크들(discs)은 데이터를 레이저들을 이용하여 광학적으로 재생한다. 상기한 것들의 조합들이 컴퓨터 판독가능 매체들의 범위 내에 또한 포함되어야 한다.
비록 몇몇 특정 실시예들이 교수적인 목적을 위해 위에서 설명되었지만, 이 특허 문서의 교시들은 일반적인 적용가능성(general applicability)을 가지며 위에서 설명된 특정 실시예들로 제한되지 않는다. 그에 따라, 설명된 실시예들의 다양한 피처들의 다양한 수정들, 개조들(adaptations), 및 조합들이 청구범위에 기재된 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (38)

  1. 계측 시스템에 있어서,
    반도체 웨이퍼에 입사하는 x-선 조명 빔을 발생시키도록 구성된 x-선 조명 소스;
    상기 x-선 조명 빔에 대해 6개의 자유도에서 상기 반도체 웨이퍼의 위치를 능동적으로 제어하도록 구성된 시료 위치결정 시스템(specimen positioning system) ― 상기 웨이퍼의 표면에 수직인 벡터는 상기 계측 시스템에 의한 상기 반도체 웨이퍼의 측정 동안 중력(gravity)에 의해 상기 반도체 웨이퍼에 가해지는 중력(gravitational force)의 방향에 수직임 ―;
    상기 입사 x-선 조명 빔에 응답하여 상기 반도체 웨이퍼로부터 제1 x-선 방사선 양을 검출하도록 구성된 x-선 검출기; 및
    상기 반도체 웨이퍼 상에 배치된 구조체를 특성화하는 관심 파라미터의 값을 결정하도록 구성된 컴퓨팅 시스템
    을 포함하는, 계측 시스템.
  2. 제1항에 있어서, 상기 시료 위치결정 시스템은:
    베이스 프레임(base frame);
    상기 조명 빔에 수직이고 상기 웨이퍼 표면에 평행한 회전축을 중심으로 상기 베이스 프레임에 대해 회전하도록 구성된 스테이지 기준 프레임(stage reference frame);
    상기 스테이지 기준 프레임에 장착된 웨이퍼 스테이지(wafer stage) ― 상기 웨이퍼 스테이지는 상기 웨이퍼를 상기 반도체 웨이퍼의 활성 영역 위의 임의의 원하는 위치에서 상기 입사 조명 빔에 대해 위치시키도록 구성됨 ―;
    상기 웨이퍼 스테이지에 장착된, 상기 조명 빔과 정렬된 방향으로 상기 반도체 웨이퍼를 이동시키고 2개의 직교 회전축 ― 둘 다가 상기 조명 빔에 수직임 ― 을 중심으로 상기 반도체 웨이퍼를 회전시키도록 구성된 3축 스테이지(three axis stage); 및
    상기 3축 스테이지에 장착된 회전 스테이지(rotary stage) ― 상기 회전 스테이지는 상기 웨이퍼 표면에 수직인 축을 중심으로 상기 웨이퍼를 회전시키도록 구성됨 ― 를 포함하는, 계측 시스템.
  3. 제2항에 있어서, 상기 웨이퍼 스테이지 및 상기 3축 스테이지는 운동학적 커플링(kinematic coupling)으로 배열된 6개의 기계적 접촉점에 의해 기계적으로 커플링되는, 계측 시스템.
  4. 제1항에 있어서, 상기 시료 위치결정 시스템은, 상기 웨이퍼 표면에 수직인 방향으로 상기 시료 위치결정 시스템에 대한 상기 반도체 웨이퍼의 배면 표면(back-side surface)의 위치를 측정하도록 구성된 하나 이상의 센서, 상기 웨이퍼 표면에 수직인 방향으로 상기 시료 위치결정 시스템에 대한 상기 반도체 웨이퍼의 전면 표면(front-side surface)의 위치를 측정하도록 구성된 하나 이상의 센서, 또는 이들의 조합을 포함하는, 계측 시스템.
  5. 제2항에 있어서, 상기 시료 위치결정 시스템은 상기 반도체 웨이퍼를 상기 반도체 웨이퍼의 에지들에서 상기 회전 스테이지에 기계적으로 커플링시키도록 구성된 하나 이상의 에지 그리퍼 디바이스(edge gripper devices)를 포함하는, 계측 시스템.
  6. 제2항에 있어서, 상기 시료 위치결정 시스템은 상기 스테이지 기준 프레임 상에 배치된 회전 카운터웨이트(rotary counterweight)를 포함하고, 회전축을 중심으로 상기 베이스 프레임에 대해 회전하도록 구성된 상기 스테이지 기준 프레임의 질량 중심(center of mass)이 상기 회전축과 정렬되는, 계측 시스템.
  7. 제1항에 있어서,
    상기 x-선 조명 소스와 상기 반도체 웨이퍼 사이의 조명 빔 경로의 상당 부분을 엔벨로핑하는(enveloping) 제1 진공 챔버
    를 더 포함하는, 계측 시스템.
  8. 제1항에 있어서,
    상기 반도체 웨이퍼와 상기 x-선 검출기 사이의 수집 빔 경로의 상당 부분을 엔벨로핑하는 제1 진공 챔버
    를 더 포함하는, 계측 시스템.
  9. 계측 시스템에 있어서,
    x-선 조명 빔을 발생시키도록 구성된 x-선 조명 서브시스템;
    상기 x-선 조명 빔이 시료의 표면 상의 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 상기 x-선 조명 빔에 대해 위치결정하고, 상기 x-선 조명 빔이 복수의 입사각들로 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 회전축을 중심으로 상기 x-선 조명 빔에 대해 회전시키며, 상기 x-선 조명 빔이 복수의 방위각들로 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 방위각 회전축을 중심으로 회전시키도록 구성된 시료 위치결정 시스템;
    원통형 핀 및 상기 원통형 핀의 중심 축과 정렬된 평면에 배치된 하나 이상의 마커를 포함하는 빔 폐색 캘리브레이션 타깃(beam occlusion calibration target);
    상기 시료 위치결정 시스템의 일정 범위의 위치들에 걸쳐 투과 플럭스의 양을 검출하도록 구성된 x-선 검출기 ― x-선 조명 빔의 적어도 일 부분은 상기 범위의 위치들에 걸쳐 상기 원통형 핀에 입사함 ―; 및
    상기 검출된 투과 플럭스의 양에 기초하여 상기 시료 위치결정 시스템에 대한 상기 x-선 조명 빔의 입사 위치를 결정하도록 구성된 컴퓨팅 시스템
    을 포함하는, 계측 시스템.
  10. 제9항에 있어서, 상기 범위의 위치들은 일정 범위의 입사각들을 포함하고, 상기 컴퓨팅 시스템은 또한, 상기 회전축과 상기 x-선 조명 빔을 정렬시키기 위해 상기 x-선 조명 빔에 대한 상기 회전축의 위치의 조정을 결정하도록 구성되는, 계측 시스템.
  11. 제10항에 있어서, 상기 x-선 조명 빔에 대한 상기 회전축의 위치의 조정을 결정하는 것은 상기 검출된 투과 플럭스의 양에 기초하는, 계측 시스템.
  12. 제10항에 있어서,
    상기 하나 이상의 마커 또는 복수의 상이한 입사각들로 상기 시료 상에 배치되는 하나 이상의 마커의 적어도 일 부분의 복수의 이미지들을 발생시키는 정렬 카메라
    를 더 포함하고, 상기 하나 이상의 마커 또는 상기 시료 상에 배치되는 상기 하나 이상의 마커에 대한 상기 회전축의 위치의 오정렬은 상기 복수의 이미지들에서 측정되는 상기 하나 이상의 마커 또는 상기 시료 상에 배치되는 상기 하나 이상의 마커의 변위에 기초하여 결정되는, 계측 시스템.
  13. 제10항에 있어서,
    상기 x-선 조명 빔에 대한 상기 회전축의 위치를 조정하기 위해 상기 x-선 조명 서브시스템의 하나 이상의 요소의 위치를 조정하도록 구성된 하나 이상의 액추에이터
    를 더 포함하는, 계측 시스템.
  14. 제10항에 있어서,
    상기 회전축과 상기 x-선 조명 빔을 정렬시키기 위해 상기 x-선 조명 빔에 대한 상기 시료 위치결정 시스템의 위치를 조정하도록 구성된 하나 이상의 액추에이터
    를 더 포함하는, 계측 시스템.
  15. 제9항에 있어서, 상기 시료 위치결정 시스템에 대한 상기 x-선 조명 빔의 입사 위치를 결정하는 것은, 상기 x-선 조명 빔에 대한 상기 원통형 핀의 위치의 함수인 투과 플럭스의 모델에 기초하는, 계측 시스템.
  16. 제9항에 있어서,
    상기 마커의 적어도 일 부분의 이미지를 발생시키는 정렬 카메라
    를 더 포함하고, 상기 컴퓨팅 시스템은 또한, 상기 이미지에 기초하여 상기 시료 위치결정 시스템의 좌표계에서 상기 마커를 위치확인(locate)하고, 상기 마커의 위치 및 상기 마커와 상기 원통형 핀 사이의 알려진 거리에 기초하여 상기 시료 위치결정 시스템의 좌표계에서 상기 x-선 조명 빔의 입사 위치를 추정하도록 구성되는, 계측 시스템.
  17. 제16항에 있어서, 상기 정렬 카메라는 상기 시료 상에 배치된 적어도 하나의 기점 마커(fiducial marker)의 이미지를 발생시키고, 상기 컴퓨팅 시스템은 또한, 상기 이미지에 기초하여 상기 시료 위치결정 시스템의 좌표 시스템에서 상기 기점 마커를 위치확인하도록 구성되는, 계측 시스템.
  18. 제17항에 있어서, 상기 정렬 카메라는 상기 시료와 함께 상기 회전축을 중심으로 회전하는, 계측 시스템.
  19. 제9항에 있어서,
    상기 시료의 표면에 수직인 방향으로 상기 시료 위치결정 시스템에 대한 상기 시료의 배면 표면의 위치를 측정하도록 구성된 하나 이상의 센서, 상기 시료의 표면에 수직인 방향으로 상기 시료 위치결정 시스템에 대한 상기 시료의 전면 표면의 위치를 측정하도록 구성된 하나 이상의 센서, 또는 이들의 조합
    을 더 포함하는, 계측 시스템.
  20. 제9항에 있어서, 상기 빔 폐색 캘리브레이션 타깃은 상기 시료 위치결정 시스템 또는 상기 시료 상에 배치되는, 계측 시스템.
  21. 제9항에 있어서,
    상기 x-선 조명 소스와 상기 시료 사이의 조명 빔 경로의 상당 부분을 엔벨로핑하는 제1 진공 챔버
    를 더 포함하는, 계측 시스템.
  22. 제9항에 있어서,
    상기 시료와 상기 x-선 검출기 사이의 수집 빔 경로의 상당 부분을 엔벨로핑하는 제1 진공 챔버
    를 더 포함하는, 계측 시스템.
  23. 계측 시스템에 있어서,
    x-선 조명 빔을 발생시키도록 구성된 x-선 조명 소스;
    상기 x-선 조명 빔이 시료의 표면 상의 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 상기 x-선 조명 빔에 대해 위치결정하고, 상기 x-선 조명 빔이 복수의 입사각들로 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 회전축을 중심으로 상기 x-선 조명 빔에 대해 회전시키며, 상기 x-선 조명 빔이 복수의 방위각들로 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 방위각 회전축을 중심으로 회전시키도록 구성된 시료 위치결정 시스템 - 상기 시료의 표면에 수직인 벡터는 상기 계측 시스템에 의한 상기 시료의 측정 동안 중력(gravity)에 의해 상기 시료에 가해지는 중력(gravitational force)의 방향에 수직임 - ;
    주기적인 캘리브레이션 타깃 상의 알려진 범위(known extent)의 하나 이상의 주기적인 구조체 및 상기 하나 이상의 주기적인 구조체와 정렬된 평면에 배치된 하나 이상의 마커를 포함하는 상기 주기적인 캘리브레이션 타깃;
    상기 시료 위치결정 시스템의 일정 범위의 위치들에 걸쳐 투과 플럭스의 양을 검출하도록 구성된 x-선 검출기 ― x-선 조명 빔의 적어도 일 부분은 상기 범위의 위치들에 걸쳐 상기 하나 이상의 주기적인 구조체에 입사함 ―; 및
    상기 검출된 투과 플럭스의 양에 기초하여 상기 시료 위치결정 시스템에 대한 상기 x-선 조명 빔의 입사 위치를 결정하도록 구성된 컴퓨팅 시스템
    을 포함하는, 계측 시스템.
  24. 제23항에 있어서, 상기 범위의 위치들은 일정 범위의 입사각들을 포함하고, 상기 컴퓨팅 시스템은 또한, 상기 검출된 투과 플럭스의 양에 기초하여 상기 x-선 조명 빔에 대한 상기 회전축의 위치의 조정을 결정하도록 구성되는, 계측 시스템.
  25. 제23항에 있어서, 상기 주기적인 캘리브레이션 타깃은 주기성, 배향, 또는 둘 다가 상이한 2개의 주기적인 구조체 사이의 경계 라인을 포함하고, 상기 하나 이상의 마커에 대한 상기 경계 라인의 위치는 200 나노미터 미만의 정확도로 알려져 있는, 계측 시스템.
  26. 제23항에 있어서, 상기 주기적인 캘리브레이션 타깃은 주기성, 배향, 또는 둘 다가 상이한 3개 이상의 주기적인 구조체 사이의 교차 지점(intersection point)을 포함하고, 상기 하나 이상의 마커에 대한 상기 교차 지점의 위치는 200 나노미터 미만의 정확도로 알려져 있는, 계측 시스템.
  27. 제23항에 있어서, 상기 하나 이상의 주기적인 구조체 각각의 높이는 적어도 500 마이크로미터인, 계측 시스템.
  28. 제23항에 있어서, 상기 하나 이상의 주기적인 구조체의 피치는 200 나노미터 미만인, 계측 시스템.
  29. 제23항에 있어서, 상기 하나 이상의 주기적인 구조체는 텅스텐, 텅스텐 카바이드, 또는 백금으로 제조되는, 계측 시스템.
  30. 제23항에 있어서, 상기 주기적인 캘리브레이션 타깃은 상기 시료 위치결정 시스템 또는 상기 시료 상에 배치되는, 계측 시스템.
  31. 방법에 있어서,
    x-선 조명 서브시스템에 의해 x-선 조명 빔을 발생시키는 단계;
    상기 x-선 조명 빔이 시료의 표면 상의 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 상기 x-선 조명 빔에 대해 위치결정하는 단계;
    상기 x-선 조명 빔이 복수의 입사각들로 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 회전축을 중심으로 상기 x-선 조명 빔에 대해 회전시키는 단계;
    상기 x-선 조명 빔이 복수의 방위각들로 임의의 위치에서 상기 시료의 표면에 입사하도록 상기 시료를 방위각 회전축을 중심으로 회전시키는 단계;
    상기 x-선 조명 빔으로 캘리브레이션 타깃을 조명하는 단계 ― 상기 캘리브레이션 타깃은 캘리브레이션 타깃 구조체 및 하나 이상의 마커를 포함하고, 상기 캘리브레이션 타깃 구조체는 원통형 핀을 포함하고, 상기 하나 이상의 마커는 상기 원통형 핀의 중심 축과 정렬된 평면에 배치됨 ―;
    시료 위치결정 시스템의 일정 범위의 위치들에 걸쳐 투과 플럭스의 양을 검출하는 단계 ― 상기 x-선 조명 빔의 적어도 일 부분은 상기 범위의 위치들에 걸쳐 상기 캘리브레이션 타깃에 입사함 ―; 및
    상기 검출된 투과 플럭스의 양에 기초하여 상기 시료 위치결정 시스템에 대한 상기 x-선 조명 빔의 입사 위치를 결정하는 단계
    를 포함하는, 방법.
  32. 제31항에 있어서,
    상기 회전축과 상기 x-선 조명 빔을 정렬시키기 위해 상기 x-선 조명 빔에 대한 상기 회전축의 위치의 조정을 결정하는 단계
    를 더 포함하고, 상기 범위의 위치들은 일정 범위의 입사각들을 포함하는, 방법.
  33. 제32항에 있어서, 상기 x-선 조명 빔에 대한 상기 회전축의 위치의 조정을 결정하는 단계는 상기 검출된 투과 플럭스의 양에 기초하는, 방법.
  34. 제32항에 있어서,
    상기 하나 이상의 마커 또는 복수의 상이한 입사각들로 상기 시료 상에 배치되는 하나 이상의 마커의 적어도 일 부분의 복수의 이미지들을 발생시키는 단계
    를 더 포함하고, 상기 하나 이상의 마커 또는 상기 시료 상에 배치되는 상기 하나 이상의 마커에 대한 상기 회전축의 위치의 오정렬은, 상기 복수의 이미지들에서 측정되는 상기 하나 이상의 마커 또는 상기 시료 상에 배치되는 상기 하나 이상의 마커의 변위에 기초하여 결정되는, 방법.
  35. 제32항에 있어서,
    상기 x-선 조명 빔에 대한 상기 회전축의 위치를 조정하기 위해 상기 x-선 조명 서브시스템의 하나 이상의 요소의 위치를 조정하는 단계
    를 더 포함하는, 방법.
  36. 제32항에 있어서,
    상기 회전축과 상기 x-선 조명 빔을 정렬시키기 위해 상기 x-선 조명 빔에 대한 상기 시료 위치결정 시스템의 위치를 조정하는 단계
    를 더 포함하는, 방법.
  37. 제31항에 있어서, 상기 캘리브레이션 타깃은 알려진 범위의 하나 이상의 주기적인 구조체를 포함하고, 상기 하나 이상의 마커는 상기 하나 이상의 주기적인 구조체와 정렬된 평면에 배치되는, 방법.
  38. 삭제
KR1020197036071A 2017-05-11 2018-05-10 높은 공간 분해능을 가진 x-선 빔의 특성화를 위한 방법 및 시스템 KR102515243B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762505014P 2017-05-11 2017-05-11
US62/505,014 2017-05-11
US15/974,962 2018-05-09
US15/974,962 US11073487B2 (en) 2017-05-11 2018-05-09 Methods and systems for characterization of an x-ray beam with high spatial resolution
PCT/US2018/032141 WO2018209134A1 (en) 2017-05-11 2018-05-10 Methods and systems for characterization of an x-ray beam with high spatial resolution

Publications (2)

Publication Number Publication Date
KR20190141009A KR20190141009A (ko) 2019-12-20
KR102515243B1 true KR102515243B1 (ko) 2023-03-28

Family

ID=64097222

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197036071A KR102515243B1 (ko) 2017-05-11 2018-05-10 높은 공간 분해능을 가진 x-선 빔의 특성화를 위한 방법 및 시스템

Country Status (8)

Country Link
US (1) US11073487B2 (ko)
EP (1) EP3622276A4 (ko)
JP (2) JP7135003B2 (ko)
KR (1) KR102515243B1 (ko)
CN (1) CN110603437A (ko)
IL (1) IL270359B2 (ko)
TW (2) TWI806803B (ko)
WO (1) WO2018209134A1 (ko)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10816486B2 (en) * 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10955459B2 (en) * 2018-06-27 2021-03-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of analyzing semiconductor structure
US11476144B2 (en) 2018-12-03 2022-10-18 Kla Corporation Single cell in-die metrology targets and measurement methods
US11328964B2 (en) 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
CN109490348A (zh) * 2019-01-21 2019-03-19 长沙开元仪器有限公司 Xrf探测器及用于xrf探测器的标样校准装置
WO2020191121A1 (en) * 2019-03-20 2020-09-24 Carl Zeiss Smt Inc. Method for imaging a region of interest of a sample using a tomographic x-ray microscope, microscope, system and computer program
WO2020194986A1 (ja) 2019-03-28 2020-10-01 株式会社リガク 透過型小角散乱装置
CN110082376B (zh) * 2019-05-20 2024-01-30 中国人民大学 一种双列单晶中子分析器单元
US11209373B2 (en) * 2019-06-21 2021-12-28 Kla Corporation Six degree of freedom workpiece stage
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
JP7221536B2 (ja) * 2019-12-27 2023-02-14 株式会社リガク 散乱測定解析方法、散乱測定解析装置、及び散乱測定解析プログラム
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
EP4111181A4 (en) * 2020-02-27 2024-01-03 Shenzhen Xpectvision Technology Co., Ltd. PHASE CONTRAST IMAGING METHOD
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
JP2023543386A (ja) * 2020-08-27 2023-10-16 ノヴァ リミテッド 高スループット光学計測
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11614480B2 (en) 2021-06-08 2023-03-28 Kla Corporation System and method for Z-PAT defect-guided statistical outlier detection of semiconductor reliability failures
DE102021116258A1 (de) * 2021-06-23 2022-12-29 Helmut Fischer GmbH Institut für Elektronik und Messtechnik Messobjekt, Verfahren und Vorrichtung zum Betreiben einer Röntgenquelle
US12085515B2 (en) 2021-08-25 2024-09-10 Kla Corporation Methods and systems for selecting wafer locations to characterize cross-wafer variations based on high-throughput measurement signals
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
US12078604B2 (en) * 2022-09-05 2024-09-03 Bruker Technologies Ltd. Monitoring properties of X-ray beam during X-ray analysis

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060213537A1 (en) 2005-03-23 2006-09-28 Thu Anh To Vertical wafer platform systems and methods for fast wafer cleaning and measurement
US20070176128A1 (en) * 2002-09-20 2007-08-02 Asml Netherlands B.V. Alignment systems and methods for lithographic systems
US20140064445A1 (en) * 2012-09-05 2014-03-06 David Lewis Adler High speed x-ray inspection microscope

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4750141A (en) * 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
JP3655778B2 (ja) 1999-07-23 2005-06-02 株式会社堀場製作所 X線分析装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6882739B2 (en) * 2001-06-19 2005-04-19 Hypernex, Inc. Method and apparatus for rapid grain size analysis of polycrystalline materials
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US7067763B2 (en) 2002-05-17 2006-06-27 Gsi Group Corporation High speed, laser-based marking method and system for producing machine readable marks on workpieces and semiconductor devices with reduced subsurface damage produced thereby
US6842277B2 (en) 2002-07-23 2005-01-11 Nikon Corporation Deformable mirror with high-bandwidth servo for rigid body control
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
JP2004226126A (ja) 2003-01-20 2004-08-12 On Denshi Kk 基板検査装置
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7120228B2 (en) * 2004-09-21 2006-10-10 Jordan Valley Applied Radiation Ltd. Combined X-ray reflectometer and diffractometer
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7481579B2 (en) * 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
JP4994722B2 (ja) 2006-07-07 2012-08-08 株式会社リガク 超小角x線散乱測定の測定結果表示方法、及び超小角x線散乱測定に基づく配向度の解析方法
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
WO2008061186A2 (en) * 2006-11-15 2008-05-22 Zygo Corporation Distance measuring interferometer and encoder metrology systems for use in lithography tools
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
WO2010052840A1 (ja) * 2008-11-05 2010-05-14 株式会社日立ハイテクノロジーズ 校正用標準部材およびその作製方法並びにそれを用いた走査電子顕微鏡
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5631013B2 (ja) * 2010-01-28 2014-11-26 キヤノン株式会社 X線撮像装置
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
JP2012189517A (ja) 2011-03-13 2012-10-04 National Institute Of Advanced Industrial & Technology X線ct装置の校正及び評価用の標準ゲージ、並びに該x線ct装置の校正及び評価用の標準ゲージを用いたx線ct装置の校正方法及び評価方法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
CN105338901B (zh) * 2013-06-28 2019-03-08 皇家飞利浦有限公司 狭缝扫描相位衬度成像中的校正
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9719947B2 (en) * 2013-10-31 2017-08-01 Sigray, Inc. X-ray interferometric imaging system
US9551677B2 (en) * 2014-01-21 2017-01-24 Bruker Jv Israel Ltd. Angle calibration for grazing-incidence X-ray fluorescence (GIXRF)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US20150355098A1 (en) * 2014-05-06 2015-12-10 California Institute Of Technology Rotating scattering plane based nonlinear optical spectrometer to study the crystallographic and electronic symmetries of crystals
US10401309B2 (en) * 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
WO2015176023A1 (en) * 2014-05-15 2015-11-19 Sigray, Inc. X-ray method for measurement, characterization, and analysis of periodic structures
US9606073B2 (en) * 2014-06-22 2017-03-28 Bruker Jv Israel Ltd. X-ray scatterometry apparatus
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
WO2016187623A1 (en) * 2015-05-15 2016-11-24 Sigray, Inc. X-ray techniques using structured illumination
FR3040867A1 (fr) 2015-09-11 2017-03-17 Thales Sa Mire et procede de calibration d'un systeme d'imagerie par rayons x
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070176128A1 (en) * 2002-09-20 2007-08-02 Asml Netherlands B.V. Alignment systems and methods for lithographic systems
US20060213537A1 (en) 2005-03-23 2006-09-28 Thu Anh To Vertical wafer platform systems and methods for fast wafer cleaning and measurement
US20140064445A1 (en) * 2012-09-05 2014-03-06 David Lewis Adler High speed x-ray inspection microscope

Also Published As

Publication number Publication date
TWI806803B (zh) 2023-06-21
EP3622276A1 (en) 2020-03-18
US20180328868A1 (en) 2018-11-15
CN110603437A (zh) 2019-12-20
EP3622276A4 (en) 2021-03-24
JP7135003B2 (ja) 2022-09-12
TWI798221B (zh) 2023-04-11
IL270359B1 (en) 2023-07-01
TW201907155A (zh) 2019-02-16
TW202314238A (zh) 2023-04-01
KR20190141009A (ko) 2019-12-20
JP2022173222A (ja) 2022-11-18
JP7379620B2 (ja) 2023-11-14
JP2020520453A (ja) 2020-07-09
WO2018209134A1 (en) 2018-11-15
US11073487B2 (en) 2021-07-27
IL270359A (ko) 2019-12-31
IL270359B2 (en) 2023-11-01

Similar Documents

Publication Publication Date Title
KR102515243B1 (ko) 높은 공간 분해능을 가진 x-선 빔의 특성화를 위한 방법 및 시스템
KR102303132B1 (ko) 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션
US10816486B2 (en) Multilayer targets for calibration and alignment of X-ray based measurement systems
US10359377B2 (en) Beam shaping slit for small spot size transmission small angle X-ray scatterometry
IL267761A (en) X-ray magnifying lens for measuring small angle X-ray scattering

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant