KR102303132B1 - 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션 - Google Patents

작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션 Download PDF

Info

Publication number
KR102303132B1
KR102303132B1 KR1020197014383A KR20197014383A KR102303132B1 KR 102303132 B1 KR102303132 B1 KR 102303132B1 KR 1020197014383 A KR1020197014383 A KR 1020197014383A KR 20197014383 A KR20197014383 A KR 20197014383A KR 102303132 B1 KR102303132 B1 KR 102303132B1
Authority
KR
South Korea
Prior art keywords
specimen
illumination beam
wafer
occlusion element
ray
Prior art date
Application number
KR1020197014383A
Other languages
English (en)
Other versions
KR20190059990A (ko
Inventor
존 헨치
안토니오 겔리노
니콜라이 아르테미에프
레골로 요셉 에이 디
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Priority to KR1020217021751A priority Critical patent/KR102548653B1/ko
Publication of KR20190059990A publication Critical patent/KR20190059990A/ko
Application granted granted Critical
Publication of KR102303132B1 publication Critical patent/KR102303132B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by using a combination of at least two measurements at least one being a transmission measurement and one a scatter measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/303Accessories, mechanical or electrical features calibrating, standardising
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/067Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators using surface reflection, e.g. grazing incidence mirrors, gratings

Abstract

본 명세서에서는 엑스선 스캐터로메트리 계측 시스템에서 표본에 대한 엑스선 빔 입사 위치를 캘리브레이션하는 방법 및 시스템이 설명된다. 웨이퍼 표면 상의 조명 빔의 정확한 입사 위치는 2 개 이상의 폐색 요소에 의한 조명 빔의 폐색에 기초하여 결정된다. 조명 빔의 중심은 투과 플럭스의 측정된 값 및 각 폐색 요소와 빔의 상호 작용의 모델에 기초하여 결정된다. 입사각의 범위에 걸쳐 웨이퍼를 배향시키는 회전축의 위치는 웨이퍼의 표면과 정렬되고 측정 위치에서 조명 빔과 교차하도록 조정된다. 웨이퍼 표면에 대한 조명 빔의 수직 입사각과 표본 위치 결정 시스템에 의해 측정된 제로 입사각 사이의 정확한 오프셋 값이 결정된다.

Description

작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션
관련 출원에 대한 상호 참조
본 특허 출원은 2016년 10월 21일자로 출원된 미국 가특허출원 번호 제62/411,152호로부터 35 U.S.C. §119 하의 우선권을 주장하고, 그 내용(subject matter)은 그 전체가 본 명세서에 참고로 포함되어 있다.
기술 분야
설명된 실시 예는 엑스선 계측 시스템 및 방법에 관한 것으로, 보다 구체적으로 개선된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 전형적으로 표본(specimen)에 적용되는 일련의 처리 단계에 의해 제조된다. 반도체 디바이스의 다양한 피처 및 다중 구조 레벨은 이러한 처리 단계들에 의해 형성된다. 예를 들어, 무엇보다 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 공정이다. 반도체 제조 공정의 추가 예는 화학 기계적 연마, 에칭, 증착, 및 이온 주입을 포함하지만 이에 한정되지는 않는다. 다수의 반도체 디바이스는 단일 반도체 웨이퍼 상에 제조된 다음, 개별 반도체 디바이스로 분리될 수 있다.
계측 공정은 반도체 제조 공정 중에 다양한 단계에서 사용되어, 웨이퍼 상의 결함을 검출하여 보다 높은 수율을 촉진한다. 스캐터로메트리 및 리플렉토메트리 구현 및 관련 분석 알고리즘을 비롯한 여러 계측 기반 기술은 일반적으로 임계 치수, 막 두께, 조성 및 나노 규모 구조의 기타 파라미터를 특징 짓는데(characterize) 사용된다.
전통적으로, 스캐터로메트리 임계 치수 측정은 박막 및/또는 반복되는 주기적 구조로 이루어진 타겟에 대해 수행된다. 디바이스 제조 동안에 이러한 막과 주기적 구조는 일반적으로 실제 디바이스 기하학적 구조(geometry) 및 재료 구조 또는 중간 설계를 나타낸다. 디바이스(예를 들어, 로직 및 메모리 디바이스)가 보다 작은 나노미터 규모의 치수 쪽으로 이동함에 따라, 특징 묘사(characterization)가 더욱 어려워진다. 복잡한 3 차원 기하학적 구조 및 다양한 물리적 특성을 갖는 재료를 포함하는 디바이스는 특징 묘사의 어려움에 기여한다. 예를 들어, 현대의 메모리 구조는 종종 광학 방사선이 저면 층으로 침투하기 어렵게 만드는 높은 종횡비, 3 차원 구조이다. 적외선 내지 가시 광선을 이용하는 광학 계측 툴은 많은 층의 반투명 재료를 통과할 수 있지만, 좋은 침투 깊이를 제공하는 더 긴 파장은 작은 변칙(anomaly)에 충분한 감도를 제공하지 못한다. 또한, 복잡한 구조(예를 들어, FinFET)를 특징 짓는데 필요한 파라미터의 수가 증가함에 따라, 파라미터 상관 관계(correlation)가 증가하게 된다. 결과적으로, 타겟을 특징 짓는 파라미터는 종종 이용 가능한 측정과 신뢰성 있게 분리될 수 없다.
일 예에서, 스택 내의 교호(alternating) 재료 중 하나로서 폴리실리콘을 이용하는 3D 플래시 디바이스에 대한 침투 문제를 극복하기 위한 시도로서 더 긴 파장(예를 들어, 근적외선)이 사용되었다. 그러나, 3D FLASH의 거울과 같은 구조는 본질적으로 조명이 막 스택으로 더 깊숙이 전파될 때 빛의 세기를 감소시킨다. 이로 인해 감도 손실과 상관 관계가 심화된다. 이 시나리오에서 SCD는 감도가 높고 상관 관계가 낮은 감소된 세트의 계측 치수를 성공적으로 추출할 수 있을 뿐이다.
다른 예에서, 불투명한 고-유전율(high-k) 재료는 현대 반도체 구조에 점점 더 많이 사용되고 있다. 광학 방사선은 종종 이러한 재료로 구성된 층을 관통할 수 없다. 결과적으로 엘립소미터 또는 리플렉토미터와 같은 박막 스캐터로메트리 툴을 사용한 측정은 점차 어려워지고 있다.
이러한 어려움에 대응하여, 보다 복잡한 광학 계측 툴이 개발되었다. 예를 들어, 다중 조명 각, 더 짧은 조명 파장, 더 넓은 범위의 조명 파장 및 반사된 신호로부터의 보다 완전한 정보 획득(예를 들어, 보다 일반적인 반사율 또는 엘립소메트릭 신호 이외에도 다중 뮬러(Mueller) 행렬 요소를 측정)을 갖춘 툴이 개발되었다. 그러나 이러한 접근법은 많은 고급 타겟(예를 들어, 복잡한 3D 구조, 10nm보다 작은 구조, 불투명한 재료를 사용하는 구조)의 측정 및 측정 응용 분야(예를 들어, 라인 에지 거칠기(roughness) 및 라인 폭 거칠기 측정)와 관련된 근본적인 문제를 신뢰성 있게 극복하지 못했다.
원자력 현미경(atomic force microscope, AFM) 및 주사 터널 현미경(scanning-tunneling microscope, STM)은 원자 해상도를 달성할 수 있지만, 이들은 표본의 표면만을 조사(probe)할 수 있다. 또한, AFM 및 STM 현미경은 긴 주사(scanning) 시간이 필요하다. 주사 전자 현미경(SEM)은 중간 해상도 수준을 달성하지만 구조를 충분히 깊이 관통시킬 수는 없다. 따라서 고-종횡비 홀(hole)은 잘 특징 지어지지 않는다. 또한, 표본의 요구되는 충전(charging)은 이미징(imaging) 성능에 악영향을 미친다. 엑스선 리플렉토미터는 또한 높은 종횡비 구조를 측정할 때 유효성을 제한하는 침투 문제로 인해 어려움을 겪는다.
침투 깊이 문제를 극복하기 위해, TEM, SEM 등과 같은 전통적인 이미징 기술이 집속 이온 빔(focused ion beam, FIB) 기계 가공(machining), 이온 밀링(ion milling), 블랭킷 또는 선택적 에칭 등과 같은 파괴적인 샘플 준비 기술과 함께 사용된다. 예를 들어, 투과 전자 현미경(transmission electron microscope, TEM)은 높은 해상도 수준을 달성하고 임의의 깊이를 조사할 수 있지만, TEM은 표본의 파괴적인 섹셔닝(sectioning)을 필요로 한다. 재료 제거 및 측정의 여러 번의 반복은 일반적으로 3 차원 구조 전반에 걸친 중요 계측 파라미터를 측정하는 데 필요한 정보를 제공하다. 그러나 이러한 기술은 샘플 파괴와 긴 처리 시간을 필요로 한다. 이러한 유형의 측정을 완료하는 복잡성 및 시간은 에칭 및 계측 단계의 드리프트로 인해 큰 부정확성을 초래한다. 또한 이러한 기술은 등록 오류를 유발하는 많은 반복을 필요로 한다.
T-SAXS(Transmission, Small-Angle X-Ray Scatterometry) 시스템은 어려운 측정 애플리케이션을 해결할 것으로 기대된다. 현재 T-SAXS 툴은 빔 포밍 슬릿을 사용하여 측정 중인 표본에 입사하는 조명 빔을 형성한다. 빔 발산 쉐이핑 슬릿(beam divergence shaping slit)은 집속 광학기의 전후에 빔 경로 내에 위치하여 빔의 발산 각(divergence angle)을 한정한다. 빔 쉐이핑 슬릿은 웨이퍼 상에 입사하는 빔 스폿의 크기를 한정하기 위해 빔 발산 쉐이핑 슬릿 후에 빔 경로 내에 위치된다. 또한, T-SAXS 측정은 광범위한 입사각에 걸쳐 수행된다. 광범위한 입사각에 걸쳐 측정 중인 계측 타겟에 입사 빔의 위치를 등록하는 것이 신뢰할 수 있는 측정을 보장하기 위해 필요하다.
디바이스 성능을 더욱 향상시키기 위해, 반도체 산업은 측면 스케일링(lateral scaling)보다는 수직 통합(vertical integration)에 계속 초점을 맞추고 있다. 따라서 복잡하고 완전한 3 차원 구조를 정확하게 측정하는 것이 생존력과 지속적인 스케일링 개선을 보장하는 데 중요하다. 미래의 계측 애플리케이션은 점점 더 작은 해상도 요구 사항, 다중 파라미터 상관 관계, 고 종횡비 구조를 포함하여 점점 더 복잡한 기하 구조 및 불투명한 재료의 사용 증가로 인해 계측에 대한 d어려움을 제시한다. 따라서, 개선된 T-SAXS 측정을 위한 방법 및 시스템이 요구된다.
T-SAXS 계측 시스템에서 표본으로의 엑스선 빔 입사의 위치를 캘리브레이션하는 방법 및 시스템이 본 명세서에 설명된다. 반도체 제조 환경에서 실용적인 T-SAXS 측정은 작은 빔 스폿 크기(예를 들어, 유효 조명 스폿에 걸친 50 마이크로미터 미만)를 가진 표본(예를 들어, 반도체 웨이퍼)의 표면에 대한 광범위한 입사각 및 방위각에 걸친 측정을 요구한다. 입사각 및 방위각의 전체 범위에 걸쳐 반도체 웨이퍼의 표면 상의 원하는 타겟 영역 상에 조명 빔을 정확히 위치시키는 캘리브레이션이 본 명세서에 설명된다.
일 양태에서, 웨이퍼 표면의 평면에서 2 차원에서의 조명 빔의 정확한 입사 위치는 조명 빔과 2개 이상의 폐색 요소(occlusion element)와의 상호 작용에 기초하여 결정된다. 조명 빔의 중심은 투과 플럭스의 측정된 값 및 빔과 폐색 요소의 재료 및 기하학적 구조의 상호 작용 모델을 기반으로 결정된다.
또 다른 양태에서, 조명 빔의 입사 위치는 정렬 카메라에 의해 측정된 이미지에 기초하여 웨이퍼 상의 임의의 위치에서 결정된다. 정렬 카메라는 폐색 요소의 피처(예를 들어, 에지 또는 피듀셜(fiducial))에 대한 조명 빔의 상대적 위치를 등록하고, 그 등록을 웨이퍼의 표면 상의 하나 이상의 위치에 전달한다. 또한, 웨이퍼의 표면 상의 리소그래피 피처가 정밀하게 초점이 맞춰질 때까지 정렬 카메라의 초점 위치를 변경함으로써 폐색 요소의 Z-위치에 대한 Z-방향의 웨이퍼의 위치가 측정된다. 초점 위치의 변화는 폐색 요소와 웨이퍼 상의 이미징된 위치 사이의 Z-위치의 차이를 나타내는 것이다.
일부 실시 예에서, 폐색 요소는 나이프 에지(knife edge) 구조이다. 나이프 에지 구조는 전형적으로 텅스텐 카바이드와 같이 얇고 날카로운 고밀도 하이-z(high-z) 재료이며, 캘리브레이션될 위치의 방향에 수직으로 편향된 직선 에지를 갖는다. 또한, 나이프 에지의 표면은 웨이퍼의 표면과 일치한다. 이는 정렬 카메라가 웨이퍼와 동일한 평면에 초점을 맞출 수 있게 하여, 정렬 카메라에 의해 측정된 바와 같이 웨이퍼에서의 측정된 빔 위치와 나이프 에지에서의 기준 빔 위치 사이의 양호한 매칭을 보장한다.
일부 실시 예에서, 폐색 요소는 알려진 직경의 정밀 실린더(precision cylinder)이다. 이들 실시 예에서, 빔의 폐색은 실린더의 반경에 의해 Z-방향으로 오프셋된다. 이들 실시 예에서, 실린더의 중심 축과 일치하고 피듀셜 기준 마크(fiducial reference mark)로 표시된 부가적인 표면은 카메라 프레임이 원통형 폐색 요소의 축 및 반경을 참조하게 하는데 유리하다. 이들 실시 예에서, 원통형 폐색 요소의 중심 축은 웨이퍼의 표면과 일치하고, 캘리브레이션될 위치의 방향에 수직으로 배향된다.
또 다른 양태에서, 입사각 범위에 걸쳐 웨이퍼를 배향시키는 것과 관련된 회전축의 위치는 웨이퍼의 표면과 동일 평면 상에 있도록 정렬되고, 측정 위치에서 조명 빔을 가로질러 입사각의 범위에 걸친 조명 스폿의 과도한 드리프트를 회피한다.
일부 실시 예에서, 회전축의 위치의 캘리브레이션은 조명 빔의 중심을 폐색 요소와 정렬하고 복수의 상이한 입사각에서 투과 플럭스를 측정함으로써 달성된다. 폐색 요소의 겉보기 움직임(apparent motion)은 선택된 폐색 모델을 기반으로 측정된 플럭스로부터 결정된다. 기하학적 모델은 원하는 정렬을 달성하기 위해 폐색 요소의 겉보기 움직임을 스테이지 구성의 조정으로 매핑한다.
일부 다른 실시 예에서, 회전축의 위치의 캘리브레이션은 웨이퍼 스테이지의 웨이퍼 평면과 정렬된 초점면을 갖는 고해상도 엑스선 카메라를 위치시킴으로써 달성된다. 스테이지가 광범위한 입사각에 걸쳐 회전되는 동안, 웨이퍼 평면에서의 조명 스폿의 위치는 고해상도 엑스선 카메라에 의해 측정된다. 입사각의 함수로서 웨이퍼 평면에서의 조명 스폿의 위치의 맵핑은 측정치에 기초하여 생성된다.
일부 다른 실시 예에서, 스테이지 기준 프레임의 회전축의 위치의 캘리브레이션은 높은 회절 효율을 갖는 웨이퍼 상의 작은 타겟의 위치를 찾음으로써 달성된다. 스테이지가 광범위한 입사각에 걸쳐 회전되는 동안, 회절 차수의 세기가 측정된다. 회절 차수의 세기는 입사각의 함수로서 조명 스폿과 타겟 사이의 오정렬을 나타낸다. 입사각의 함수로서 오정렬의 맵핑은 측정치에 기초하여 생성된다.
다른 양태에서, 웨이퍼 표면에 대한 조명 빔의 수직 입사각과 표본 위치 결정 시스템에 의해 측정된 제로 입사각 사이의 AOI 오프셋 값의 정확한 측정치가 결정된다.
일부 실시 예에서, AOI 오프셋 값은 AOI의 범위에 걸친 흡수 측정치에 기초하여 결정된다. 일부 실시 예에서, AOI 오프셋 값은 180도 만큼 분리된 2개의 방위각에서 캘리브레이션 격자로부터 산란된 회절 차수의 측정에 기초하여 결정된다.
다른 양태에서, 조명 빔에 대한 웨이퍼 표면의 제로 방위각과 표본 위치 결정 시스템에 의해 측정된 제로 방위각 사이의 방위각 오프셋 값의 정확한 측정이 결정된다. 또한, 웨이퍼 표면의 중심과 회전 스테이지의 회전 중심 사이의 오프셋 값의 정확한 측정이 결정된다.
또 다른 양태에서, 웨이퍼 좌표와 스테이지 좌표 사이의 방위각 오프셋의 정확한 캘리브레이션은 하나 이상의 방위각에서 알려진 응답 특성을 갖는 캘리브레이션 격자의 측정과 관련된 회절 차수의 위치에 기초한다.
또 다른 양태에서, 검출기는 스테이지에 대해 캘리브레이션되고, 방위각은 원추형 회절에 대한 잘 알려진 공식과 함께 다수의 캘리브레이션된 입사각을 동시에 사용하여 스테이지에 대해 캘리브레이션된다.
일부 실시 예에서, 빔 쉐이핑 슬릿 메카니즘은 각각의 입사각, 방위각 또는 둘 모두에 대해 입사 빔의 프로파일을 최적화하기 위해 표본의 배향과 함께 빔 축 주위로 회전한다. 이러한 방식으로, 빔 형상은 계측 타겟의 형상과 정합된다. 불행하게도, 로터리 액추에이터(rotary actuator)의 불완전성은 빔 쉐이핑 슬릿 메카니즘이 조명 빔의 축을 중심으로 세차 운동(precess)을 하도록 초래한다. 이것은 조명 빔의 입사 위치가 상이한 방위각 및 대응하는 빔 슬릿 각에 대해 드리프트되도록 한다.
또 다른 양태에서, X-Y 스테이지 오프셋들의 캘리브레이션 맵은 방위각 범위 및 대응 빔 슬릿 각에 대한 조명 빔의 입사 위치의 측정치에 기초하여 결정된다.
일부 실시 예에서, 측정은 웨이퍼 표면의 위치에서 초점면을 갖는 엑스선 카메라에 의해 수행된다. 일부 다른 실시 예에서, X-Y 스테이지 오프셋의 캘리브레이션 맵은 방위각 범위 및 대응 빔 슬릿 각에 대한 검출기에 의한 작은 타겟의 측정치에 기초하여 결정된다.
또 다른 양태에서, Z-방향으로 웨이퍼의 표면의 형상은 정렬 카메라, 광 근접 센서, 정전 용량 근접 센서 또는 임의의 다른 적절한 근접 센서 중 임의의 것을 사용하여 맵핑된다.
또 다른 양태에서, Z-액추에이터는 조명 빔(116)의 입사 위치에서 웨이퍼의 표면의 형상에 응답하여 웨이퍼 Z-위치, Rx 배향, Ry 배향 또는 이들의 임의의 조합을 조정하도록 제어된다.
또 다른 추가의 양태에서, Z-액추에이터는 특정 타겟이 방위각의 범위에 걸쳐 정렬 카메라의 초점 내에 유지되도록 방위각에서의 회전 축을 스테이지 기준 프레임에 대해 정렬하기 위하여, 웨이퍼의 Z-위치, Rx 배향, Ry 배향, 또는 이들의 임의의 조합을 조정하도록 제어된다.
전술한 내용은 요약이며, 따라서, 필요에 따라 세부 사항의 단순화, 일반화 및 생략을 포함한다; 결론적으로, 당업자는 요약이 단지 예시적인 것이며 임의의 방식으로 제한하지 않음을 이해할 것이다. 본 명세서에 기재된 디바이스 및/또는 공정의 다른 양태, 진보된 특징 및 이점은 본 명세서에 기재된 비제한적인 상세한 설명에서 명백해질 것이다.
도 1은 본 명세서에 설명된 방법에 따라 다양한 시스템 파라미터의 캘리브레이션을 수행하도록 구성된 계측 시스템(100)을 도시하는 다이어그램이다.
도 2는 하나의 구성에서 빔 쉐이핑 슬릿 메카니즘(120)의 단부도(end view)를 도시한다.
도 3은 다른 구성에서 빔 쉐이핑 슬릿 메카니즘(120)의 단부도를 도시한다.
도 4는 각도 φ 및 θ에 의해 기술된 특정 배향으로 웨이퍼(101) 상에 입사하는 엑스선 조명 빔(116)을 도시한다.
도 5는 조명 빔(116)이 웨이퍼(101) 상에 입사되는 위치로 웨이퍼 스테이지가 이동되는 표본 위치 결정 시스템(140)을 도시한 도면이다.
도 6a는 도 5에 도시된 바와 같이 웨이퍼(101) 상에 입사하는 조명 빔(116)의 평면도를 도시하고, 여기서 회전축(153)은 조명 빔(116)의 웨이퍼(101)와의 입사 지점에서 조명 빔(116)과 교차한다.
도 6b는 도 5에 도시된 바와 같이 웨이퍼(101) 상에 입사하는 조명 빔(116)의 평면도를 도시하고, 여기서 회전축(153)은 Z-방향으로 웨이퍼(101)의 표면과 오정렬된다.
도 6c는 도 5에 도시된 바와 같이 웨이퍼(101) 상에 입사하는 조명 빔(116)의 평면도를 도시하고, 여기서 회전축(153)은 X 방향으로 조명 빔(116)으로부터 오프셋된다.
도 7은 조명 빔(116)이 원통형 핀 요소(151)에 의해 폐색되는 위치로 웨이퍼 스테이지가 이동되는, 표본 위치 결정 시스템(140)을 도시한 도면이다.
도 8은 조명 빔(116)에 대한 폐색 요소의 상대적 위치의 함수로서 측정된 플럭스를 설명하는 플롯(170)을 나타낸다.
도 9a는 제로 방위각에서 T-SAXS 시스템(100)에 의해 측정된 격자 구조(171)를 도시한다.
도 9b는 180도의 방위각에서 T-SAXS 시스템(100)에 의해 측정된 동일한 격자 구조(171)를 도시한다.
도 10은 제로 방위각에서 수행된 측정(172) 및 180도의 방위각에서 수행된 다른 측정(173)에 대하여 검출기(119)에 의해 검출된 하나의 회절 차수의 측정된 세기를 나타내는 플롯을 도시한다.
도 11은 표본(101)으로부터 분리된 진공 환경에 포함된 계측 시스템(100)의 요소를 예시하는 다이어그램이다.
도 12는 본 명세서에 설명된 방법에 따라 T-SAXS 데이터에 기초하여 표본 파라미터 값을 해결(resolve)하도록 구성된 모델 구축 및 분석 엔진(180)을 설명하는 도면이다.
도 13은 본 명세서에 기술된 다수의 입사각 및 방위각에서의 T-SAXS 측정치에 기초하여 입사각 오프셋 값을 캘리브레이션하는 예시적인 방법(200)을 예시하는 흐름도이다.
이제 본 발명의 배경 예 및 일부 실시 예를 상세히 언급할 것이고, 그 예는 첨부된 도면에 도시되어 있다.
T-SAXS 계측 시스템에서 표본으로의 엑스선 빔 입사의 위치를 캘리브레이션하는 방법 및 시스템이 본 명세서에 설명된다. 반도체 제조 환경에서 실용적인 T-SAXS 측정은 작은 빔 스폿 크기(예를 들어, 유효 조명 스폿에 걸쳐 50 마이크로미터 미만)의 표본(예를 들어, 반도체 웨이퍼)의 표면에 대하여 광범위한 입사각 및 방위각에 걸친 측정을 요구한다. 전체 범위의 입사각 및 방위각에 걸쳐 반도체 웨이퍼의 표면 상의 원하는 타겟 영역에 조명 빔을 정확하게 위치시키는 캘리브레이션이 본 명세서에 제시된다.
도 1은 본 명세서에 제시된 예시적인 방법에 따라 표본의 특성을 측정하기 위한 T-SAXS 계측 툴(100)의 실시 예를 도시한다. 도 1에 도시된 바와 같이, 시스템(100)은 조명 빔 스폿에 의해 조명된 표본(101)의 검사 영역(102)에 걸쳐 T-SAXS 측정을 수행하는데 사용될 수 있다.
도시된 실시 예에서, 계측 툴(100)은 T-SAXS 측정에 적합한 엑스선 방사선을 생성하도록 구성된 엑스선 조명원(110)을 포함한다. 일부 실시 예에서, 엑스선 조명원(110)은 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. 일반적으로, 높은 처리량, 인라인(inline) 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 엑스선을 생성할 수 있는 임의의 적합한 고휘도 엑스선 조명원이 T-SAXS 측정을 위한 엑스선 조명을 제공하는 것으로 생각될 수 있다. 일부 실시 예에서, 엑스선 소스는 엑스선 소스가 상이한 선택 가능한 파장으로 엑스선 방사선을 전달할 수 있게 하는 조정가능한 모노크로메이터(tunable monochromator)를 포함한다.
일부 실시 예에서, 15keV보다 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 엑스선 소스는 엑스선 소스가 웨이퍼 기판뿐만 아니라 전체 디바이스를 통과하는 충분한 투과를 허용하는 파장의 광을 공급하도록 보장하기 위해 사용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 애노드 소스, 회전 애노드 소스, 고정식 고체 애노드 소스, 마이크로 포커스 소스, 마이크로 포커스 회전 애노드 소스, 플라즈마 기반 소스 및 역 콤프턴(inverse Compton) 소스 중 임의의 것이 엑스선 조명원(110)으로서 이용될 수 있다. 하나의 예에서, (미국) 캘리포니아주 팔로알토 소재 Lyncean Technologies, Inc.에서 입수할 수 있는 역 콤프턴 소스가 고려될 수 있다. 역 콤프턴 소스는 광자 에너지의 범위에 걸쳐 엑스선을 생성할 수 있다는 추가적인 이점을 가지므로 엑스선 소스가 상이한 선택 가능한 파장에서의 엑스선 방사선을 전달할 수 있게 한다.
예시적인 엑스선 소스는 엑스선 방사선을 자극하기 위해 고체 또는 액체 타겟을 폭격하도록 구성된 전자 빔 소스를 포함한다. 고휘도, 액체 금속 엑스선 조명을 생성하는 방법 및 시스템은 KLA-Tencor Corp.에 2011년 4월 19일에 발행된 미국 특허 제7,929,667호에 개시되어 있으며, 그 전체 내용은 본 명세서에 참고로 포함된다.
엑스선 조명원(110)은 유한 횡방향 치수(즉, 빔 축에 직교하는 제로가 아닌 치수)를 갖는 소스 영역 위로 엑스선 방출을 생성한다. 집속 광학기(111)는 소스 방사선을 표본(101) 상에 위치한 계측 타겟에 초점을 맞춘다. 유한 횡방향 소스 치수는 소스의 에지로부터 오는 광선(117)에 의해 한정된 타겟 상의 유한 스폿 크기(102)를 초래한다. 일부 실시 예에서, 집속 광학기(111)는 타원 형상의 집속 광학 요소를 포함한다.
빔 발산 제어 슬릿(112)은 집속 광학기(111)와 빔 쉐이핑 슬릿 메카니즘(120) 사이의 빔 경로에 위치된다. 빔 발산 제어 슬릿(112)은 측정 중인 표본에 제공된 조명의 발산을 제한한다. 부가적인 중간 슬릿(113)은 빔 발산 제어 슬릿(112)과 빔 쉐이핑 슬릿 메카니즘(120) 사이의 빔 경로에 위치된다. 중간 슬릿(113)은 추가적인 빔 쉐이핑을 제공한다. 그러나, 일반적으로 중간 슬릿(113)은 선택적이다.
빔 쉐이핑 슬릿 메카니즘(120)은 표본(101) 직전에 빔 경로에 위치된다. 일 양태에서, 빔 쉐이핑 슬릿 메카니즘(120)의 슬릿은 표본(101)에 근접하여 위치하여, 유한 소스 크기에 의해 정의된 빔 발산으로 인한 입사 빔 스폿 크기의 확대를 최소화한다. 일 예에서, 유한 소스 크기에 의해 생성된 그림자로 인한 빔 스폿 크기의 확장은 10 마이크로미터 엑스선 소스 크기에 대해 약 1 마이크로미터이고 빔 쉐이핑 슬릿과 표본(101) 사이에 25 밀리미터의 거리이다.
일부 실시 예에서, 빔 쉐이핑 슬릿 메카니즘(120)은 다수의 독립적으로 작동되는 빔 쉐이핑 슬릿(즉, 블레이드)을 포함한다. 일 실시 예에서, 빔 쉐이핑 슬릿 메카니즘(120)은 4개의 독립적으로 작동되는 빔 쉐이핑 슬릿을 포함한다. 이러한 4 개의 빔 쉐이핑 슬릿은 입사 빔(115)의 일부를 효과적으로 차단하고 박스 형상의 조명 단면을 갖는 조명 빔(116)을 생성한다.
도 2 및 도 3은 도 1에 도시된 빔 쉐이핑 슬릿 메카니즘(120)의 단부도를 2가지 상이한 구성으로 도시한다. 도 2 및 도 3에 도시된 바와 같이, 빔 축은 도면 페이지에 수직이다. 도 2에 도시된 바와 같이, 입사 빔(115)은 큰 단면을 갖는다. 일부 실시 예에서, 입사 빔(115)은 대략 1 밀리미터의 직경을 갖는다. 또한, 빔 쉐이핑 슬릿(126-129) 내의 입사 빔(115)의 위치는 빔 포인팅 에러로 인해 약 3 밀리미터의 불확실성을 가질 수 있다. 입사 빔의 크기 및 빔 위치의 불확실성을 수용하기 위해, 각 슬릿은 약 6 밀리미터의 길이(L)를 갖는다. 도 2에 도시된 바와 같이, 각각의 슬릿은 빔 축에 수직인 방향으로 이동 가능하다. 도 2에 도시된 바와 같이, 슬릿(126-129)은 빔 축으로부터 최대 거리에 위치된다(즉, 슬릿은 완전히 개방되어 있으며 빔 쉐이핑 슬릿 메카니즘(120)를 통과하는 광을 제한하지 않는다).
도 3은 입사하는 빔(115)의 일부를 차단하는 위치에서 빔 쉐이핑 슬릿 메카니즘(120)의 슬릿(126-129)을 도시하여, 측정 중인 표본에 전달된 출사 빔(116)이 크기 및 잘 정의된 형상을 감소시켰다. 도 3에 도시된 바와 같이, 슬릿(126 내지 129) 각각은 원하는 출력 빔 형상을 달성하기 위해 빔 축을 향해 안쪽으로 이동하였다.
슬릿(126-129)은 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 재료로 구성된다. 예시적인 재료는 게르마늄, 갈륨 비소, 인듐 인 등의 단결정 재료를 포함한다. 전형적으로, 슬릿 재료는 구조적 경계를 가로 질러 산란을 최소화하기 위해 톱니 모양이 아닌 결정학적 방향을 따라 쪼개진다(cleaved). 또한, 슬릿은 입사하는 방사선과 슬릿 재료의 내부 구조 사이의 상호 작용이 최소량의 산란을 생성하도록 입사 빔에 대해 배향된다. 결정은 슬릿의 일측에서 엑스선 빔을 완전히 차단하기 위해 고밀도 재료(예를 들어, 텅스텐)로 만들어진 각각의 슬릿 홀더에 부착된다. 일부 실시 예에서, 각각의 슬릿은 폭이 약 0.5 밀리미터이고 높이가 약 1-2 밀리미터인 직사각형 단면을 갖는다. 도 2에 도시된 바와 같이, 슬릿의 길이(L)는 약 6 밀리미터이다.
일반적으로, 엑스선 광학기는 엑스선 방사선을 쉐이핑하고 표본(101)으로 지향시킨다. 일부 예에서, 엑스선 광학기는 표본(101)에 입사하는 엑스선 빔을 단색화하는 엑스선 단색화기를 포함한다. 일부 예에서, 엑스선 광학기는 다층 엑스선 광학기를 사용하여 1 밀리라디안 발산보다 작게 표본(101)의 측정 영역(102) 상으로 엑스선 빔을 콜리메이션하거나 초점을 맞춘다. 이러한 예에서, 다층 엑스선 광학기는 빔 단색화기로도 기능하다. 일부 실시 예에서, 엑스선 광학기는 하나 이상의 엑스선 콜리메이팅 거울, 엑스선 애퍼처, 엑스선 빔 스톱, 굴절 엑스선 광학기, 구역 플레이트(zone plate)와 같은 회절 광학기, 몬텔(Montel) 광학기, 그레이징(grazing) 입사 타원형 거울과 같은 정반사 엑스선 광학기, 빈(hollow) 카필러리 (capillary) 엑스선 도파관과 같은 폴리카필러리(polycapillary) 광학기, 다층 광학기 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 더 상세한 내용은 미국 특허 공개 번호 제2015/0110249호에 기재되어 있으며, 그 내용은 그 전체가 본 명세서에 참고로 포함된다.
엑스선 검출기(119)는 표본(101)으로부터 산란된 엑스선 방사선(114)을 수집하고, T-SAXS 측정 방식에 따라 입사 엑스선 방사선에 민감한 표본(101)의 특성을 나타내는 출력 신호(135)를 생성한다. 일부 실시 예에서, 산란된 엑스선(114)는 엑스선 검출기(119)에 의해 수집되는 반면, 표본 위치 결정 시스템(140)은 표본(101)를 위치시키고 배향시켜, 각도 분해된(angularly resolved) 산란된 엑스선을 생성한다.
일부 실시 예에서, T-SAXS 시스템은 높은 동적 범위(예를 들어, 105 초과)를 갖는 하나 이상의 광자 계수(counting) 검출기를 포함한다. 일부 실시 예에서, 단일 광자 계수 검출기는 검출된 광자의 위치 및 수를 검출한다.
일부 실시 예에서, 엑스선 검출기는 하나 이상의 엑스선 광자 에너지를 분해하고, 표본의 특성을 나타내는 각각의 엑스선 에너지 성분에 대한 신호를 생성한다. 일부 실시 예에서, 엑스선 검출기(119)는 CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기, 가스 충전 비례 계수기, 신틸레이터(scintillator) 또는 형광 재료 중 임의의 것을 포함한다.
이러한 방식으로, 검출기 내의 엑스선 광자 상호 작용은 픽셀 위치 및 카운트 수 이외에 에너지에 의해 식별된다. 일부 실시 예에서, 엑스선 광자 상호 작용은 엑스선 광자 상호 작용의 에너지를 미리 결정된 상위(upper) 임계 값 및 미리 결정된 하위(lower) 임계 값과 비교함으로써 식별된다. 일 실시 예에서, 이 정보는 추가 처리 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 전달된다.
또 다른 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 표본의 특성(예를 들어, 구조 파라미터 값)을 결정하는데 이용된다. 도 1에 도시된 바와 같이, 계측 툴(100)은 검출기(119)에 의해 생성된 신호(135)를 획득하고 획득된 신호에 적어도 부분적으로 기초하여 표본의 특성을 결정하기 위해 이용되는 컴퓨팅 시스템(130)을 포함한다.
일부 예에서, T-SAXS에 기초한 계측은 측정된 데이터를 갖는 미리 결정된 측정 모델의 역해(inverse solution)에 의해 샘플의 치수를 결정하는 것을 포함한다. 측정 모델은 몇 가지(10개 정도의) 조정 가능한 파라미터를 포함하며, 표본의 기하학적 구조 및 광학 특성과 측정 시스템의 광학 특성을 나타낸다. 역해의 방법은 모델 기반 회귀(regression), 단층 촬영(tomography), 머신 러닝 또는 이들의 임의의 조합을 포함하지만 이에 한정되지는 않는다. 이러한 방식으로, 타겟 프로파일 파라미터는 측정된 산란 엑스선 세기와 모델링된 결과 간의 오차를 최소화하는 파라미터화된 측정 모델의 값을 구함(resolve)으로써 추정된다.
측정된 파라미터 값의 정밀도 및 정확도를 증가시키기 위하여, 광범위한 입사각 및 방위각에서 측정을 수행하는 것이 바람직하다. 이 접근법은 분석을 위해 사용 가능한 데이터 세트의 수와 다양성(diversity)을 확장하여 다양한 광각도(large angle), 평면 외(out of plane) 배향을 포함함으로써 파라미터 간의 상관 관계를 감소시킨다. 예를 들어, 수직(normal) 배향에서 T-SAXS는 피처의 임계 치수를 구할 수 있지만 피처의 측벽 각도 및 높이에 크게 영향을 받지 않는다. 그러나 광범위한 평면 외 각도 방향에 걸쳐 측정 데이터를 수집함으로써, 피처의 측벽 각도와 높이를 구할 수 있다. 다른 예에서, 광범위한 입사각 및 방위각에서 수행된 측정은 전체 깊이를 통해 높은 종횡비 구조를 특징 짓기에 충분한 해상도 및 침투 깊이를 제공한다.
웨이퍼 표면 법선(surface normal)에 대한 엑스선 입사각의 함수로서 회절된 방사선의 세기의 측정치가 수집된다. 다중 회절 차수에 포함된 정보는 일반적으로 고려 중인 각각의 모델 파라미터 사이에서 고유하다. 따라서, 엑스선 산란은 작은 오차 및 감소된 파라미터 상관 관계를 갖는 관심 파라미터의 값에 대한 추정 결과를 산출한다.
반도체 웨이퍼(101)의 표면 법선에 대한 조명 엑스선 빔(116)의 각각의 배향은 엑스선 조명 빔(115)에 대한 웨이퍼(101)의 임의의 두가지 각도 회전에 의해 설명되거나 그 역도 성립한다. 일 예에서, 배향은 웨이퍼에 고정된 좌표계에 대해 설명될 수 있다. 도 4는 입사각(θ) 및 방위각(φ)에 의해 설명된 특정 배향으로 웨이퍼(101) 상에 입사하는 엑스선 조명 빔(116)을 나타낸다. 좌표 프레임 XYZ는 계측 시스템(예를 들어, 조명 빔(116))에 고정되고, 좌표 프레임 X'Y'Z'는 웨이퍼(101)에 고정된다. Y 축은 웨이퍼(101)의 표면과 평면으로 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z'는 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X' 및 Y'는 웨이퍼(101)의 표면과 정렬된 평면에 있게 된다. 도 4에 도시된 바와 같이, 엑스선 조명 빔(116)은 Z 축과 정렬되고 따라서 XZ 평면 내에 놓인다. 입사각(θ)은 XZ 평면에서 웨이퍼의 표면 법선에 대한 엑스선 조명 빔(116)의 배향을 기술한다. 또한 방위각(φ)은 X'Z'평면에 대한 XZ 평면의 배향을 기술한다. θ 및 φ는 함께 웨이퍼(101)의 표면에 대한 엑스선 조명 빔(116)의 배향을 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 엑스선 조명 빔의 배향은 웨이퍼(101)의 표면에 수직인 축(즉, Z' 축)을 중심으로 한 회전 및 웨이퍼(101)의 표면과 정렬된 축(즉, Y 축)을 중심으로 한 회전에 의해 기술될 수 있다. 일부 다른 예들에서, 웨이퍼(101)의 표면에 대한 엑스선 조명 빔의 배향은 웨이퍼(101)의 표면과 정렬된 제1 축 및 제1 축에 수직이고 웨이퍼(101)의 표면과 정렬된 다른 축을 중심으로 한 회전에 의해 기술된다.
도 1에 도시된 바와 같이, 계측 툴(100)은 조명 빔(116)에 대한 광범위한 입사각 및 방위각에 걸쳐 표본(101)을 정렬하고 표본(101)을 배향시키도록 구성된 표본 위치 결정 시스템(140)을 포함한다. 일부 실시 예에서, 표본 위치 결정 시스템(140)은 표본(101)의 표면과 평면 내에 정렬된 광범위한 회전각(예를 들어, 적어도 60도)에 걸쳐 표본(101)을 회전시키도록 구성된다. 이러한 방식으로, 표본(101)의 각도 분해된 측정치는, 표본(101)의 표면 상의 임의의 수의 위치 및 배향에 걸쳐 계측 시스템(100)에 의해 수집된다. 일 예에서, 컴퓨팅 시스템(130)은 표본(101)의 원하는 위치를 나타내는 명령(command) 신호(미도시)를 표본 위치 결정 시스템(140)에 전달한다. 이에 응답하여, 표본 위치 결정 시스템(140)은 표본(101)의 원하는 위치 결정을 달성하기 위해 표본 위치 결정 시스템(140)의 다양한 액추에이터로의 명령 신호를 생성한다.
도 5는 일 실시 예에서 표본 위치 결정 시스템(140)을 나타낸다. 도 5에 도시된 바와 같이, 표본 위치 결정 시스템(140)은 베이스 프레임(141), 측 방향 정렬 스테이지(142), 스테이지 기준 프레임(143) 및 웨이퍼 스테이지(144)를 포함한다. 기준 목적을 위해, {XBF, YBF, ZBF} 좌표 프레임이 베이스 프레임(141)에 부착되고, {XNF, YNF, ZNF} 좌표 프레임은 측 방향 정렬 스테이지(142)에 부착되고, {XRF, YRF, ZRF} 좌표 프레임은 스테이지 기준 프레임(143)에 부착되며, {XSF, YSF, ZSF} 좌표 프레임은 웨이퍼 스테이지(144)에 부착된다. 웨이퍼(101)는 액추에이터(150A-C)를 포함하는 팁-틸트-Z 스테이지(156)에 의해 웨이퍼 스테이지(144) 상에 지지된다. 팁-틸트-Z 스테이지(156)에 장착된 로터리 스테이지(158)는 조명 빔(116)에 대한 방위각(φ)의 범위에 걸쳐 웨이퍼(101)를 배향시킨다. 도시된 실시 예에서, 3개의 선형 액추에이터(150A-C)가 웨이퍼 스테이지(144)에 장착되고 로터리 스테이지(158)를 지지하며, 이는 결국 웨이퍼(101)를 지지한다.
액추에이터(145)는 XBF 축을 따라 베이스 프레임(141)에 대하여 측 방향 정렬 스테이지(142)를 병진시킨다(translate). 로터리 액추에이터(146)는 YNF 축과 정렬된 회전축(153)을 중심으로 측 방향 정렬 스테이지(142)에 대해 스테이지 기준 프레임(143)을 회전시킨다. 로터리 액추에이터(146)는 조명 빔(116)에 대해 입사각(θ)의 범위에 걸쳐 웨이퍼(101)를 배향시킨다. 웨이퍼 스테이지 액추에이터(147 및 148)는 각각 XRF 및 YRF 축을 따라 스테이지 기준 프레임(143)에 대하여 웨이퍼 스테이지(144)를 병진시킨다. 액추에이터(150A-C)는 협조하여 동작하여, ZSF 방향으로 웨이퍼 스테이지(144)에 대해 회전 스테이지(158) 및 웨이퍼(101)를 병진시키고, XSF-YSF 평면과 같은 평면에 있는 축을 중심으로 웨이퍼 스테이지(144)에 대하여 로터리 스테이지(158) 및 웨이퍼(101)를 기울인다(tip and tilt). 로터리 스테이지(158)는 웨이퍼(101)의 표면에 수직인 축을 중심으로 웨이퍼(101)를 회전시킨다.
요약하면, 웨이퍼 스테이지(144)는 조명 빔(116)이 웨이퍼(101)의 표면 상의 임의의 위치(즉, XRF 및 YRF 방향으로 적어도 300 밀리미터 범위)에 입사될 수 있도록 조명 빔(116)에 대하여 웨이퍼(101)를 이동할 수 있다. 로터리 액추에이터(146)는 조명 빔(116)이 임의의 광범위한 입사각(예를 들어, 2도 초과)으로 웨이퍼(101)의 표면에 입사될 수 있도록, 조명 빔(116)에 대해 스테이지 기준 프레임(143)을 회전시킬 수 있다. 일 실시 예에서, 로터리 액추에이터(146)는 적어도 60도의 범위에 걸쳐 스테이지 기준 프레임(143)을 회전시키도록 구성된다. 웨이퍼 스테이지(144)에 장착된 회전 스테이지(158)는 조명 빔(116)이 임의의 광범위한 방위각(예를 들어, 적어도 90도의 회전 범위)으로 웨이퍼(101)의 표면에 입사될 수 있도록, 조명 빔(116)에 대해 웨이퍼(101)를 회전시킬 수 있다.
일부 다른 실시 예에서, 측 방향 정렬 스테이지(142)는 제거되고 스테이지 기준 프레임(143)은 로터리 액추에이터(146)에 의해 베이스 프레임(141)에 대해 회전된다. 이들 실시 예에서, 엑스선 조명 시스템은 엑스선 조명 빔(116)이 베이스 프레임(141)에 대해 예를 들어 XBF 방향으로 이동하게 하는 엑스선 조명 시스템의 더 많은 광학 요소를 움직이는 하나 이상의 액추에이터를 포함한다. 이들 실시 예에서, 본 명세서에 설명된 바와 같은 캘리브레이션의 목적을 위해 스테이지 기준 프레임(143)의 이동은 엑스선 조명 시스템의 하나 이상의 광학 요소의 이동으로 대체되어, 엑스선 조명 빔을 예를 들어 회전축(153)에 대하여 원하는 위치로 이동시킨다.
도 5에 도시된 실시 예와 같은 일부 실시 예에서, 표본 위치 결정 시스템은 조명 빔의 입사 위치를 캘리브레이션하고 조명 빔의 웨이퍼와의 입사 지점에서 조명 빔에 대해 스테이지 기준 프레임의 회전축을 정렬시키는 데 사용되는 적어도 하나의 빔 폐색 요소 및 정렬 카메라를 포함한다. 폐색 요소(들)는 측정 중인 웨이퍼의 표면과 동일 평면상의 웨이퍼 스테이지에 장착된다. 정렬 카메라는 스테이지 기준 프레임에 장착되어, 따라서 스테이지 기준 프레임과 함께 회전한다.
도 5에 도시된 실시 예에서, 폐색 요소는 원통형 핀 형상 요소(151, 152)의 중심 축이 웨이퍼(101)의 표면과 대략 동일 평면이 되도록, 웨이퍼 스테이지(144)에 장착된 원통형 핀 형상 요소(151, 152)이다. 도 5에 도시된 바와 같이, 원통형 핀 요소(151)는 YNF 축과 대략 평행하게 정렬된 중심 축을 포함하고, 원통형 핀 요소(152)는 XRF 축과 대략 평행하게 정렬된 중심 축을 포함한다. 빔 슬릿과 유사하게 원통형 핀은 충돌하는 엑스선의 많은 부분을 흡수함으로써 빔을 폐색한다.
표본 위치 결정 시스템(140)은 또한 스테이지 기준 프레임(143)에 장착된 정렬 카메라(154)를 포함한다. 정렬 카메라(154)는 시야 내에 웨이퍼(101)와 같은 물체의 고해상도 이미지를 생성하도록 구성된다. 정렬 카메라(154)는 또한 카메라의 초점을 측정된 거리만큼 정확하게 이동시킴으로써 선명한 이미지 초점을 유지하는 오토 포커스(auto-focus) 메카니즘을 포함한다. 이러한 방식으로, 정렬 카메라(154)는 카메라의 초점의 z-변위를 모니터링함으로써 카메라 본체가 장착된 스테이지 기준 프레임과 웨이퍼(101) 또는 카메라에 의해 이미징된 원통형 핀 요소(151, 152) 간의 상대적 거리를 측정하는데 사용될 수 있다.
일 양태에서, 웨이퍼의 표면의 평면에서 2차원에서의 조명 빔의 정확한 입사 위치는 조명 빔과 2개 이상의 폐색 요소와의 상호 작용에 기초하여 결정된다.
도 7은 원통형 핀 요소(151)에 의해 조명 빔(116)이 폐색된 위치로 웨이퍼 스테이지가 이동된 표본 위치 결정 시스템(140)을 설명하는 도면이다. 원통형 핀(151)에 대한 조명 빔의 정확한 입사 위치가 조명 빔(116)에 대한 원통형 핀(151)(즉, 베이스 프레임(141))의 X 위치의 함수로서 검출기(119)에 의해 측정된 투과 플럭스에 기초하여 결정된다. 도 7에 도시된 바와 같이, 원통형 핀(151)이 양의 X 방향(XBF 방향)으로 이동함에 따라, 점점 더 많은 조명 빔(116)이 원통형 핀(151)에 의해 폐색된다. 결과적으로 더 적은 광자가 검출기(119)에 도달한다. 그러나, 원통형 핀(151)이 음의 X 방향(XBF 반대)으로 이동되면, 점점 더 적은 조명 빔(116)이 원통형 핀(151)에 의해 폐색된다. 검출기(119)는 X 위치의 함수로서 측정된 플럭스를 나타내는 신호(155)를 생성하고, 조명 빔(116)의 중심에 대응하는 원통형 핀의 위치를 식별하기 위하여 결과가 분석된다.
도 8은 조명 빔(116)에 대한 원통형 핀 또는 대안적으로 나이프 에지의 상대적 위치의 함수로서 측정된 플럭스를 설명하는 플롯(170)을 도시한다. 측정된 플럭스(155)와 상대적 위치 간의 도시된 관계는 시그모이드(sigmoid) 함수이다.
일부 예에서, 빔 중심은 측정된 플럭스가 최소 플럭스 값(FMIN)과 최대 플럭스 값(FMAX)의 중간에 있는 조명 빔에 대한 원통형 핀의 상대적 위치인 것으로 결정된다. 그러나, 일부 다른 예에서, 빔 중심은 측정된 플럭스의 범위의 중간과 상이한 다른 플럭스 값에서 결정될 수 있다. 일부 예에서, 보다 정확한 관계는 원통형 핀 또는 나이프 에지의 재료 및 기하학적 구조와 빔의 상호 작용을 모델링함으로써 결정된다. 이들 예에서, 모델링된 상호 작용은 측정된 투과 플럭스와 비교되고, 피팅(fitting) 알고리즘은 측정된 결과의 모델로의 피팅에 기초하여 빔 중심과 정렬되는 조명 빔에 대한 원통형 핀 또는 나이프 에지의 상대적 위치를 결정하는데 사용된다.
일 예에서, 조명 빔(116)의 중심에 대한 원통형 핀(151)의 현재 위치와 빔 중심과 일치하는 원통형 핀(151)의 위치 사이의 거리(ΔX)의 추정치는 측정된 플럭스(FMEAS), 플럭스의 중간 점(FMID) 및 수학식 1에 의해 설명된 바와 같은 원통형 핀 위치의 함수로서 측정된 플럭스의 도함수의 역함수에 기초한다.
Figure 112019051035008-pct00001
FMID는 수학식 2에 의해 설명된다.
Figure 112019051035008-pct00002
측정된 플럭스의 최대 및 최소값은 투과 플럭스를 측정하면서 웨이퍼 스테이지를 주사함으로써 측정될 수 있다. 또한, 중간 지점의 기울기도 추정할 수 있다. 이러한 양에 기초하여, 원통형 핀의 중심 위치에서의 변화의 추정치는 단순히 한 위치에서 플럭스를 측정함으로써 수학식 1에 따라 결정된다. 필요하다면, 중심 위치에서의 변화는 중심 위치에서 수렴하도록 반복적으로 결정될 수 있다.
빔은 두 방향(예를 들어, X 및 Y 방향)으로 중심(centroid) 성분을 가지기 때문에, 중심 성분의 방향에 수직으로 각각 배향된 두 개의 원통형 핀이 측정된다. 도 7에 도시된 실시 예에서, 원통형 핀(151)은 X 방향으로 스테이지 기준 프레임에 대해 빔 중심을 위치시키는데 사용되고, 원통형 핀(152)은 Y 방향으로 스테이지 기준 프레임에 대해 빔 중심을 위치시키는데 사용된다. 일반적으로, 2개가 넘는 원통형 핀이 이용되어, 리던던시를 생성하고 빔 위치의 캘리브레이션의 정확성을 증가시킬 수 있다.
또 다른 양태에 있어서, 조명 빔의 입사 위치는 정렬 카메라에 의해 측정된 이미지에 기초하여 웨이퍼 상의 임의의 위치에서 결정된다. 도 7에 도시된 바와 같이, 조명 빔(116)의 중심은 전술한 바와 같이 수직 및 수평으로 배향된 원통형 핀(151, 152)과 정렬된다. 도 7에 도시된 실시 예에서, 피듀셜 마크(157)는 원통형 핀(151)의 중심 축과 동일 평면 상에 위치된다. 마찬가지로, 피듀셜 마크는 원통형 핀(152)의 중심 축과 동일 평면 상에 위치된다. 원통형 핀(151)과의 빔 중심 정렬의 위치에서, 원통형 핀(151)에 대한 조명 빔(116)의 위치 또는 원통형 핀에서 또는 그 근처에서의 피듀셜(157)은 정렬 카메라(154)에 의해 기록된다. 이것은 (초점 위치의 변화가 없다고 가정하여) 정렬 카메라의 시야 내의 정확한 위치에 대한 조명 빔의 상대적 위치를 기록한다. 도 5에 도시된 바와 같이, 웨이퍼(101)는 정렬 카메라(154)의 시야 내에서 이동된다. 웨이퍼(101)는 웨이퍼상의 원하는 위치(예를 들어, 피듀셜 마크)가 정렬 카메라(154)의 시야 내에 이미징되도록 이동된다. 원하는 위치에 대한 조명 빔(116)위 위치는 이전의 등록에 기초하여 정렬 카메라(154)에 의해 결정된다. 이러한 방식으로, 정렬 카메라(154)에 의해 수집된 이미지에 기초하여, X 방향 및 Y 방향에서 웨이퍼(101) 상의 조명 빔(116)의 위치가 신속하게 추정된다. 유사하게, 원통형 핀(151)의 Z-위치에 대한 Z-방향에서 웨이퍼의 위치는 웨이퍼(101)의 표면 상의 리소그래피 피처가 정확하게 초점이 맞춰질 때까지 정렬 카메라(154)의 초점 위치를 변경함으로써 측정된다. 초점 위치 변경은 원통형 핀과 웨이퍼 상의 이미징된 위치 사이의 Z-위치의 차이를 나타내는 것이다. 액추에이터(150A-C)가 사용되어, 이미징된 위치를 원통형 핀(예를 들어, 피듀셜(157))과의 평면에 있도록 위치 변경(reposition)하기 위해 웨이퍼(101)를 Z 방향으로 위치 변경할 수 있다.
또 다른 양태에 있어서, 조명 빔의 입사 위치는 웨이퍼 스테이지 좌표에 기초하여 웨이퍼 상의 임의의 위치에서 결정된다. 조명 빔의 중심이 수직 및 수평 원통형 핀과 정렬되고, 원통형 핀에 대한 조명 빔의 위치 또는 나이프 에지에서 또는 그 근처에서 피듀셜 마크가 전술한 바와 같이 정렬 카메라에 의해 기록되며, 조명 빔의 입사 위치는 스테이지 좌표로 전환(transfer)될 수 있다. 도 5에 도시된 바와 같이, 웨이퍼(101)는 정렬 카메라(154)의 시야 내에서 이동된다. 웨이퍼(101)의 이동은 웨이퍼 스테이지(144)의 위치 측정 시스템(예를 들어, 선형 인코더 등)에 의해 측정된다. 정렬 카메라(154)의 시야 내에 이미징된 웨이퍼 상의 2개 이상의 원하는 위치들(예를 들어, 피듀셜 마크)로 웨이퍼(101)를 이동함으로써, 원하는 위치에 대한 조명 빔의 위치는 스테이지 좌표 내의 웨이퍼의 위치와 함께 각각의 원하는 위치에서 결정된다. 3개 이상의 위치에서의 조명 빔 및 스테이지 좌표의 알려진 위치에 기초하여, 스테이지 좌표를 조명 빔의 입사 위치와 관련시키는 맵이 생성된다.
원통형 핀(151)을 (X 방향으로) 조명 빔(116)의 중심에 위치시킨 후에, 정렬 카메라(154)는 원통형 핀 자체의 위치 또는 원통형 핀 상에 또는 그 근처에 위치된 피듀셜 마크를 이미징하여, 정렬 카메라(154)의 시야 내에서 빔 위치 및 이미지 위치간의 관계를 설정한다. 정렬 카메라(154)가 스테이지 기준 프레임(143)에 대해 고정되거나 반복 가능한 위치에 위치되기 때문에, 이미지는 스테이지 기준 프레임(143)에 대한 조명 빔의 위치를 등록하고, 따라서 X 방향으로의 빔 위치의 기준으로서 작용한다. 또한, 정렬 카메라(154)는 스테이지 기준 프레임(143)에 대해 원통형 핀의 정확한 Z 위치를 설정하기 위해, 원통형 핀 자체 또는 피듀셜 마크의 정확한 초점 위치를 설정한다. 정렬 카메라(154)는 스테이지 기준 프레임과 함께 회전하므로, 정렬 카메라(154)의 초점 위치는 스테이지 기준 프레임에 대한 원통형 핀의 Z-위치에 대한 기준으로서 작용한다.
일부 실시 예에서, 폐색 요소는 나이프 에지 구조이다. 나이프 에지 구조는 전형적으로 텅스텐 카바이드와 같이 얇고 날카로운 고밀도 하이-z(high-z) 재료이며, 캘리브레이션될 위치의 방향에 수직으로 배향된 직선 에지를 갖는다. 또한, 나이프 에지의 에지는 웨이퍼의 표면과 일치한다. 이는 정렬 카메라(154)가 웨이퍼와 동일한 평면에 초점을 맞출 수 있게 하여, 웨이퍼에서의 측정된 빔 위치와 정렬 카메라에 의해 측정된 나이프 에지에서의 기준 빔 위치 사이의 양호한 정합을 보장한다.
일부 실시 예에서, 폐색 요소는 전술한 바와 같이 알려진 직경의 정밀 실린더이다. 이들 실시 예에서, 빔의 폐색은 실린더의 반경에 의해 Z-방향으로 오프셋된다. 이들 실시 예에서, 실린더의 중심 축과 일치하고 피듀셜 기준 마크(예를 들어, 피듀셜(157))로 마킹된 부가적인 표면은 카메라 프레임이 원통형 폐색 요소의 축 및 반경을 참조하게 하는데 유리하다. 이들 실시 예에서, 원통형 폐색 요소의 중심 축은 웨이퍼의 표면과 일치하고 전술한 바와 같이 캘리브레이션될 위치의 방향에 수직으로 배향된다.
일반적으로, 폐색 요소는 선형으로 연장된 형상일 수 있다. 일부 예에서, 폐색 요소는 다각형의 중심 축을 따라 연장된 다각형 단면을 갖는다. 일부 예에서, 폐색 요소는 폐색 요소의 중심 축에 평행한 방향으로 연장되는 하나 이상의 평평한 표면을 포함한다.
폐색된 플럭스가 빔 입사 위치를 추정하는데 이용되기 때문에, 조명 빔 내의 플럭스의 변화가 위치의 시프트로서 해석될 위험이 있다. 일부 실시 예에서, 조명 빔의 플럭스는 나이프 에지 측정 직전, 직후 또는 동시에 측정된다. 조명 플럭스의 변동은 측정된 플럭스(155)의 분석에서 보상되어 측정에 대한 영향을 제거한다.
측정 무결성을 보장하기 위해, 광범위한 입사각 및 방위각에 걸쳐 측정하는 동안 웨이퍼(101)의 표면 상의 조명 빔(116)의 입사 위치는 고정된 채로 있어야 한다. 이 목적을 달성하기 위해, 스테이지 기준 프레임(143)의 회전축(153)은 측정 위치에서 웨이퍼(101)의 표면과 대략 동일 평면이어야 한다. 또한, 측정 위치에서 조명 빔(116)의 웨이퍼(101)와의 입사 지점에서 회전축(153)이 조명 빔(116)과 교차하도록, 회전축(153)은 XBF 방향으로 조명 빔(116)과 정렬되어야 한다.
도 6a는 도 5에 도시된 바와 같이 웨이퍼(101) 상에 입사하는 조명 빔(116)의 평면도를 도시한다. 도 6a는 웨이퍼(101) 상의 위치(103)에서 조명 빔(116)의 웨이퍼(101)와의 입사 지점에서 회전축(153)이 조명 빔(116)과 교차하는 정렬 상태에서의 회전축(153)의 단면도를 도시한다. 도 6a에 도시된 바와 같이, 웨이퍼(101)가 큰 입사각(θ)에 걸쳐 회전축(153) 주위로 회전될 때, 조명 빔(116)은 위치(103)에 입사한 채로 있다. 따라서, 이 시나리오에서, 웨이퍼(101)의 표면 상의 조명 빔(116)의 입사 위치는 광범위한 입사각에 걸친 측정 동안 고정된 채로 유지된다.
도 6b는 도 5에 도시된 바와 같이 웨이퍼(101) 상에 입사하는 조명 빔(116)의 평면도를 도시한다. 도 6b는 회전축(153)이 거리(∂z)만큼 웨이퍼(101)의 표면과 오정렬되는 정렬 상태에서의 회전축(153)의 단면도를 도시한다. 도 6b에 도시된 바와 같이, 웨이퍼(101)가 큰 입사각(θ)에 걸쳐 회전축(153) 주위로 회전될 때, 위치(103)의 일부는 더 이상 조명되지 않는다(즉, 웨이퍼(101)의 다른 일부가 대신 조명된다). 따라서, 이 시나리오에서 웨이퍼(101)의 표면 상의 조명 빔(116)의 입사 위치는 광범위한 입사각에 걸친 측정 중에 드리프트되는데, 이는 매우 바람직하지 않다.
도 6c는 도 5에 도시된 바와 같이 웨이퍼(101) 상에 입사하는 조명 빔(116)의 평면도를 도시한다. 도 6c는 회전축(153)이 웨이퍼(101)의 표면과 동일 평면에 있지만 거리(∂x) 만큼 조명 빔(116)으로부터 오프셋된 정렬 상태에서의 회전축(153)의 단부를 도시한다. 도 6c에 도시된 바와 같이, 웨이퍼(101)가 큰 입사각(θ)에 걸쳐 회전축(153)을 중심으로 회전될 때, 위치(103)의 일부는 더 이상 조명되지 않는다(즉, 웨이퍼(101)의 다른 일부가 대신 조명된다). 따라서, 이 시나리오에서 웨이퍼(101)의 표면 상의 조명 빔(116)의 입사 위치는 광범위한 입사각에 걸친 측정 중에 드리프트되는데, 이는 매우 바람직하지 않다.
또 다른 양태에서, 표본 위치 결정 시스템은 웨이퍼, 나이프 에지 또는 다른 폐색 요소의 표면과 동일 평면 상에 있도록 스테이지 기준 프레임의 회전축을 정렬하고, 또한 회전축과 조명 빔이 웨이퍼, 나이프 에지, 또는 다른 폐색 요소의 표면 상의 조명 빔의 입사 위치에서 교차하도록 웨이퍼의 표면에 거의 평행한 방향(예를 들어, XBF 방향)으로 조명 빔에 대해 스테이지 기준 프레임의 회전축을 정렬하도록 캘리브레이션된다.
일부 실시 예에서, 스테이지 기준 프레임의 회전축의 캘리브레이션은 조명 빔의 중심을 X 방향 폐색 요소(예를 들어, 원통형 핀(151))와 정렬하고 스테이지 기준 프레임의 복수의 상이한 회전 위치(θ)에서 플러스를 측정함으로써 달성된다. X 방향의 원통형 핀의 겉보기 움직임(ΔX)은 전술한 바와 같이 선택된 폐색 모델(예를 들어, 도 8에 도시된 시그모이드 함수 또는 다른 모델)에 기초하여 결정된다. 또한, X 방향의 원통형 핀의 겉보기 움직임은 1) 원동형 핀의 회전축으로부터 x-방향으로의 거리(∂x) 및 z-방향으로의 거리(∂z), 2) 빔 중심으로부터 회전축(153)까지의 x-방향으로의 거리(∂n), 3) 스테이지 기준 프레임의 회전축(153)을 중심으로 한 회전각(θ)의 함수이다. 관계식은 수학식(3)에 설명되어 있다.
Figure 112019051035008-pct00003
일 예에서 투과 플럭스는 3개의 입사각 {-Θ, 0, +Θ}으로 측정된다. 수학식 4에 의해 설명된 수학식의 선형 시스템은 수학식 3에서부터 나온다.
Figure 112019051035008-pct00004
수학식 5는 수학식 4를 인버트(invert) 함으로써 얻어진다. 수학식 5는 X-방향으로의 원통형 핀의 겉보기 움직임으로부터 ∂n, ∂x, 및 ∂z의 값을 구한다.
Figure 112019051035008-pct00005
수학식 2와 결합된 수학식 5는 측정된 플럭스로부터 결정된 X-방향으로의 원통형 핀의 겉보기 움직임으로부터 ∂n, ∂x, 및 ∂z의 값을 구한다. 일부 예에서,∂n, ∂x, 및 ∂z의 값에 대한 해는 수학식 6에 의해 설명된 바와 같이 반복적으로 얻어진다.
Figure 112019051035008-pct00006
여기서, k는 반복 인덱스이고, w는 X 및 Z 방향으로 회전축(153)을 나이프 에지(151)와 정렬시키기 위해 필요한 표본 위치 결정 시스템(140)의 액추에이터의 변위 값의 벡터 [∂n, ∂x, 및 ∂z]이다. 변위 ∂n는 액추에이터(145)가 조명 빔(116)에 대해 전체 스테이지 기준 프레임(143)을 X 방향으로 이동시킴으로써 실현된다. 변위 ∂x는 액추에이터(147)가 원통형 핀(151)을 다시 이동시켜 빔과 정렬시킴으로써 실현된다. 변위 ∂z는 액추에이터(150A-C)가 원통형 핀을 Z-방향으로 이동시켜 평면 내의 회전축(153)을 Z-방향으로 원통형 핀의 중심 축과 정렬시킴으로써 실현된다. 초기 추정 w0에서 시작하여, 수학식 6의 재귀(recursion)는 회전축(153)이 원통형 핀(151)에 정렬되는 지점으로 수렴할 것이다.
일반적으로, 수학식 6은 정확히 적용될 필요가 없다. ΑΘ 및 ∂x/∂F의 값은 수치적으로 근사될 수 있다. 다른 예에서, 반복이 안정적이고 올바른 값으로 수렴한다면 다른 행렬을 사용할 수 있다.
일반적으로, 투과 플럭스는 X 및 Z 방향으로 회전축(153)을 나이프-에지(151)와 정렬시키는데 요구되는 변위의 값을 결정하기 위해 임의의 3 개 이상의 상이한 입사각에서 측정될 수 있다. 임의의 3개의 상이한 입사각의 선택은 직접 인버트될 수 있는 수학식의 선형 시스템을 초래한다. 4개 이상의 상이한 입사각의 선택은 X 및 Z 방향으로 회전축(153)을 나이프-에지(151)와 정렬시키는 데 요구되는 변위 값을 결정하기 위해 의사 역(pseudoinverse) 알고리즘으로 풀릴 수 있는 과결정(overdetermined) 수학식의 선형 시스템을 초래한다. 수학식 4 및 수학식 5에 도시된 행렬의 항(terms)은 선택된 입사각에 의존한다. 따라서, 이 항은 상이한 입사각이 선택되는 예에서 수학식 4 및 수학식 5와 상이할 것이다.
회전축(153)의 정렬의 목적을 위해, 나이프 에지는 Y-방향으로 수직 에지를 가지고 Z-방향으로 극히 얇은 것으로 간주될 수 있다. 그러나, 실제로, 나이프 에지는 한정된 두께를 갖는다. 큰 입사각에서 더 긴 경로 길이로 인한 추가 흡수는 이 효과를 보상하도록 모델링될 수 있다. 원통형 폐색 요소가 사용되는 다른 실시 예에서, 수학식 6에 설명된 재귀가 적용되지만, 수렴시 원통형 폐색 요소의 반경(ρ)은 X 방향의 오프셋으로부터 감산되어 정확한 정렬에 도달한다.
최적화된 빔 폐색 요소 및 회전 축의 경우, 빔 캘리브레이션을 위해 하나의 빔 폐색 요소만 있으면 충분할 것이다. 그러나, 시스템의 요구 사항에 따라 다수의 빔 폐색이 필요할 수 있다. 다수의 폐색 요소의 에지를 정렬하면, 공칭 YNF 축으로부터 회전 축의 편차를 추론할 수 있다. 또한, 다수의 동일한 폐색 요소는 좌우측으로부터, 또는 위아래로부터 에지의 캘리브레이션을 허용하여, 이미징된 에지(즉, 정렬 카메라(154)에 의해 이미징됨) 및 폐색된 플럭스 변화로부터 추론된 겉보기 에지의 체계적인 오차를 제거하도록 돕는다.
일부 다른 실시 예에서, 스테이지 기준 프레임의 회전축의 캘리브레이션은 웨이퍼 스테이지의 웨이퍼 평면과 정렬된 초점면을 갖는 고해상도 엑스선 카메라를 위치시킴으로써 달성된다. 스테이지 기준 프레임이 광범위한 입사각에 걸쳐 회전되는 동안, 웨이퍼 평면에서의 조명 스폿의 위치는 고해상도 엑스선 카메라에 의해 측정된다. 입사각의 함수로서 웨이퍼 평면에서의 조명 스폿의 위치의 맵핑은 측정치에 기초하여 생성된다. 측정 동안, 웨이퍼 스테이지는 모든 입사각에 대하여 조명 스폿의 동일한 위치를 유지하기 위하여 맵핑에 따라 이동하도록 명령을 받는다.
일부 다른 실시 예에서, 스테이지 기준 프레임의 회전축의 캘리브레이션은 높은 회절 효율을 갖는 웨이퍼 상에 작은 타겟(즉, 대략 조명 스폿 크기)을 위치시킴으로써 달성된다. 회절 차수의 세기는 스테이지 기준 프레임이 광범위한 입사각에 걸쳐 회전되는 동안 검출기(119)에 의해 측정된다. 회절 차수의 세기는 입사각의 함수로서 조명 스폿과 타겟 사이의 오정렬을 나타낸다. 입사각의 함수로서 오정렬의 맵핑은 측정치에 기초하여 생성된다. 측정 중에, 웨이퍼 스테이지는 모든 입사각에 대해 조명 스폿의 동일한 위치를 유지하기 위해 맵핑에 따라 이동하도록 명령을 받는다.
또 다른 양태에서, 웨이퍼 표면에 대한 조명 빔의 수직(즉, 제로) 입사각과 표본 위치 결정 시스템(즉, 스테이지 좌표)에 의해 측정된 제로 입사각 사이의 AOI 오프셋 값의 정밀한 측정이 결정된다.
일부 실시 예에서, AOI 오프셋 값은 AOI의 범위에 걸친 흡수 측정에 기초하여 결정된다. 일 실시 예에서, 웨이퍼(101) 상의 패터닝되지 않은 영역은 입사각의 범위에 걸쳐 시스템(100)에 의해 스캐터로메트리 측정을 받는다. 웨이퍼의 상대적 흡수는 임의의 또는 모든 회절 차수의 측정된 세기에 기초하여 입사각의 함수로서 결정된다. 흡수는 비어(Beer)의 지수 법칙, α=e-2βk0L을 따른다. 여기서 L은 흡수 길이, β는 재료의 멸종 지수(index of extinction), k0는 파수(wavenumber)이다. 또한, 흡수 길이는 입사각의 기하학적 함수, L = Tcosθ이며, 여기서 T는 측정된 웨이퍼의 두께이다. 일부 예에서, 스테이지 계측에 의해 측정된 AOI(예를 들어, 액추에이터(146)에 대응하는 로터리 인코더)와 검출기(119)에서 측정된 AOI 사이의 오프셋을 결정하기 위해 예상 응답(예를 들어, 비어의 법칙)의 모델이 측정된 플럭스 데이터에 피팅된다. 오프셋은 스테이지 위치 결정 시스템(140)에 의해 적용되어, 스테이지 계측에 기초하여 원하는 측정 AOI에서 웨이퍼(101)를 정확하게 위치시킨다.
일부 실시 예에서, AOI 오프셋 값은 180도 만큼 분리된 2개의 방위각에서 캘리브레이션 격자로부터 산란된 회절 차수의 측정에 기초하여 결정된다. 도 9a는 제로 방위각에서 T-SAXS 시스템(100)에 의해 측정된 격자 구조(171)를 도시한다. 도 9b는 180도의 방위각에서 T-SAXS 시스템(100)에 의해 측정된 동일한 격자 구조(171)를 도시한다. 도 9a 및 도 9b에 도시된 바와 같이, 격자 구조 자체는 웨이퍼의 표면에 대해 경사각(α)으로 기울어진다(tilt). 조명 빔(116)은 두 개의 방위각에 대해 동일한 AOI로 웨이퍼의 표면 상에 입사되지만, 조명 빔은 상이한 각도로 경사진 격자 구조에 입사한다. 따라서, 상보적인 각도(즉, 180도 만큼 분리된 방위각)에서 타겟을 측정함으로써, 표본 위치 결정 시스템에 의해 유도된 AOI 오프셋은 유지되는 반면, 격자 구조의 경사로 인한 AOI 오프셋은 인버트된다.
도 10은 제로 방위각에서 수행된 측정(172) 및 180도의 방위각에서 수행된 다른 측정(173)에 대하여 검출기(119)에 의해 검출된 하나의 회절 차수의 측정된 세기를 나타내는 플롯을 도시한다. 도 10에 도시된 바와 같이, 측정(172 및 173)의 대칭점은 스테이지 위치 결정 시스템(140)의 AOI 오프셋을 나타낸다. 오프셋이 스테이지 위치 결정 시스템(140)에 의해 적용되어, 스테이지 계측에 기초하여 원하는 측정 AOI에서 웨이퍼(101)를 정확하게 위치시킨다.
또한, 각각의 산란 곡선의 피크 값과 대칭점 사이의 차이는 측정 구조와 관련된 경사각(α)을 나타낸다. 이러한 방식으로, AOI 오프셋의 캘리브레이션은 180도 만큼 분리된 입사각 및 2개의 방위각의 범위에 걸쳐 샘플의 하나 이상의 회절 차수를 측정함으로써 타겟 구조 자체의 각도 오프셋으로부터 분리(decouple)된다.
일반적으로, 산란된 차수의 임의의 조합이 사용되어, 표본 위치 결정 시스템과 관련된 각도 오프셋으로부터 측정된 구조의 경사와 관련된 각도 오프셋을 분리할 수 있다. 더 많은 차수를 활용하면 측정 정확도와 견고성(robustness)이 향상된다.
이러한 측정 세트는 특정 구조에 대한 사전 지식 없이 중요한 계측 파라미터 값(예를 들어, 에칭 홀 경사)의 추정치를 제공하고, 측정의 차별적인(differential) 특성으로 인한 체계적인 변동에 견고하다. 견고하고 정확한 엑스선 기반 측정의 추가적인 예가 Andrei Veldman에 의한 미국 특허 공개 공보 제2015/0117610호에 기재되어 있으며, 그 내용은 그 전체가 본 명세서에 참고로 포함된다.
또 다른 양태에서, 조명 빔에 대한 웨이퍼 표면의 제로 방위각과 표본 위치 결정 시스템(즉, 스테이지 좌표)에 의해 측정된 제로 방위각 사이의 방위각 오프셋 값의 정확한 측정이 결정된다. 또한, 웨이퍼 표면의 중심과 회전 스테이지(158)의 회전 중심 간의 오프셋 값의 정확한 측정이 결정된다.
일부 실시 예에서, 정렬 카메라(154)는 웨이퍼(101) 상의 상이한 위치에 위치된 알려진 지점(예를 들어, 피듀셜)의 이미지를 캡처한다. 웨이퍼(101)는 알려진 지점의 상이한 위치로 X 액추에이터(147), Y 액추에이터(148) 및 회전 액추에이터(149)에 의해 이동된다. 알려진 지점의 이미지 및 이미지 각각과 관련된 스테이지 계측 좌표로부터, 웨이퍼 좌표에서 회전의 중심점을 결정하는 간단한 강체(rigid body) 모델이 계산된다. 또한, 웨이퍼 좌표의 제로 방위각과 스테이지 좌표의 제로 방위각 사이의 오정렬을 특징 짓는 방위각 옵셋이 또한 강체 모델을 기반으로 결정된다. 방위각 오프셋은 스테이지 위치 결정 시스템(140)에 의해 로터리 액추에이터(149)에 적용되어, 스테이지 계측에 기초하여 원하는 방위각에 웨이퍼(101)를 정확하게 위치시킨다.
일부 다른 실시 예에서, 저해상도 카메라는 잘 알려진 이미지 등록 기술을 사용하여 알려진 양만큼 회전되고 병진 이동된 이미지의 회전 중심을 추정한다.
또 다른 양태에서, 웨이퍼 좌표와 스테이지 좌표 사이의 방위각 오프셋의 정확한 캘리브레이션은 하나 이상의 방위각에서 캘리브레이션 격자의 측정과 관련된 회절 차수의 위치에 기초한다. 캘리브레이션 격자는 알려진 격자 방향을 가지고 있다. 일 예에서, 회절 패턴은 상이한 방위각에 대해 검출기(119)에 의해 측정된다. 방위각은 예상 회절 패턴이 검출기(119)에서 측정될 때까지 조정된다. 이 측정과 관련된 방위각은 방위각 오프셋이다. 검출기가 스테이지와 정렬되면, 하나의 입사각은 스테이지에 대한 웨이퍼의 방위각을 캘리브레이션하기에 충분하다.
또 다른 양태에서, 원추형 회절(conical diffraction)에 대한 잘 알려진 공식과 함께 다수의 캘리브레이션된 입사각을 동시에 사용하여, 검출기는 스테이지에 대해 캘리브레이션되고, 방위각은 스테이지에 대해 캘리브레이션된다. 검출된 회절 차수는 AOI가 스테이지에서 주어진 방위각에 대해 변경될 때, 규정된 매니폴드(manifold)를 따라 이동한다. 그러나, 검출기의 회전 오프셋은 일정한 오프셋으로서 나타난다.
도시된 실시 예에서, 빔 쉐이핑 슬릿 메카니즘(120)은 각각의 입사각, 방위각, 또는 둘다에 대해 입사 빔의 프로파일을 최적화하기 위해, 표본의 배향과 함께 빔 축을 중심으로 회전하도록 구성된다. 이러한 방식으로, 빔 형상은 계측 타겟의 형상과 정합된다. 도 5에 도시된 바와 같이, 로터리 액추에이터(122)는 조명 빔(116)의 축을 중심으로 프레임(120) 및 모든 부착된 메커니즘, 액추에이터, 센서 및 슬릿을 회전시킨다. 불행하게도, 로터리 액추에이터(120)의 불완전성은 빔 쉐이핑 슬릿 메카니즘(120)이 비행 튜브(flight tube)(118)에 대해 회전함에 따라 빔 쉐이핑 슬릿 메카니즘(120)이 조명 빔(116)의 축을 중심으로 세차 운동(precess)을 하도록 초래한다. 이는 조명 빔(116)의 입사 위치가 상이한 방위각 및 대응하는 빔 슬릿 각에 대해 드리프트하도록 초래한다.
또 다른 양태에서, X-Y 스테이지 오프셋들의 캘리브레이션 맵은 방위각 및 대응 빔 슬릿 각의 범위에 대한 조명 빔(116)의 입사 위치의 측정치에 기초하여 결정된다.
일부 실시 예에서, 측정은 웨이퍼 표면의 위치에서 초점면을 갖는 엑스선 카메라에 의해 수행된다. 방위각 및 대응 빔 슬릿 각이 변경됨에 따라, 조명 빔(116)의 입사 위치가 기록된다. 방위각과 입사 위치 사이의 기능적 관계에 기초하여, 임의의 방위각에 대해 동일한 입사 위치를 유지하는 X-Y 스테이지 오프셋을 제공하는 캘리브레이션 맵이 생성된다.
일부 다른 실시 예에서, X-Y 스테이지 오프셋의 캘리브레이션 맵은 방위각 및 대응 빔 슬릿 각의 범위에 대하여 검출기(119)에 의한 작은 타겟(즉, 대략 조명 스폿 크기)의 측정에 기초하여 결정된다. 타겟은 높은 회절 효율을 가지고 있다. 회절 차수의 측정된 세기는 각각의 방위각 및 대응 빔 슬릿 각에 대하여 조명 빔(116)의 입사 위치 및 타겟 간의 오정렬을 나타낸다. 방위각 및 측정된 오정렬 간의 기능적 관계에 기초하여, 임의의 방위각에 대해 동일한 입사 위치를 유지하는 X-Y 스테이지 오프셋을 제공하는 캘리브레이션 맵이 생성된다.
또 다른 양태에서, Z-방향으로의 웨이퍼 표면의 형상은 정렬 카메라, 광 근접 센서, 정전 용량 근접 센서 또는 임의의 다른 적절한 근접 센서 중 임의의 것을 사용하여 맵핑된다. 일부 예에서, 웨이퍼 표면은 웨이퍼의 전면(즉, 패터닝된 면) 상에 맵핑된다. 일부 다른 예들에서, 웨이퍼의 두께가 충분히 균일하거나 잘 모델링된다면, 웨이퍼 표면은 웨이퍼의 후면 상에 맵핑된다. 일부 예에서, 웨이퍼 맵은 다수의 표준 보간기(interpolator)(예를 들어, 다항식 기저 함수, 유리 함수, 신경망 등)를 사용하여 모델링된다. 또한, 웨이퍼의 분석적 또는 수치적 벤딩 모델을 사용하여 측 방향 변위와 높이 변위를 결합하는 것이 가능하다.
또 다른 양태에서, Z-액추에이터(150A-C)는 조명 빔(116)의 입사 위치에서 웨이퍼의 표면의 형상에 응답하여, Z-위치, Rx 배향, Ry 배향 또는 이들의 임의의 조합을 조정하도록 제어된다. 일 예에서, 웨이퍼의 경사는 Z-액추에이터(150A-C)에 의해 보정된다. 경사 보정은 웨이퍼 경사의 맵 또는 국부적으로 측정된 경사 값에 기초할 수 있다.
또 다른 추가의 양태에서, Z-액추에이터(150A-C)는 Z-위치, Rx 배향, Ry 배향 또는 이들의 임의의 조합을 조정하도록 제어되어, 방위각에서의 회전축을 스테이지 기준 프레임(143)과 정렬시킨다. 일 예에서, Z-액추에이터(150A-C)는 특정 타겟이 방위각의 범위에 걸쳐 정렬 카메라(154)의 초점 내에 유지되도록 조정된다. 이러한 캘리브레이션을 수행하기 위해, 웨이퍼 스테이지는 웨이퍼(101)를 X 및 Y 방향으로 병진시켜, 모든 방위각에 대해 정렬 카메라(154)의 시야에서 타겟을 유지한다.
일반적으로, 모든 오프셋 효과에 대해 캘리브레이션하는 것은 불가능하다. 가장 큰 편차를 제거하기 위한 캘리브레이션이 일반적으로 선택되고 나머지 오프셋은 무시되거나 웨이퍼 및 스테이지의 비이상성(non-ideality)을 고려하는 스테이지 맵에 의해 처리된다.
또한, 온도 및 공기압 또는 임의의 다른 주변 조건의 변화는 조명 빔의 위치 결정에 영향을 줄 수 있다. 일부 실시 예에서, 빔 운동은 이러한 변수와 상관 관계가 있으며, 빔의 위치는 측정된 온도 및 압력 및 상관 모델에 기초하여 조정된다.
일반적으로, 표본 위치 결정 시스템(140)은 고니오미터(goniometer) 스테이지, 헥사포드 스테이지, 각도 스테이지 및 선형 스테이지를 포함하지만 이에 한정되지 않는, 원하는 선형 및 각 위치(angular position) 결정 성능을 달성하기 위한 기계적 요소의 임의의 적절한 조합을 포함할 수 있다.
일반적으로, 조명 광학 시스템의 초점면은 각각의 측정 애플리케이션에 대해 최적화된다. 이러한 방식으로, 시스템(100)은 측정 애플리케이션에 따라 표본 내의 다양한 깊이에서 초점면을 위치시키도록 구성된다. 일 예에서, 표본 위치 결정 시스템(140)은 표본(101) 내에서 원하는 깊이로 광학 시스템의 초점면 내에 웨이퍼를 위치시키기 위해 z 방향으로 표본(101)을 이동시키도록 구성된다.
일부 실시 예에서, 엑스선 조명원(110), 집속 광학기(111), 슬릿(112 및 113), 또는 이들의 임의의 조합은 표본(101)과 동일한 대기 환경(예를 들어, 가스 퍼지 환경)으로 유지된다. 그러나, 일부 실시 예들에서, 이들 요소들 사이 및 내부의 광학 경로 길이는 길고, 공기 중의 엑스선 산란은 검출기상의 이미지에 노이즈를 기여한다. 따라서, 일부 실시 예에서, 엑스선 조명원(110), 집속 광학기(111) 및 슬릿(112 및 113) 중 임의의 것은 진공 윈도우에 의해 서로 및 표본(예를 들어, 표본(101))으로부터 분리된 국부적인 진공 환경에서 유지된다.
유사하게, 일부 실시 예에서, 엑스선 검출기(119)는 표본(101)과 동일한 대기 환경(예를 들어, 가스 퍼지 환경)에서 유지된다. 그러나, 일부 실시 예에서, 표본(101)과 엑스선 검출기(119) 사이의 거리는 길고, 공기 중의 엑스선 산란은 검출된 신호에 노이즈를 기여한다. 따라서, 일부 실시 예에서, 하나 이상의 엑스선 검출기는 진공 윈도우에 의해 표본(예를 들어, 표본(101))으로부터 분리된 국부적 인 진공 환경에서 유지된다.
도 11은 엑스선 조명원(110)을 포함하는 진공 챔버(160), 집속 광학기(111)를 포함하는 진공 챔버(162) 및 슬릿(112 및 113)을 포함하는 진공 챔버(163)를 도시하는 도면이다. 각각의 진공 챔버의 개구는 진공 윈도우로 덮인다. 예를 들어, 진공 챔버(160)의 개구는 진공 윈도우(161)에 의해 덮인다. 유사하게, 진공 챔버(163)의 개구는 진공 윈도우(164)에 의해 덮인다. 진공 윈도우는 엑스선 방사선에 실질적으로 투과성인 임의의 적절한 재료(예를 들어, 캡톤(Kapton), 베릴륨 등)로 구성될 수 있다. 조명 빔의 산란을 최소화하기 위해 각각의 진공 챔버 내에 적절한 진공 환경이 유지된다. 적절한 진공 환경은 임의의 적절한 진공 레벨, 작은 원자 번호를 갖는 가스(예를 들어, 헬륨)를 포함하는 임의의 적절한 퍼지된 환경 또는 이들의 임의의 조합을 포함할 수 있다. 이러한 방식으로 가능한 한 많은 빔 경로가 플럭스를 최대화하고 산란을 최소화하기 위해 진공에 위치된다.
일부 실시 예에서, 표본(101)을 포함하는 전체 광학 시스템은 진공 상태로 유지된다. 그러나, 일반적으로, 표본(101)을 진공 상태로 유지하는 것과 관련된 비용은 표본 위치 결정 시스템(140)의 구성과 관련된 복잡성 때문에 높다.
또 다른 추가의 양태에서, 빔 쉐이핑 슬릿 메카니즘(120)은 진공 챔버(163)와 기계적으로 일체화되어 대기 환경에 따라 빔 경로 길이를 최소화한다. 일반적으로, 표본(101)으로 입사하기 전에 가능한 한 많은 양의 빔을 진공으로 캡슐화하는 것이 바람직하다. 일부 실시 예에서, 진공 빔 라인은 빔 쉐이핑 슬릿 메카니즘(120)의 입력에서 중공의 실린더형 캐비티 내로 연장된다. 진공 윈도우(164)는 빔 쉐이핑 슬릿 메카니즘(120) 내의 진공 챔버(163)의 출력에 위치하여, 들어오는 빔(115)은 빔 쉐이핑 슬릿 메카니즘(120)의 일부 내에서 진공 상태로 유지되고, 그 후 슬릿(126-129) 및 표본(101) 중 임의의 것과 상호 작용하기 전에 진공 윈도우(164)를 통과한다.
도 1에 도시된 실시 예에서, 집속 광학기(111), 슬릿(112 및 113), 및 빔 쉐이핑 슬릿 메카니즘(120)은 비행 튜브(118) 내의 제어된 환경(예를 들어, 진공)에서 유지된다.
또 다른 추가의 양태에서, 컴퓨팅 시스템(130)은 표본의 측정된 구조의 구조적 모델(예를 들어, 기하학적 모델, 재료 모델, 또는 결합된 기하학적 및 재료 모델)을 생성하고, 구조적 모델로부터의 적어도 하나의 기하학적 파라미터를 포함하는 T-SAXS 응답 모델을 생성하고, T-SAXS 응답 모델을 사용하여 T-SAXS 측정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 표본 파라미터 값을 구하도록 구성된다. 분석 엔진은 시뮬레이션된 T-SAXS 신호를 측정된 데이터와 비교하는 데 사용되어, 샘플의 전자 밀도와 같은 재료 특성 및 기하학적 특성을 결정할 수 있다. 도 1에 도시된 실시 예에서, 컴퓨팅 시스템(130)은 본 명세서에 설명된 모델 구축 및 분석 기능을 구현하도록 구성된 모델 구축 및 분석 엔진으로서 구성된다.
도 12는 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 모델 구축 및 분석 엔진(180)을 설명하는 다이어그램이다. 도 12에 도시된 바와 같이, 모델 구축 및 분석 엔진(180)은 표본의 측정된 구조의 구조적 모델(182)을 생성하는 구조적 모델 구축 모듈(181)을 포함한다. 일부 실시 예에서, 구조적 모델(182)은 또한 표본의 재료 특성을 포함한다. 구조적 모델(182)은 T-SAXS 응답 함수 구축 모듈(183)에 대한 입력으로서 수신된다. T-SAXS 응답 함수 구축 모듈(183)은 구조적 모델(182)에 적어도 일부적으로 기초하여 T-SAXS 응답 함수 모델(184)을 생성한다. 일부 예들에서, T-SAXS 응답 함수 모델(184)은 엑스선 폼 팩터들에 기초한다.
Figure 112019051035008-pct00007
여기서 F는 폼 팩터이고, q는 산란 벡터이며, ρ(r)은 구(spherical) 좌표의 표본의 전자 밀도이다. 엑스선 산란 세기는 다음과 같이 주어진다:
Figure 112019051035008-pct00008
T-SAXS 응답 함수 모델(184)은 피팅 분석 모듈(185)로의 입력으로서 수신된다. 피팅 분석 모듈(185)은 모델링된 T-SAXS 응답을 대응하는 측정 데이터와 비교하여 표본의 기하학적 특성 및 재료 특성을 결정한다.
일부 예에서, 모델링된 데이터를 실험 데이터에 피팅하는 것은 카이 제곱 값(chi-squared value)을 최소화함으로써 달성된다. 예를 들어 T-SAXS 측정의 경우 카이 제곱 값은 다음과 같이 정의될 수 있다:
Figure 112019051035008-pct00009
여기서,
Figure 112019051035008-pct00010
는 "채널" j에서 측정된 T-SAXS 신호(126)이고, 인덱스 j는 회절 차수, 에너지, 각도 좌표 등과 같은 시스템 파라미터 세트를 기술한다.
Figure 112019051035008-pct00011
는 구조(타겟) 파라미터
Figure 112019051035008-pct00012
의 세트에 대하여 평가된 "채널" j에 대한 모델링된 T-SAXS 신호 Sj이고, 이들 파라미터는 기하학적(CD, 측벽 각도, 오버레이 등) 및 재료(전자 밀도 등)를 기술한다.
Figure 112019051035008-pct00013
는 j번째 채널과 연관된 불확실성이다.
Figure 112019051035008-pct00014
는 엑스선 계측에서 채널의 총 수이다. L은 계측 타겟을 특징 짓는 파라미터의 수이다.
수학식 9는 상이한 채널들과 관련된 불확실성이 비상관된다(uncorrelated)는 것을 가정한다. 상이한 채널과 관련된 불확실성이 상관되는 예에서는 불확실성 간의 공분산을 계산할 수 있다. 이 예들에서, T-SAXS 측정을 위한 카이 제곱 값은 다음과 같이 표현될 수 있다.
Figure 112019051035008-pct00015
여기서, VSAXS는 SAXS 채널 불확실성의 공분산 행렬이고 T는 전치(transpose)를 나타낸다.
일부 예에서, 피팅 분석 모듈(185)은 T-SAXS 응답 모델(184)로 T-SAXS 측정 데이터(135)에 대한 피팅 분석을 수행함으로써 적어도 하나의 표본 파라미터 값의 해를 구한다. 일부 예에서,
Figure 112019051035008-pct00016
는 최적화된다.
상술한 바와 같이, T-SAXS 데이터의 피팅은 카이 제곱 값의 최소화에 의해 달성된다. 그러나, 일반적으로, T-SAXS 데이터의 피팅은 다른 함수들에 의해 달성될 수 있다.
T-SAXS 계측 데이터의 피팅은 관심 있는 기하학적 및/또는 재료 파라미터에 감도를 제공하는 임의의 유형의 T-SAXS 기술의 경우 유리하다. 표본과의 T-SAXS 빔 상호 작용을 기술하는 적절한 모델이 사용되는 한, 표본 파라미터는 결정론적(예를 들어, CD, SWA 등) 또는 통계적(예를 들어, 측벽 거칠기의 rms 높이, 거칠기 상관 길이 등)일 수 있다.
일반적으로, 컴퓨팅 시스템(130)은 RTD(Real Time Critical Dimensioning)를 사용하여 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 표본(101)과 관련된 적어도 하나의 표본 파라미터 값의 값을 결정하기 위해 사전 계산된 모델의 라이브러리를 액세스할 수 있다. 일반적으로, CD-엔진의 일부 형태는 표본의 할당된 CD 파라미터와 측정된 표본과 관련된 CD 파라미터 간의 차이를 평가하는 데 사용될 수 있다. 표본 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은 KLA-Tencor Corp.에 2010년 11월 2일에 발행된 미국 특허 제7,826,071호에 기재되어 있으며, 그 전체 내용은 본 명세서에 참고로 포함된다.
일부 예에서, 모델 구축 및 분석 엔진(180)은 피드 측면(feed sideways) 분석, 피드 순방향(feed forward) 분석 및 병렬 분석의 임의의 조합에 의해 측정된 파라미터의 정확도를 향상시킨다. 피드 측면 분석은 동일한 표본의 상이한 영역에서 여러 데이터 세트를 가져와서 분석을 위해 첫 번째 데이터 세트로부터 결정된 공통 파라미터를 두 번째 데이터 세트로 전달하는 것을 지칭한다. 피드 순방향 분석은 상이한 표본들 상의 데이터 세트를 가져와서 단계적 복사 정확한 파라미터(stepwise copy exact parameter) 피드 순방향 방법을 사용하여 공통 파라미터를 후속 분석으로 전달하는 것을 지칭한다. 병렬 분석이란 피팅 중에 적어도 하나의 공통 파라미터가 결합되는 여러 데이터 세트에 비선형 피팅 방법론을 병렬로 또는 동시에 적용하는 것을 지칭한다.
다중 툴 및 구조 분석은 회귀(regression), 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터 세트의 다른 피팅 절차에 기초한 피드 순방향, 피드 측면 또는 병렬 분석을 지칭한다. 다중 툴 및 구조 분석을 위한 예시적인 방법 및 시스템은 KLA-Tencor Corp.에 2009년 1월 13일자로 발행된 미국 특허 제7,478,019호에 기재되어 있으며, 이 전체 내용은 본 명세서에 참고로 인용된다.
또 다른 추가의 양태에서, 하나 이상의 관심 파라미터의 값의 초기 추정치는 측정 타겟에 대한 입사 엑스선 빔의 단일 배향에서 수행된 T-SAXS 측정치에 기초하여 결정된다. 초기 추정 값은 다수의 배향에서 T-SAXS 측정 값으로부터 수집된 측정 데이터를 사용하여 측정 모델의 회귀에 대한 관심 파라미터의 시작 값으로서 구현된다. 이러한 방식으로, 비교적 적은 양의 계산 노력으로 관심 파라미터의 가까운 추정치가 결정되고, 훨씬 더 큰 데이터 세트에 대한 회귀에 대한 시작점으로서 이러한 가까운 추정치를 구현함으로써, 관심 파라미터의 정제된 추정치가 전체적으로 더 적은 계산 노력으로 얻어진다.
또 다른 양태에서, 계측 툴(100)은 본 명세서에 설명된 바와 같이 빔 제어 기능을 구현하도록 구성된 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(130))을 포함한다. 도 1에 도시된 실시 예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)의 세기, 발산(divergence), 스폿 크기, 편극(polarization), 스펙트럼 및 위치 결정과 같은 조명 특성들 중 임의의 것을 제어하도록 동작 가능한 빔 제어기로서 구성된다.
도 1에 도시된 바와 같이, 컴퓨팅 시스템(130)은 검출기(119)에 통신 가능하게 결합된다. 컴퓨팅 시스템(130)은 검출기(119)로부터 측정 데이터(135)를 수신하도록 구성된다. 일 예에서, 측정 데이터(135)는 표본의 측정된 응답의 표시(즉, 회절 차수의 세기)를 포함한다. 검출기(119)의 표면 상의 측정된 응답의 분포에 기초하여, 표본(101) 상의 조명 빔(116)의 입사 위치 및 영역이 컴퓨팅 시스템(130)에 의해 결정된다. 일 예에서, 패턴 인식 기술이 컴퓨팅 시스템(130)에 의해 적용되어, 측정 데이터(135)에 기초하여 표본(101) 상의 조명 빔(116)의 입사 위치 및 영역을 결정한다. 일부 예에서, 컴퓨팅 시스템(130)은 명령 신호(137)를 엑스선 조명원(110)에 전달하여, 원하는 조명 파장을 선택하거나 엑스선 방출의 방향을 바꾼다. 일부 예에서, 컴퓨팅 시스템(130)은 명령 신호(136)를 빔 쉐이핑 슬릿 메카니즘(120)에 전달하여, 입사 조명 빔(116)이 원하는 빔 스폿 크기 및 배향으로 표본(101)에 도달하도록 빔 스폿 크기를 변경한다. 일 예에서, 명령 신호(136)는 도 5에 도시된 로터리 액추에이터(122)로 하여금 표본(101)에 대하여 원하는 배향으로 빔 쉐이핑 슬릿 메카니즘(120)을 회전시키게 한다. 또 다른 예에서, 명령 신호(136)는 각각의 슬릿(126-129)과 관련된 액추에이터로 하여금 입사 빔(116)을 원하는 형상 또는 크기로 리쉐이핑하도록 위치를 변화시키도록 한다. 일부 다른 예에서, 컴퓨팅 시스템(130)은 웨이퍼 위치 결정 시스템(140)에 명령 신호를 전달하여, 입사 조명 빔(116)이 표본(101)에 대해 원하는 위치 및 각도 배향으로 도달하도록 표본(101)을 위치시키고 배향시킨다.
또 다른 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 세기에 기초하여 측정된 구조의 이미지를 생성하는데 사용된다. 일부 실시 예에서, T-SAXS 응답 함수 모델은 일반적인 전자 밀도 메쉬로부터의 산란을 기술하기 위해 일반화된다. 연속성과 희소한 에지를 실행하기 위하여 모델링된 전자 밀도를 이 메시에서 구속하면서 이 모델을 측정된 신호에 매칭시키는 것은, 샘플의 3 차원 이미지를 제공한다.
기하학적 모델 기반 파라미터 반전(parametric inversion)이 T-SAXS 측정에 기초한 임계 치수(critical dimension, CD) 계측에 선호되지만, 동일한 T-SAXS 측정 데이터로부터 생성된 표본의 맵은 측정된 표본이 기하학적 모델의 가정으로부터 벗어날 때, 모델 오차를 식별하고 보정하는데 유용하다.
일부 예에서, 이미지는 동일한 스캐터로메트리 측정 데이터의 기하학적 모델 기반 파라미터 반전에 의해 추정된 구조적 특성과 비교된다. 불일치는 측정된 구조의 기하학적 모델을 업데이트하고 측정 성능을 향상시키는 데 사용된다. 정확한 파라미터 측정 모델에 수렴하는 능력은 제조 공정을 제어, 모니터링 및 트러블 슛(trouble-shoot)하기 위해 집적 회로를 측정할 때 특히 중요하다.
일부 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절 지수, 또는 이들 재료 특성의 조합의 2 차원(2-D) 맵이다. 일부 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절 지수 또는 이들 재료 특성의 조합의 3 차원(3D) 맵이다. 맵은 비교적 물리적 제약이 거의 없이 생성된다. 일부 예에서, 임계 치수(CD), 측벽 각도(sidewall angle, SWA), 오버레이, 에지 배치 오차, 피치 워크 등과 같은 하나 이상의 관심 파라미터는 결과 맵으로부터 직접 추정된다. 일부 다른 예에서, 맵은 샘플 기하학적 구조 또는 재료가 모델-기반 CD 측정에 사용되는 파라미터 구조적 모델에 의해 예상되는 기대 값의 범위를 벗어날 때 웨이퍼 공정을 디버깅하는데 유용하다. 일 예에서, 측정된 파라미터에 따라 파라미터 구조적 모델에 의해 예측된 구조의 렌더링 및 맵 간의 차이는 파라미터 구조적 모델을 업데이트하고 측정 성능을 향상시키는데 사용된다. 더 상세한 내용은 미국 특허 공개 공보 제2015/0300965호에 기재되어 있으며, 그 내용은 본 명세서에 그 전체가 참고로 포함되어 있다. 추가적인 세부 사항은 미국 특허 공개 공보 제2015/0117610 호에 기재되어 있으며, 그 내용은 그 전체가 본 명세서에 참고로 포함되어 있다.
또 다른 양태에서, 모델 구축 및 분석 엔진(180)은 결합된 엑스선 및 광학 측정 분석을 위한 모델을 생성하는데 이용된다. 일부 예들에서, 광학 시뮬레이션은 예를 들어, 상이한 편극에 대한 반사율, 엘립소메트릭 파라미터, 상 변화 등과 같은 광 신호를 계산하기 위해 맥스웰 방정식의 해가 구해지는 RCWA(rigorous coupled-wave analysis)에 기초한다.
하나 이상의 관심 파라미터의 값은, 복수의 상이한 입사각에서의 엑스선 회절 차수의 검출된 세기와 검출된 광학 세기, 및 결합된 기하학적으로 파라미터화된 응답 모델과의 결합된 피팅 분석에 기초하여 결정된다. 광학 세기는 도 1에 도시된 시스템(100)과 같은 엑스선 계측 시스템과 기계적으로 통합되거나 통합되지 않을 수도 있는 광학 계측 툴에 의해 측정된다. 더 상세한 내용은 미국 특허 공개 공보 제2014/0019097호 및 미국 특허 공개 공보 제2013/0304424호에 기재되어 있으며, 각각의 내용은 그 전체가 본 명세서에 참고로 포함된다.
일반적으로, 계측 타겟은 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)를 계측 타겟의 최대 측 방향 치수(즉, 웨이퍼 표면과 정렬된 치수)로 나눔으로써 정의되는 종횡비로 특징 지어진다. 일부 실시 예에서, 측정 중인 계측 타겟은 적어도 20의 종횡비를 갖는다. 일부 실시 예에서, 계측 타겟은 적어도 40의 종횡비를 갖는다.
본 개시 전체에 걸쳐 기술된 다양한 단계들이 단일 컴퓨터 시스템(130) 또는 대안적으로 다중 컴퓨터 시스템(130)에 의해 수행될 수 있음을 알아야 한다. 또한, 표본 위치 결정 시스템(140)과 같은 시스템(100)의 상이한 서브 시스템은 본 명세서에 기술된 단계들의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 전술한 설명은 본 발명에 대한 제한으로서 해석되어서는 안 되며, 단지 예시일 뿐이다. 또한, 하나 이상의 컴퓨팅 시스템(130)은 본 명세서에 설명된 임의의 방법 실시 예의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(130)은 당 업계에 알려진 임의의 방식으로 엑스선 조명원(110), 빔 쉐이핑 슬릿 메카니즘(120), 표본 위치 결정 시스템(140) 및 검출기(119)에 통신 가능하게 결합될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(130)은 엑스선 조명원(110), 빔 쉐이핑 슬릿 메카니즘(120), 표본 위치 결정 시스템(140) 및 검출기(119)와 각각 관련된 컴퓨팅 시스템에 결합될 수 있다. 다른 예에서, 엑스선 조명원(110), 빔 쉐이핑 슬릿 메카니즘(120), 표본 위치 결정 시스템(140) 및 검출기(119) 중 임의의 것이 컴퓨터 시스템(130)에 결합된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
컴퓨터 시스템(130)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 시스템의 서브 시스템(예를 들어, 엑스선 조명원(110), 빔 쉐이핑 슬릿 메카니즘(120), 표본 위치 결정 시스템(140), 검출기(119) 등)으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 시스템(100)의 컴퓨터 시스템(130) 및 다른 서브 시스템 사이의 데이터 링크로서의 역할을 할 수 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들어, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 다른 시스템들(예를 들어, 메모리 온보드 계측 시스템(100), 외부 메모리 또는 외부 시스템) 사이의 데이터 링크로서의 역할을 할 수 있다. 예를 들어, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 190))로부터 측정 데이터(예를 들어, 신호(135))를 수신하도록 구성될 수 있다. 예를 들어, 검출기(119)를 사용하여 얻어진 스펙트럼 결과는 영구적 또는 반영구적 메모리 디바이스(예를 들어, 메모리(132 또는 190))에 저장될 수 있다. 이와 관련하여, 측정 결과는 온보드 메모리 또는 외부 메모리 시스템에서 가져올 수 있다. 또한, 컴퓨터 시스템(130)은 전송 매체를 통해 다른 시스템에 데이터를 전송할 수 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정된 표본 파라미터 값(186)은 영구 또는 반영구 메모리 디바이스(예를 들어, 메모리(190))에 저장될 수 있다. 이와 관련하여, 측정 결과를 다른 시스템으로 내보낼 수 있다.
컴퓨팅 시스템(130)은 퍼스널 컴퓨터 시스템, 메인 프레임 컴퓨터 시스템, 워크 스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당 업계에 알려진 임의의 다른 디바이스를 포함할 수 있지만, 이에 한정되는 것은 아니다. 일반적으로, "컴퓨팅 시스템"이라는 용어는 메모리 매체로부터 명령어들을 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포괄하도록 광범위하게 정의될 수 있다.
본 명세서에 설명된 것과 같은 방법을 구현하는 프로그램 명령어들(134)은 유선, 케이블 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 1에 도시된 바와 같이, 메모리(132)에 저장된 프로그램 명령어들은 버스(133)를 통해 프로세서(131)로 전송된다. 프로그램 명령어들(134)은 컴퓨터 판독 가능 매체(예를 들어, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 13은 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(200)을 도시한다. 일 양태에서, 방법(200)의 데이터 처리 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있음이 인식된다. 다음 설명은 계측 시스템(100)의 문맥에서 제시되는 반면, 본 명세서에서 계측 시스템(100)의 특정 구조적 측면은 제한을 나타내지 않으며 단지 예시적인 것으로 해석되어야 한다는 것이 인식된다.
블록(201)에서, 반도체 웨이퍼 상에 배치된 회절 격자는 제1 방위각에서의 복수의 입사각에서 그리고 제2 방위각에서의 복수의 입사각에서 엑스선 조명 빔의 경로 내에 배치된다. 제1 방위각과 제2 방위각은 180도 만큼 떨어져 있다.
블록(202)에서, 복수의 입사각에서의 회절 격자의 측정과 관련된 회절 차수 응답 신호는 제1 방위각 및 제2 방위각 모두에서 검출된다.
블록(203)에서, 입사각(AOI) 오프셋 값이 결정된다. AOI 오프셋은 웨이퍼 표면에 대한 엑스선 조명 빔의 수직 입사각과 엑스선 조명 빔의 경로에 회절 격자를 위치시키는 웨이퍼 위치 결정 시스템에 의해 측정되는 제로 입사각의 값 사이의 각도이다. AOI 오프셋 값은 제1 방위각 및 제2 방위각과 연관된 검출된 회절 차수 응답 신호의 교차와 관련된 대칭 점에서 웨이퍼 위치 결정 시스템에 의해 측정된 입사각의 값이다.
일부 실시 예들에서, 본 명세서에 설명된 바와 같은 스캐터로메트리 측정들은 제조 공정 툴의 일부로서 구현된다. 제조 공정 툴의 예로는 리소그래피 노광 툴, 막 증착 툴, 임플란트 툴 및 에칭 툴을 포함하지만, 이에 한정되는 것은 아니다. 이러한 방식으로, T-SAXS 분석의 결과는 제조 공정을 제어하는데 사용된다. 일 예에서, 하나 이상의 타겟으로부터 수집된 T-SAXS 측정 데이터는 제조 공정 툴로 보내진다. T-SAXS 측정 데이터는 본 명세서에 설명된 대로 분석되고, 결과는 제조 공정 툴의 작동을 조정하는 데 사용된다.
본 명세서에 기술된 바와 같은 스캐터로메트리 측정은 다양한 반도체 구조의 특성을 결정하는데 사용될 수 있다. 예시적인 구조는 FinFET, 나노 와이어 또는 그래핀과 같은 저 차원 구조, 서브 10 nm 구조, 리소그래피 구조, TSV(through substrate via), DRAM, DRAM 4F2, FLASH, MRAM과 같은 메모리 구조 및 높은 종횡비 메모리 구조를 포함하지만, 이에 한정되는 것은 아니다. 예시적인 구조적 특성은 라인 에지 거칠기, 라인 폭 거칠기, 기공(pore) 크기, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이와 같은 기하학적 파라미터 및 전자 밀도, 조성, 입자 구조, 형태(morphology), 응력(stress), 변형(strain) 및 원소 식별과 같은 재료 파라미터를 포함하지만 이에 한정되지 않는다. 일부 실시 예에서, 계측 타겟은 주기적 구조이다. 일부 다른 실시 예에서, 계측 타겟은 비주기적이다.
몇몇 예에서, STT-RAM(spin transfer torque random access memory), 3D-NAND(three dimensional NAND) 메모리 또는 V-NAND(vertical NAND) 메모리, DRAM(dynamic random access memory) 메모리, 3D-FLASH(three dimensional FLASH) 메모리, Re-RAM(resistive random access memory), 및 PC-RAM(phase change random access memory)를 포함하지만 이에 한정되지 않는 고 종횡비 반도체 구조의 임계 치수, 두께, 오버레이 및 재료 특성의 측정은 본 명세서에 설명된 T-SAXS 측정 시스템으로 수행된다.
본 명세서에 기술된 바와 같이, 용어 "임계 치수"는 구조의 임의의 임계 치수(예를 들어, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2 개 이상의 구조 사이의 임계 치수(예를 들어, 2 개 구조 사이의 거리), 2 개 이상의 구조 사이의 변위(예를 들어, 오버레이 격자 구조 사이의 오버레이 변위 등)를 포함한다. 구조는 3 차원 구조, 패터닝된 구조, 오버레이 구조 등을 포함할 수 있다.
본 명세서에 기술된 바와 같이, 용어 "임계 치수 애플리케이션(critical dimension application)" 또는 "임계 치수 측정 애플리케이션(critical dimension measurement application)"은 임의의 임계 치수 측정을 포함한다.
본 명세서에 기술된 바와 같이, "계측 시스템"이라는 용어는 임계 치수 애플리케이션 및 오버레이 계측 애플리케이션을 포함하여 임의의 측면에서 표본을 특징 짓는데 적어도 부분적으로 이용되는 임의의 시스템을 포함한다. 그러나, 그러한 기술 용어는 본 명세서에서 기술된 "계측 시스템"이라는 용어의 범위를 제한하지 않는다. 또한, 본 명세서에 설명된 계측 시스템은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 후면 검사 툴, 매크로 검사 툴 또는 (하나 이상의 플랫폼으로부터의 데이터를 동시에 포함하는) 다중 모드 검사 툴 및 본 명세서에서 설명된 측정 기술로부터 이점을 누리는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
다양한 실시 예가 본 명세서에서 표본을 처리하기 위해 사용될 수 있는 반도체 처리 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)에 대해 설명된다. 본 명세서에서 "표본"이라는 용어는 웨이퍼, 레티클, 또는 당 업계에 알려진 수단에 의해 처리(예를 들어, 결함을 인쇄 또는 검사)될 수 있는 임의의 다른 샘플을 지칭하는데 사용된다.
본 명세서에 사용된 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비 반도체 재료로 형성된 기판을 지칭한다. 예로는 단결정 실리콘, 갈륨 비소 및 인화 인듐을 포함하지만 이에 국한되지는 않는다. 이러한 기판은 일반적으로 반도체 제조 설비에서 발견 및/또는 처리될 수 있다. 몇몇 경우에, 웨이퍼는 기판(즉, 베어 웨이퍼)만을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 재료의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패터닝된" 또는 "패터닝되지 않은" 것일 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수 있다.
"레티클"은 레티클 제조 공정의 임의의 스테이지에서의 레티클, 또는 반도체 제조 설비에서의 사용을 위해 방출(release)되거나 또는 방출되지 않을 수 있는 완성된 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로 그 위에 형성된 실질적으로 불투명한 영역을 가지며 패턴으로 구성된 실질적으로 투명한 기판으로 정의된다. 기판은 예를 들어 비정질 SiO2와 같은 유리 재료를 포함할 수 있다. 레티클은 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 공정의 노광 단계 동안 레지스트로 덮인 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 각각 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수 있다. 이러한 재료 층의 형성 및 처리의 결과, 궁극적으로 완성된 디바이스가 생길 수 있다. 많은 상이한 유형의 디바이스가 웨이퍼 상에 형성될 수 있으며, 본 명세서에서 사용되는 웨이퍼라는 용어는 당 업계에 알려진 임의의 유형의 디바이스가 제조되고 있는 웨이퍼를 포함하도록 의도된다.
하나 이상의 예시적인 실시 예에서, 기술된 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능은 컴퓨터 판독 가능 매체 상에 하나 이상의 명령어 또는 코드로서 저장되거나 전송될 수 있다. 컴퓨터 판독 가능 매체는 컴퓨터 저장 매체 및 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 용이하게 하는 임의의 매체를 포함하는 통신 매체 모두를 포함한다. 저장 매체는 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수 있다. 제한 없이 예로서, 그러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 저장 디바이스, 또는 명령어들 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 전달하거나 저장하는데 사용될 수 있고 범용 또는 특수 목적 컴퓨터 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능 매체로 적절히 명명된다. 예를 들어 동축 케이블, 광섬유 케이블, 트위스트 페어, 디지털 가입자 회선(digital subscriber line, DSL) 또는 적외선, 무선(radio) 및 마이크로파와 같은 무선 기술을 사용하여 웹 사이트, 서버 또는 기타 원격 소스로부터 소프트웨어가 전송된다면, 동축 케이블, 광섬유 케이블, 트위스트 페어, DSL 또는 적외선, 무선 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 본 명세서에서 사용되는 디스크(disk) 및 디스크(disc)는 CD(compact disc), 레이저 디스크(laser disc), XRF 디스크(disc), DVD(digital versatile disc), 플로피 디스크(disk) 및 블루-레이 디스크(disc)를 포함하는데, 디스크(disk)가 일반적으로 데이터를 자기적으로 재생하는 반면, 디스크(disc)는 레이저로 광학적으로 데이터를 재생한다. 상기의 조합은 또한 컴퓨터 판독 가능 매체의 범위 내에 포함되어야 한다.
비록 특정한 구체적 실시 예가 교시 목적으로 상술되었지만,이 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 상술한 특정 실시 예에 한정되지 않는다. 따라서, 청구범위에서 설명된 본 발명의 범위를 벗어나지 않고, 설명된 실시 예들의 다양한 피처의 다양한 변형, 개조 및 조합이 실행될 수 있다.

Claims (22)

  1. 계측 시스템에 있어서,
    엑스선 조명 빔을 생성하도록 구성된 엑스선 조명원;
    상기 엑스선 조명 빔의 축과 직교하는 제1 방향으로 그리고 상기 엑스선 조명 빔의 축과 직교하는 제2 방향으로 이동 가능한 표본 스테이지;
    상기 표본 스테이지에 이동 가능하게 부착된 표본;
    상기 표본에 인접하여 상기 표본 스테이지 상에 배치된 제1 폐색 요소(occlusion element) - 상기 제1 폐색 요소는 상기 표본의 표면과 동일 평면인 중심 축을 갖고, 제1 위치의 상기 표본 스테이지는, 상기 엑스선 조명 빔의 일부가 상기 제1 폐색 요소에 의해 폐색되도록, 상기 제1 폐색 요소를 상기 엑스선 조명 빔의 경로에 위치시킴 -;
    상기 표본에 인접하여 상기 표본 스테이지 상에 배치된 제2 폐색 요소 - 상기 제2 폐색 요소는 상기 표본의 표면과 동일 평면인 중심 축을 가지며 상기 제1 폐색 요소의 중심 축과 상이한 방향으로 정렬되어 있고, 제2 위치의 상기 표본 스테이지는, 상기 엑스선 조명 빔의 일부가 상기 제2 폐색 요소에 의해 폐색되도록, 상기 제2 폐색 요소를 상기 엑스선 조명 빔의 경로에 위치시킴 -;
    상기 제1 위치에서의 제1 양의 투과 플럭스(transmitted flux) 및 상기 제2 위치에서의 제2 양의 투과 플럭스를 검출하도록 구성된 엑스선 검출기; 및
    상기 제1 양의 투과 플럭스에 기초하여 상기 제1 방향으로의 상기 엑스선 조명 빔에 대한 상기 제1 폐색 요소의 제1 위치를 결정하고, 상기 제2 양의 투과 플럭스에 기초하여 상기 제2 방향으로의 상기 엑스선 조명 빔에 대한 상기 제2 폐색 요소의 제2 위치를 결정하도록 구성된 컴퓨팅 시스템
    을 포함하는 계측 시스템.
  2. 제1항에 있어서,
    상기 제1 위치 및 상기 제2 위치의 결정은, 상기 엑스선 조명 빔에 대한 상기 제1 폐색 요소 및 상기 제2 폐색 요소의 위치의 함수로서의 투과 플럭스의 모델에 기초한 것인 계측 시스템.
  3. 제1항에 있어서,
    상기 제1 폐색 요소와 상기 제2 폐색 요소 중 임의의 것은 원통 형상인 것인 계측 시스템.
  4. 제1항에 있어서,
    상기 제1 폐색 요소와 상기 제2 폐색 요소 중 임의의 것은 나이프 에지(knife edge)를 포함하고,
    상기 중심 축은 상기 나이프 에지와 정렬된 것인 계측 시스템.
  5. 제1항에 있어서,
    상기 제1 폐색 요소와 상기 제2 폐색 요소 중 임의의 것은 상기 중심 축에 평행한 방향으로 연장된 하나 이상의 평면을 포함한 것인 계측 시스템.
  6. 제1항에 있어서,
    상기 제1 위치에서의 상기 제1 폐색 요소의 적어도 일부의 제1 이미지 및 상기 제2 위치에서의 상기 제2 폐색 요소의 적어도 일부의 제2 이미지를 생성하는 정렬 카메라
    를 더 포함하는 계측 시스템.
  7. 제6항에 있어서,
    상기 제1 폐색 요소의 일부는 상기 제1 폐색 요소의 중심 축과 동일 평면 상에 위치된 제1 피듀셜 마크(fiducial mark)를 포함하고,
    상기 제2 폐색 요소의 일부는 상기 제2 폐색 요소의 중심 축과 동일 평면 상에 위치된 제2 피듀셜 마크를 포함한 것인 계측 시스템.
  8. 제6항에 있어서,
    상기 표본 스테이지는, 상기 표본 상에 배치된 피듀셜(fiducial) 마크가 상기 정렬 카메라의 시야 내에 있도록, 상기 엑스선 조명 빔에 대한 제3 위치로 이동하고,
    상기 제1 이미지 및 상기 제2 이미지에 기초하여 상기 제3 위치에서 상기 표본 상의 상기 엑스선 조명 빔의 입사 위치가 결정되는 것인 계측 시스템.
  9. 제6항에 있어서,
    상기 정렬 카메라는 상기 정렬 카메라로부터, 촬상되는 상기 표본 또는 상기 제1 및 제2 폐색요소까지 측정된 거리만큼 상기 정렬 카메라의 초점면을 이동시킴으로써 선명한 이미지 포커스를 유지하는 오토 포커스 메커니즘을 포함하고,
    상기 정렬 카메라는 상기 표본의 표면 상의 상이한 위치들에서 기준 프레임과 상기 표본의 표면 사이의 거리의 변화를 측정하는 것인 계측 시스템.
  10. 제9항에 있어서,
    상기 표본의 표면에 수직인 방향으로의 상기 제1 폐색 요소에 대한 상기 표본의 상대적 위치가 상기 오토 포커스 메커니즘에 기초하여 측정되고,
    상기 상대적 위치가 무시할만한 값이 되도록 상기 표본의 표면에 수직인 방향으로 상기 표본을 표본 위치 결정 시스템이 이동시키는 것인 계측 시스템.
  11. 제1항에 있어서,
    상기 표본의 표면 반대편의 상기 표본의 배면 상의 복수의 상이한 위치들 각각에서 기준 프레임과 상기 표본의 표면 사이의 거리를 측정하도록 구성된 하나 이상의 근접도 센서(proximity sensor)
    를 더 포함하는 계측 시스템.
  12. 계측 시스템에 있어서,
    엑스선 조명 빔을 생성하도록 구성된 엑스선 조명원;
    상기 엑스선 조명 빔이 표본의 표면 상의 임의의 위치에서 상기 표본의 표면 상에 입사되도록 상기 엑스선 조명 빔에 대해 상기 표본을 위치시키고, 상기 엑스선 조명 빔이 임의의 위치에서 상기 표본의 표면 상에 복수의 입사각들로 입사되도록 상기 엑스선 조명 빔에 대해 회전축을 중심으로 상기 표본을 회전시키도록 구성된 표본 위치 결정 시스템;
    상기 표본에 인접하여 배치되고, 상기 표본의 표면과 동일 평면인 중심 축을 갖는 폐색 요소;
    상기 회전축의 일정 범위의 각(angular) 위치들에 걸쳐 일정 량의 투과 플럭스를 검출하도록 구성된 엑스선 검출기 - 상기 엑스선 조명 빔의 적어도 일부는 상기 일정 범위의 각 위치들에 걸쳐 상기 폐색 요소 상에 입사됨 -; 및
    상기 검출된 일정 량의 투과 플럭스에 기초하여 상기 엑스선 조명 빔에 대한 상기 회전축의 위치의 조정을 결정하도록 구성된 컴퓨팅 시스템
    을 포함하는 계측 시스템.
  13. 제12항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 검출된 일정 량의 투과 플럭스에 기초하여 상기 회전축에 대한 상기 표본의 위치의 조정을 결정하도록 구성된 것인 계측 시스템.
  14. 제13항에 있어서,
    상기 회전축의 위치의 조정 및 상기 표본의 위치의 조정은, 상기 일정 범위의 각 위치들에 걸친 상기 엑스선 조명 빔에 대한 상기 폐색 요소의 이동을 감소시키는 것인 계측 시스템.
  15. 제13항에 있어서,
    상기 회전축의 위치의 조정 및 상기 표본의 위치의 조정은, 상기 일정 범위의 각 위치들에 걸친 상기 엑스선 조명 빔에 대한 상기 폐색 요소의 위치의 함수로서의 투과 플럭스의 모델에 기초한 것인 계측 시스템.
  16. 제13항에 있어서,
    상기 일정 범위의 각 위치들에 걸친 상기 일정 량의 투과 플럭스의 검출, 및 상기 검출된 일정 량의 투과 플럭스에 기초한 상기 회전축의 위치의 조정 및 상기 표본의 위치의 조정은 반복적으로 수행되는 것인 계측 시스템.
  17. 제12항에 있어서,
    상기 엑스선 검출기는 또한, 상기 회전축의 제2 범위의 각 위치들에 걸쳐 제2 양의 투과 플럭스를 검출하도록 구성되고,
    상기 엑스선 조명 빔은 상기 표본의 패터닝되지 않은 영역 상에 입사되며,
    상기 컴퓨팅 시스템은 또한, 상기 검출된 제2 양의 투과 플럭스에 기초하여 상기 회전축의 각 위치와 관련된 오프셋 값을 결정하도록 구성된 것인 계측 시스템.
  18. 제17항에 있어서,
    상기 오프셋 값의 결정은 제2 범위의 각 위치들에 걸친 상기 검출된 제2 양의 투과 플럭스에 흡수 모델(absorption model)을 피팅(fitting)하는 것을 수반하는 것인 계측 시스템.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
KR1020197014383A 2016-10-21 2017-10-22 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션 KR102303132B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217021751A KR102548653B1 (ko) 2016-10-21 2017-10-22 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662411152P 2016-10-21 2016-10-21
US62/411,152 2016-10-21
US15/789,992 US10481111B2 (en) 2016-10-21 2017-10-21 Calibration of a small angle X-ray scatterometry based metrology system
US15/789,992 2017-10-21
PCT/US2017/057770 WO2018075999A1 (en) 2016-10-21 2017-10-22 Calibration of a small angle x-ray scatterometry based metrology system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217021751A Division KR102548653B1 (ko) 2016-10-21 2017-10-22 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션

Publications (2)

Publication Number Publication Date
KR20190059990A KR20190059990A (ko) 2019-05-31
KR102303132B1 true KR102303132B1 (ko) 2021-09-15

Family

ID=61970143

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217021751A KR102548653B1 (ko) 2016-10-21 2017-10-22 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션
KR1020197014383A KR102303132B1 (ko) 2016-10-21 2017-10-22 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217021751A KR102548653B1 (ko) 2016-10-21 2017-10-22 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션

Country Status (7)

Country Link
US (1) US10481111B2 (ko)
JP (1) JP6892921B2 (ko)
KR (2) KR102548653B1 (ko)
CN (1) CN109863583B (ko)
IL (3) IL302957B1 (ko)
TW (3) TWI758201B (ko)
WO (1) WO2018075999A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10303153B2 (en) * 2016-08-04 2019-05-28 Kla-Tencor Corporation Method and computer program product for controlling the positioning of patterns on a substrate in a manufacturing process
KR102592905B1 (ko) * 2016-12-21 2023-10-23 삼성전자주식회사 엑스선 영상 촬영 장치 및 제어방법
US10522326B2 (en) * 2017-02-14 2019-12-31 Massachusetts Institute Of Technology Systems and methods for automated microscopy
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
CN108469446A (zh) * 2018-06-29 2018-08-31 天津敬慎坊科技有限公司 一种荧光x射线吸收谱探测器
WO2020028412A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect ratio structures
EP3629088A1 (en) * 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
KR20240042152A (ko) * 2019-03-28 2024-04-01 가부시키가이샤 리가쿠 투과형 소각 산란 장치
EP3719484B1 (en) * 2019-04-04 2024-02-14 Malvern Panalytical B.V. X-ray beam shaping apparatus and method
US11600497B2 (en) * 2019-04-06 2023-03-07 Kla Corporation Using absolute Z-height values for synergy between tools
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
DE102019215972A1 (de) * 2019-10-17 2021-04-22 Carl Zeiss Smt Gmbh Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens
USD934194S1 (en) * 2019-10-22 2021-10-26 Lexon Speaker
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
USD938932S1 (en) * 2019-12-05 2021-12-21 Shenzhen Qiao Communication Tech Co., Ltd. Bluetooth speaker
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
CN111983313B (zh) * 2020-07-23 2023-03-31 中国电子科技集团公司第十三研究所 噪声参数测量方法
CN111975191B (zh) * 2020-08-17 2023-01-24 北京中科镭特电子有限公司 一种加工腔组件及激光加工装置
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
TWI801149B (zh) * 2021-02-25 2023-05-01 國立臺灣大學 線形掃描彩色共焦量測系統
GB2605606B (en) * 2021-04-06 2023-11-15 Halo X Ray Tech Limited A screening system
CN113376190B (zh) * 2021-06-03 2022-12-02 中国科学院高能物理研究所 光阑及光学装置
US20240085321A1 (en) * 2022-09-09 2024-03-14 Kla Corporation Methods And Systems For Model-less, Scatterometry Based Measurements Of Semiconductor Structures
CN116106347B (zh) * 2022-11-07 2023-06-20 成都物熙科技有限公司 一种二阶x射线荧光仪及其测量方法
CN116608816B (zh) * 2023-07-18 2023-09-26 东营市工业产品检验与计量检定中心 一种用于小角度测量仪器检定装置的校准方法和装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040148015A1 (en) 2002-11-13 2004-07-29 Setagon, Inc. Medical devices having porous layers and methods for making same
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6256092B1 (en) 1997-11-28 2001-07-03 Hitachi, Ltd. Defect inspection apparatus for silicon wafer
KR20010083041A (ko) 1998-06-02 2001-08-31 추후 파수 도메인 반사측정과 배경 진폭 감소 및 보상을 사용한공초점 간섭 마이크로스코피용 방법 및 장치
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6947520B2 (en) * 2002-12-06 2005-09-20 Jordan Valley Applied Radiation Ltd. Beam centering and angle calibration for X-ray reflectometry
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) * 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US20090123892A1 (en) * 2004-09-24 2009-05-14 Cat Corporation Human Body Information Extraction Device, Human Body Imaging Information Reference Plane Conversion Method, and Cross Section Information Detection Device
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US20060213537A1 (en) * 2005-03-23 2006-09-28 Thu Anh To Vertical wafer platform systems and methods for fast wafer cleaning and measurement
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7659975B1 (en) 2005-09-21 2010-02-09 Kla-Tencor Technologies Corp. Methods and systems for inspection of a wafer or setting up an inspection process
US7554656B2 (en) 2005-10-06 2009-06-30 Kla-Tencor Technologies Corp. Methods and systems for inspection of a wafer
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8605275B2 (en) 2009-01-26 2013-12-10 Kla-Tencor Corp. Detecting defects on a wafer
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US8502987B1 (en) 2011-02-01 2013-08-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method and apparatus for measuring near-angle scattering of mirror coatings
JP5963453B2 (ja) * 2011-03-15 2016-08-03 株式会社荏原製作所 検査装置
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9129715B2 (en) 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9217717B2 (en) 2012-12-17 2015-12-22 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9696264B2 (en) 2013-04-03 2017-07-04 Kla-Tencor Corporation Apparatus and methods for determining defect depths in vertical stack memory
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
JP2015118081A (ja) * 2013-11-12 2015-06-25 キヤノン株式会社 放射線検出システムおよび放射線撮像装置
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9726624B2 (en) * 2014-06-18 2017-08-08 Bruker Jv Israel Ltd. Using multiple sources/detectors for high-throughput X-ray topography measurement
WO2016059672A1 (ja) * 2014-10-14 2016-04-21 株式会社リガク X線薄膜検査装置
KR102144273B1 (ko) * 2014-10-14 2020-08-13 가부시키가이샤 리가쿠 X선 박막 검사 장치
WO2016176502A1 (en) 2015-04-28 2016-11-03 Kla-Tencor Corporation Computationally efficient x-ray based overlay measurement
US10352695B2 (en) * 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
KR102184603B1 (ko) * 2016-04-22 2020-11-30 케이엘에이 코포레이션 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040148015A1 (en) 2002-11-13 2004-07-29 Setagon, Inc. Medical devices having porous layers and methods for making same
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Also Published As

Publication number Publication date
IL265798A (en) 2019-06-30
IL302957A (en) 2023-07-01
KR20210090292A (ko) 2021-07-19
KR20190059990A (ko) 2019-05-31
TW201821791A (zh) 2018-06-16
TWI806285B (zh) 2023-06-21
TW202212817A (zh) 2022-04-01
TWI758201B (zh) 2022-03-11
CN109863583A (zh) 2019-06-07
US20180113084A1 (en) 2018-04-26
JP6892921B2 (ja) 2021-06-23
WO2018075999A1 (en) 2018-04-26
JP2020502486A (ja) 2020-01-23
US10481111B2 (en) 2019-11-19
TW202138797A (zh) 2021-10-16
IL265798B (en) 2022-02-01
TWI739935B (zh) 2021-09-21
IL302957B1 (en) 2024-03-01
CN109863583B (zh) 2020-11-06
IL285130A (en) 2021-08-31
KR102548653B1 (ko) 2023-06-27

Similar Documents

Publication Publication Date Title
KR102303132B1 (ko) 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션
KR102515243B1 (ko) 높은 공간 분해능을 가진 x-선 빔의 특성화를 위한 방법 및 시스템
US10359377B2 (en) Beam shaping slit for small spot size transmission small angle X-ray scatterometry
US10816486B2 (en) Multilayer targets for calibration and alignment of X-ray based measurement systems
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant