JP6892921B2 - 小角x線スキャトロメトリベースの計測システムの較正 - Google Patents

小角x線スキャトロメトリベースの計測システムの較正 Download PDF

Info

Publication number
JP6892921B2
JP6892921B2 JP2019521094A JP2019521094A JP6892921B2 JP 6892921 B2 JP6892921 B2 JP 6892921B2 JP 2019521094 A JP2019521094 A JP 2019521094A JP 2019521094 A JP2019521094 A JP 2019521094A JP 6892921 B2 JP6892921 B2 JP 6892921B2
Authority
JP
Japan
Prior art keywords
sample
measurement system
illumination beam
wafer
ray
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019521094A
Other languages
English (en)
Other versions
JP2020502486A (ja
Inventor
ジョン ヘンチ
ジョン ヘンチ
アントニオ ジェリノー
アントニオ ジェリノー
ニコライ アルテミエフ
ニコライ アルテミエフ
レゴロ ジョセフ エー ディ
レゴロ ジョセフ エー ディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020502486A publication Critical patent/JP2020502486A/ja
Application granted granted Critical
Publication of JP6892921B2 publication Critical patent/JP6892921B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by using a combination of at least two measurements at least one being a transmission measurement and one a scatter measurement
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/067Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators using surface reflection, e.g. grazing incidence mirrors, gratings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/303Accessories, mechanical or electrical features calibrating, standardising

Description

説明される実施形態は、X線計測システムおよび方法、より詳細には、改善された測定精度のための方法およびシステムに関する。
関連出願の相互参照
本特許出願は、米国特許法119条に基づき、2016年10月21に出願された米国仮特許出願第62/411,152号からの優先権を主張するものであり、その主題は、その全体を本願に引用して援用する。
論理およびメモリデバイスなどの半導体デバイスは、典型的には、試料に適用される一連の処理ステップによって製作される。半導体デバイスの様々な特徴部および複数の構造レベルは、これらの処理ステップによって形成される。例えば、中でもリソグラフィは、半導体ウェハ上にパターンを生成することを伴う1つの半導体製作プロセスである。半導体製作プロセスのさらなる例としては、化学機械研磨、エッチング、成膜、およびイオン注入が挙げられるが、これらに限定されない。複数の半導体デバイスが、単一の半導体ウェハ上に製作され、次いで個々の半導体デバイスへと分離されてもよい。
計測プロセスは、ウェハ上の欠陥を検出してより高い歩留りを促進するために半導体製造プロセス中の様々なステップにおいて使用される。スキャトロメトリおよびリフレクトメトリ実装ならびに関連分析アルゴリズムを含むいくつかの計測ベースの技術が、ナノスケール構造物の限界寸法、膜厚、構成物、および他のパラメータを特徴付けるために広く使用される。
従来、スキャトロメトリ限界寸法測定は、薄膜および/または反復周期構造物からなる目標物に対して実施される。デバイス製作中、これらの膜および周期構造物は、典型的には、実際のデバイスジオメトリおよび材料構造または中間設計を表す。デバイス(例えば、論理およびメモリデバイス)がより小さいナノメートルスケールの寸法へ向かうにつれて、特徴付けはより難しくなる。複雑な3次元ジオメトリおよび多様な物理的性質を有する材料を組み込むデバイスが、特徴付けの難しさに寄与する。例えば、現代のメモリ構造物は、多くの場合、光放射が下層まで浸透することを困難にする高アスペクト比の3次元構造物である。赤外−可視光を利用する光計測ツールは、半透明材料の多くの層に浸透することができるが、良好な浸透深さを提供するより長い波長は、小さな異常に対して十分な感受性を提供しない。加えて、複雑な構造物(例えば、FinFET)を特徴付けるために必要とされるますます多くのパラメータが、パラメータ相関の増加をもたらす。結果として、目標物を特徴付けるパラメータは、多くの場合、利用可能な測定と確実に切り離すことができない。
1つの例においては、より長い波長(例えば、近赤外)が、積層内の交互の材料のうちの1つとしてポリシリコンを利用する3Dフラッシュデバイスの場合に、浸透問題を克服する試みで用いられている。しかしながら、3Dフラッシュのミラー様構造は、本質的に、照明が膜積層内へより深く浸透するにつれて光強度の減少を引き起こす。これが、深さにおける感受性損失および相関問題を引き起こす。この状況では、SCDは、高感受性および低相関を有する計測次元の減少したセットしか十分に抽出するができない。
別の例では、不透明なhigh−k材料が、現代の半導体構造物においてますます用いられる。光放射は、多くの場合、これらの材料で構築される層を浸透することができない。その結果、楕円偏光計または反射率計などの薄膜スキャトロメトリツールを用いた測定は、ますます困難になっている。
これらの課題に応じて、より複雑な光計測ツールが開発されている。例えば、複数の照明角、より短い照明波長、より広い範囲の照明波長、および反射信号からのより完全な情報取得(例えば、より従来的な反射率または偏光解析信号に加えて複数のミュラー行列要素を測定する)を有するツールが開発されている。しかしながら、これらの手法は、多くの高度な目標物(例えば、複雑な3D構造物、10nmよりも小さい構造物、不透明材料を用いた構造物)の測定、および測定用途(例えば、ラインエッジラフネスおよびラインウィズスラフネス測定)に関連した根本的な課題を確実に克服しているわけではない。
原子間力顕微鏡(AFM)および走査トンネル顕微鏡(STM)は、原子分解能を達成することができるが、それらは、試料の表面を探査することしかできない。加えて、AFMおよびSTM顕微鏡は、長い走査時間を要する。走査電子顕微鏡(SEM)は、中間の分解能レベルを達成するが、十分な深さまで構造物に浸透することができない。したがって、高アスペクト比の孔は、十分に特徴付けられない。加えて、試料の必要な投入が、撮像性能に悪影響を及ぼす。X線反射率計は、それらの有効性を高アスペクト比の構造物を測定する際に制限する浸透問題にも見舞われる。
浸透深さ問題を克服するために、TEM、SEMなどの従来の撮像技術は、集束イオンビーム(FIB)加工、イオンミリング、ブランケットまたは選択エッチングなどの破壊的なサンプル浸透技術と共に用いられる。例えば、透過電子顕微鏡(TEM)は、高分解能レベルを達成し、任意の深さを探査することができるが、TEMは、試料の破壊的切片を必要とする。材料除去および測定の数回の反復が、一般的に、3次元構造物全体にわたって重要な計測パラメータを測定するために必要とされる情報を提供する。しかし、これらの技術は、サンプル破壊および長いプロセス時間を要する。複雑性、およびこれらの種類の測定を完了するための時間が、エッチングのドリフトおよび計測ステップに起因して大きな誤差をもたらす。加えて、これらの技術は、登録誤差をもたらす多数の反復を必要とする。
透過型の小角X線スキャトロメトリ(T−SAXS)システムは、困難な測定応用を解決するために有望視されている。現在のT−SAXSツールは、測定下の試料に入射する照明ビームを形成するためにビーム成形スリットを用いる。ビーム発散整形スリットは、ビームの発散角を画定するために、集束光学素子の前または後ろのビーム経路内に位置する。ビーム整形スリットは、ウェハに入射するビームスポットのサイズを画定するために、ビーム発散整形スリットの後ろのビーム経路内に位置する。さらには、T−SAXS測定は、入射角の広い範囲にわたって実施される。入射角の広い範囲にわたる測定下の計測目標物上への入射ビームの場所の登録が、信頼性の高い測定を確実にするために必要とされる。
米国特許出願公開第2016/0202193号
デバイス性能をさらに向上させるために、半導体産業は、横方向のスケーリングよりも垂直的統合に焦点を合わせ続けている。したがって、複雑な完全に3次元の構造物の正確な測定は、実行可能性および継続したスケーリング向上を確実にするためには不可欠である。将来の計測応用は、ますます小さい分解能要件、多パラメータ相関、高アスペクト比の構造物を含むますます複雑な幾何構造物、および不透明材料の使用増加に起因する計測の課題を提示する。したがって、改善されたT−SAXS測定のための方法およびシステムが望まれる。
透過型の小角X線スキャトロメトリ(T−SAXS)計測システムにおいて試料上へのX線ビーム入射の場所を較正するための方法およびシステムが本明細書に説明される。半導体製造環境における実用的なT−SAXS測定は、小ビームスポットサイズ(例えば、有効な照明スポットにわたって50ミクロメートル未満)での試料(例えば、半導体ウェハ)の表面に対する入射角および方位角の広い範囲にわたる測定を必要とする。入射角および方位角の全範囲にわたって照明ビームを半導体ウェハ上の所望の目標領域上に正確に位置付ける較正が本明細書に説明される。
1つの態様において、ウェハの表面の平面内の2次元での照明ビームの入射の正確な場所は、2つ以上の閉塞素子との照明ビームの相互作用に基づいて決定される。照明ビームの中心は、透過放射束の測定値、ならびに閉塞素子の材料およびジオメトリとのビームの相互作用のモデルに基づいて決定される。
さらなる態様において、照明ビームの入射の位置は、アライメントカメラによって測定される画像に基づいてウェハ上の任意の場所で決定される。アライメントカメラは、閉塞素子の特徴部(例えば、エッジまたは基準)に対する照明ビームの相対位置を登録し、その登録をウェハの表面上の1つ以上の場所に転送する。加えて、閉塞素子のZ位置に対するZ方向におけるウェハの位置は、ウェハの表面上のリソグラフィ特徴部に正確に焦点が合うまでアライメントカメラの焦点位置を変更することによって測定される。焦点位置の変更は、閉塞素子とウェハ上の撮像場所との間のZ位置における差を示す。
いくつかの実施形態において、閉塞素子は、ナイフエッジ構造物である。ナイフエッジ構造物は、典型的には、較正されるべき位置の方向と直角に配向される真っ直ぐのエッジを有する、タングステンカーバイドなどの薄く尖った密なhigh−z材料である。加えて、ナイフエッジの表面は、ウェハの表面と一致する。これにより、アライメントカメラがウェハと同じ平面に焦点を合わせることが可能になり、ウェハにおける測定されるビーム場所とアライメントカメラによって測定されるようなナイフエッジにおける参照ビーム場所との良好な合致を確実にする。
いくつかの実施形態において、閉塞素子は、既知の直径の精密な円筒である。これらの実施形態において、ビームの閉塞は、円筒の半径によってZ方向にオフセットされる。これらの実施形態において、円筒の中心軸と一致し、基準参照マークでマークされる追加の表面は、カメラフレームに円筒閉塞素子の軸および半径を参照させるのに有利である。これらの実施形態において、円筒閉塞素子の中心軸は、ウェハの表面と一致し、較正されるべき位置の方向と直角に配向される。
別の態様において、入射角の範囲にわたってウェハを配向することと関連付けられた回転軸の位置は、ウェハの表面と同一平面上にあり、入射角の範囲にわたる照明スポットの過剰なドリフトを避けるために測定場所において照明ビームに交わるように整列される。
いくつかの実施形態において、回転軸の位置の較正は、照明ビームの中心を閉塞素子と整列させ、複数の異なる入射角で透過放射束を測定することによって達成される。閉塞素子の視運動は、選択された閉塞モデルに基づいて、測定された放射束から決定される。幾何モデルは、閉塞素子の視運動を、所望の整列を達成するためのステージ構成における調整にマップする。
いくつかの他の実施形態において、回転軸の位置の較正は、ウェハステージのウェハ平面と整列された焦点面を有する高解像度X線カメラを位置付けることによって達成される。ウェハ平面における照明スポットの位置は、ステージが入射角の広い範囲にわたって回転される間に高解像度X線カメラによって測定される。入射角の関数としてのウェハ平面における照明スポットの位置のマッピングは、この測定に基づいて生成される。
いくつかの他の実施形態において、ステージ参照フレームの回転軸の位置の較正は、高い回折効率を有するウェハ上に小さい目標物を位置付けることによって達成される。回折次数の強度は、ステージが入射角の広い範囲にわたって回転される間に測定される。回折次数の強度は、入射角の関数としての照明スポットと目標物との間のずれを示す。入射角の関数としてのずれのマッピングは、この測定に基づいて生成される。
別の態様において、ウェハ表面に対する照明ビームの通常入射角と、試料位置決めシステムによって測定されるようなゼロ入射角との間のAOIオフセット値の正確な測定が決定される。
いくつかの実施形態において、AOIオフセット値は、AOIの範囲にわたる吸収測定に基づいて決定される。いくつかの実施形態において、AOIオフセット値は、180度分離された2つの方位角において較正格子から散乱された回折次数の測定に基づいて決定される。
別の態様において、照明ビームに対するウェハ表面のゼロ方位角と試料位置決めシステムによって測定されるようなゼロ方位角との間の方位オフセット値の正確な測定が決定される。加えて、ウェハ表面の中心と回転ステージの回転の中心との間のオフセット値の正確な測定が決定される。
別の態様において、ウェハ座標とステージ座標との間の方位角オフセットの正確な較正は、1つ以上の方位角における既知の応答特性を有する較正格子の測定と関連付けられた回折次数の位置に基づく。
別の態様において、検出器は、ステージに対して較正され、方位角は、円すい回折のための周知の公式と共に複数の較正された入射角を同時に使用してステージに対して較正される。
いくつかの実施形態において、ビーム整形スリット機構は、各入射角、方位角、または両方について、入射ビームのプロファイルを最適化するために試料の配向と連携してビーム軸の周りを回転する。この様式では、ビーム形状は、計測目標物の形状に合致される。残念ながら、回転アクチュエータにおける不備は、ビーム整形スリット機構が照明ビームの軸の周りですりこぎ運動をする原因となる。これは、照明ビームの入射の場所が、異なる方位角および対応するビームスリット角ではドリフトする原因となる。
さらなる態様において、X−Yステージオフセットの較正マップは、方位角の範囲および対応するビームスリット角について照明ビームの入射の場所の測定に基づいて決定される。
いくつかの実施形態において、測定は、ウェハ表面の場所に焦点面を有するX線カメラによって実施される。いくつかの他の実施形態において、X−Yステージオフセットの較正マップは、方位角の範囲および対応するビームスリット角について検出器による小さい目標物の測定に基づいて決定される。
別の態様において、Z方向におけるウェハの表面の形状は、アライメントカメラ、光学近接センサ、容量性近接センサ、または任意の他の好適な近接センサのいずれかを使用してマッピングされる。
さらなる態様において、Zアクチュエータは、照明ビーム116の入射の場所におけるウェハの表面の形状に応じて、ウェハZ位置、Rx配向、Ry配向、またはそれらの任意の組み合わせを調整するように制御される。
別のさらなる態様において、Zアクチュエータは、特定の目標物が方位角の範囲にわたってアライメントカメラの焦点内に留まるように、方位における回転軸をステージ参照フレームと整列させるために、ウェハZ位置、Rx配向、Ry配向、またはそれらの任意の組み合わせを調整するように制御される。
前述は、要約であり、したがって、必然的に、詳細の簡略化、一般化、および省略を含み、結果として、当業者は、本要約が例証にすぎず、いかようにも制限していないということを理解するものとする。本明細書に説明されるデバイスおよび/またはプロセスの他の態様、発明的特徴、および利点は、本明細書に明記される非限定的な詳細説明において明らかになるものとする。
本明細書に説明される方法に従う、様々なシステムパラメータの較正を実施するように構成される計測システム100の例証図である。 1つの構成にあるビーム整形スリット機構120の端面図である。 別の構成にあるビーム整形スリット機構120の端面図である。 角度φおよびθによって説明される特定の配向でウェハ101に入射するX線照明ビーム116を描写する図である。 照明ビーム116がウェハ101に入射する位置まで移動されるウェハステージを有する試料位置決めシステム140の例証図である。 回転軸153がウェハ101と一緒に照明ビーム116の入射点における照明ビーム116と交わる、図5に描写されるようなウェハ101に入射する照明ビーム116の上面図である。 回転軸153がウェハの表面101とZ方向にずらされる、図5に描写されるようなウェハ101に入射する照明ビーム116の上面図である。 回転軸153が照明ビーム116からX方向にオフセットされる、図5に描写されるようなウェハ101に入射する照明ビーム116の上面図である。 照明ビーム116が円筒ピン素子151によって閉塞される位置まで移動されるウェハステージを有する試料位置決めシステム140の例証図である。 照明ビーム116に対する閉塞素子の相対位置の関数としての測定された放射束を例証するプロット170を描写する図である。 ゼロの方位角でT−SAXSシステム100によって測定された格子構造物171を描写する図である。 180度の方位角でT−SAXSシステム100によって測定された同じ格子構造物171を描写する図である。 ゼロ方位角で実施された測定172および180度の方位角で実施された別の測定173について、検出器119によって検出される1つの回折次数の測定された強度を示すプロットを描写する図である。 試料101から分離する、真空環境内に含まれる計測システム100の素子の例証図である。 本明細書に説明される方法に従う、T−SAXSデータに基づいて試料パラメータ値を分解するように構成されるモデル構築および分析エンジン180の例証図である。 本明細書に説明されるような、複数の入射角および方位角でのT−SAXS測定に基づいて入射角オフセット値を較正する例示的な方法200を例証するフローチャートを描写する図である。
これより本発明の背景技術例およびいくつかの実施形態について詳細に言及し、これらの例は、添付の図面に例証される。
透過型の小角X線スキャトロメトリ(T−SAXS)計測システムにおいて試料上へのX線ビーム入射の場所を較正するための方法およびシステムが本明細書に説明される。半導体製造環境における実用的なT−SAXS測定は、小ビームスポットサイズ(例えば、有効な照明スポットにわたって50ミクロメートル未満)での試料(例えば、半導体ウェハ)の表面に対する入射角および方位角の広い範囲にわたる測定を必要とする。入射角および方位角の全範囲にわたって照明ビームを半導体ウェハ上の所望の目標領域上に正確に位置付ける較正が本明細書に提示される。
図1は、本明細書に提示される例示的な方法に従う、試料の特性を測定するためのT−SAXS計測ツール100の実施形態を例証する。図1に示されるように、システム100は、照明ビームスポットによって照明される試料101の検査領域102にわたってT−SAXS測定を実施するために使用され得る。
描写される実施形態において、計測ツール100は、T−SAXS測定に好適なX線放射を生成するように構成されるX線照明源110を含む。いくつかの実施形態において、X線照明源110は、0.01ナノメートル〜1ナノメートルの波長を生成するように構成される。一般に、高スループットのインライン計測を可能にするのに十分な放射束レベルで高輝度X線を生成することができる任意の好適な高輝度X線照明源が、T−SAXS測定のためのX線照明を供給することが企図され得る。いくつかの実施形態において、X線源は、X線源が異なる選択可能な波長でX線放射を送達することを可能にする可変型モノクロメータを含む。
いくつかの実施形態において、15keVより大きい光子エネルギーを有する放射線を放出する1つ以上のX線源が、デバイス全体ならびにウェハ基板への十分な透過を可能にする波長でX線源が光を供給することを確実にするために用いられる。非限定的な例として、粒子加速器源、液体陽極源、回転陽極源、固定、固体陽極源、マイクロフォーカス源、マイクロフォーカス回転陽極源、プラズマベース源、および逆コンプトン源のいずれかが、X線照明源110として用いられ得る。1つの例において、Lyncean Technologies,Inc.,Palo Alto,California(USA)から入手可能な逆コンプトン源が企図され得る。逆コンプトン源は、光子エネルギーの範囲にわたってX線を生成することができ、それによりX線源が異なる選択可能な波長でX線放射を送達することを可能にするというさらなる利点を有する。
例示的なX線源としては、X線放射をシミュレートするために固体または液体目標物に照射するように構成される電子ビーム源が挙げられる。高輝度の液体金属X線照明を生成するための方法およびシステムは、KLA−Tencor Corp.に対する2011年4月19日に発行の米国特許第7,929,667号に記載され、その全体を本願に引用して援用する。
X線照明源110は、有限の横方向寸法(すなわち、ビーム軸に直交する非ゼロ寸法)を有する源領域にわたってX線放出を生成する。集束光学素子111は、試料101上に位置する計測目標物上に源放射の焦点を合わせる。有限の横方向源寸法は、源のエッジから入る線117によって画定される目標物上の有限のスポットサイズ102を結果としてもたらす。いくつかの実施形態において、集束光学素子111は、楕円形状の集束光学素子を含む。
ビーム発散制御スリット112は、集束光学素子111とビーム整形スリット機構120との間のビーム経路内に位置する。ビーム発散制御スリット112は、測定下の試料に提供される照明の発散を制限する。追加の中間スリット113は、ビーム発散制御スリット112とビーム整形スリット機構120との間のビーム経路内に位置する。中間スリット113は、追加のビーム整形を提供する。しかしながら、一般に、中間スリット113は任意選択的である。
ビーム整形スリット機構120は、試料101の直前のビーム経路内に位置する。1つの態様において、ビーム整形スリット機構120のスリットは、有限の源サイズによって画定されるビーム発散に起因する入射ビームスポットサイズの拡大を最小限にするために、試料101にごく接近して位置する。1つの例において、有限の源サイズによって作成される影に起因するビームスポットサイズの拡張は、10ミクロメートルのX線源サイズおよびビーム整形スリットと試料101との間の25ミリメートルの距離では、およそ1ミクロメートルである。
いくつかの実施形態において、ビーム整形スリット機構120は、複数の、独立して作動されるビーム整形スリット(すなわち、刃)を含む。1つの実施形態において、ビーム整形スリット機構120は、4つの、独立して作動されるビーム整形スリットを含む。これら4つのビーム整形スリットは、入ってくるビーム115の部分を効果的にブロックし、箱型の照明断面を有する照明ビーム116を生成する。
図2および図3は、2つの異なる構成にある、図1に描写されるビーム整形スリット機構120の端面図を描写する。図2および図3に例証されるように、ビーム軸は、図面ページに垂直である。図2に描写されるように、入ってくるビーム115は、大きい断面を有する。いくつかの実施形態において、入ってくるビーム115は、およそ1ミリメートルの直径を有する。さらには、ビーム整形スリット126〜129内の入ってくるビーム115の場所は、ビーム指向誤差に起因しておよそ3ミリメートルの不確かさを有し得る。入ってくるビームのサイズおよびビーム場所の不確かさに適応するため、各スリットは、およそ6ミリメートルの長さLを有する。図2に描写されるように、各スリットは、ビーム軸に垂直な方向に移動可能である。図2の例証において、スリット126〜129は、ビーム軸から最大距離に位置する(すなわち、スリットは完全に開いており、スリットがビーム整形スリット機構120を通過する光を制限していない)。
図3は、測定下の試料へ送達され出ていくビーム116が低減されたサイズおよび輪郭のはっきりとした形状を有するように、入ってくるビーム115の部分をブロックする位置にあるビーム整形スリット機構120のスリット126〜129を描写する。図3に描写されるように、スリット126〜129の各々は、所望の出力ビーム形状を達成するためにビーム軸へ向かって内側に移動している。
スリット126〜129は、散乱を最小限にし、入射放射線を効果的にブロックする材料から構築される。例示的な材料としては、ゲルマニウム、ガリウムヒ素、リン化インジウムなどの単結晶材料が挙げられる。典型的には、スリット材料は、構造境界をまたぐ散乱を最小限にするために、切り出されるというよりも、結晶学的方位に沿って劈開される。加えて、スリットは、入ってくる放射線とスリット材料の内側構造との間の相互作用が最小量の散乱をもたらすように、入ってくるビームに対して配向される。結晶は、スリットの片側においてX線ビームを完全にブロックするために高密度材料(例えば、タングステン)製の各スリットホルダに装着される。いくつかの実施形態において、各スリットは、およそ0.5ミリメートルの幅およびおよそ1〜2ミリメートルの高さを有する矩形断面を有する。図2に描写されるように、スリットの長さLは、およそ6ミリメートルである。
一般に、X線光学素子は、X線放射を形作り、それを試料101へと向ける。いくつかの例において、X線光学素子は、試料101に入射するX線ビームを単色化するためにX線モノクロメータを含む。いくつかの例において、X線光学素子は、多層X線光学素子を使用して1ミリラド未満の発散まで、X線ビームを試料101の測定領域102上へ、コリメートするか、または焦点を合わせる。これらの例において、多層X線光学素子は、ビームモノクロメータとしても機能する。いくつかの実施形態において、X線光学素子は、1つ以上のX線コリメートミラー、X線絞り、X線ビームストップ、屈折X線光学素子、ゾーンプレートなどの回折光学素子、モンテル光学素子、斜入射楕円面鏡などの鏡面X線光学素子、中空キャピラリX線導波管などのポリキャピラリ光学素子、多層光学素子もしくはシステム、またはそれらの任意の組み合わせを含む。さらなる詳細は、米国特許公開第2015/0110249号に記載され、その内容は、その全体を本願に引用して援用する。
X線検出器119は、試料101から散乱されるX線放射114を集めて、T−SAXS測定法性に従って、入射X線放射に敏感な試料101の性質を示す出力信号135を生成する。いくつかの実施形態において、散乱X線114は、試料位置決めシステム140が、角度分解散乱X線を生成するために試料101を位置付けおよび配向する間に、X線検出器119によって集められる。
いくつかの実施形態において、T−SAXSシステムは、高ダイナミックレンジ(例えば、10超)を有する1つ以上の光子計数検出器を含む。いくつかの実施形態において、単一の光子計数検出器が、検出される光子の位置および数を検出する。
いくつかの実施形態において、X線検出器は、1つ以上のX線光子エネルギーを分解し、試料の性質を示す各X線エネルギー成分について信号を生成する。いくつかの実施形態において、X線検出器119は、CCDアレイ、マイクロチャネルプレート、フォトダイオードアレイ、マイクロストリップ比例計数管、ガス充填比例計数管、シンチレータ、または蛍光材料のいずれかを含む。
この様式では、検出器内のX線光子相互作用は、画素位置およびカウント数に加えてエネルギーによって判別される。いくつかの実施形態において、X線光子相互作用は、X線光子相互作用のエネルギーを所定の上位しきい値および所定の下位しきい値と比較することによって判別される。1つの実施形態において、この情報は、さらなる処理および記憶のために出力信号135を介してコンピューティングシステム130に通信される。
さらなる態様において、T−SAXSシステムは、散乱光の1つ以上の回折次数に基づいて試料の性質(例えば、構造パラメータ値)を決定するために用いられる。図1に描写されるように、計測ツール100は、検出器119によって生成される信号135を取得し、取得した信号に少なくとも部分的に基づいて試料の性質を決定するために用いられるコンピューティングシステム130を含む。
いくつかの例において、T−SAXSに基づいた計測は、測定されたデータを用いた所定の測定モデルの逆解法によってサンプルの寸法を決定することを伴う。測定モデルは、数個(10ほど)の調整可能なパラメータを含み、試料のジオメトリおよび光学的性質、ならびに測定システムの光学的性質を表す。逆解法の方法は、モデルベースの回帰、断層写真術、機械学習、またはそれらの任意の組み合わせを含むが、これらに限定されない。この様式では、目標物プロファイルパラメータは、測定された散乱X線強度とモデル化された結果との間の誤差を最小限にするパラメータ化測定モデルの値を求めることによって予測される。
測定されるパラメータ値の正確性および精度を高めるために、入射角および方位角の広い範囲で測定を実施することが望ましい。この手法は、面外配向の様々な大角度を含むために、分析に利用可能なデータセットの数および多様性を拡張することによって、パラメータ間の相関を低減する。例えば、通常配向では、T−SAXSは、特徴部の限界寸法を分解することができるが、特徴部の側壁角および高さには極めて鈍感である。しかしながら、面外角度配向の幅広い範囲にわたって測定データを集めることによって、特徴部の側壁角および高さは分解され得る。他の例において、入射角および方位角の広い範囲において実施される測定は、高アスペクト比構造物をそれらの深さ全体にわたって特徴付けるのに十分な分解能および浸透の深さを提供する。
ウェハ面法線に対するX線入射角の関数としての回折放射線の強度の測定が集められる。複数の回折次数に含まれる情報は、典型的には、検討下の各モデルパラメータ間で固有である。したがって、X線散乱は、目的のパラメータの値についての予測結果を、小さい誤差および低減されたパラメータ相関で産出する。
半導体ウェハ101の面法線に対する照射X線ビーム116の各配向は、X線照明ビーム115に対するウェハ101の任意の2つの角度回転、またはその逆によって説明される。1つの例において、配向は、ウェハに固定される座標システムに関して説明され得る。図4は、入射角θおよび方位角φによって説明される特定の配向でウェハ101に入射するX線照明ビーム116を描写する。座標フレームXYZは、計測システム(例えば、照明ビーム116)に固定され、座標フレームX’Y’Z’は、ウェハ101に固定される。Y軸は、ウェハの表面101と同一面内に整列される。XおよびZは、ウェハの表面101と整列されない。Z’は、ウェハの表面101に垂直の軸と整列され、X’およびY’は、ウェハの表面101と同一面内に整列される。図4に描写されるように、X線照明ビーム116は、Z軸と整列され、したがって、XZ平面内にある。入射角θは、XZ平面におけるウェハの面法線に対するX線照明ビーム116の配向を説明する。さらに、方位角φは、X’Z’平面に対するXZ平面の配向を説明する。θおよびφは一緒に、ウェハの表面101に対するX線照明ビーム116の配向を一意的に画定する。この例では、ウェハの表面101に対するX線照明ビームの配向は、ウェハの表面101に垂直の軸(すなわち、Z’軸)の周りの回転、およびウェハの表面101と整列された軸(すなわち、Y軸)の周りの回転によって説明される。いくつかの他の例において、ウェハの表面101に対するX線照明ビームの配向は、ウェハの表面101と整列された第1の軸、およびウェハの表面101と整列され、かつ第1の軸に垂直である別の軸の周りの回転によって説明される。
図1に例証されるように、計測ツール100は、試料101を整列させること、ならびに試料101を入射角および方位角の広い範囲にわたって照明ビーム116に対して配向することの両方を行うように構成される試料位置決めシステム140を含む。いくつかの実施形態において、試料位置決めシステム140は、試料101の表面と同一平面内に整列される回転角度の広い範囲(例えば、少なくとも60度)にわたって試料101を回転させるように構成される。この様式では、試料101の角度分解測定は、試料101の表面上の任意の数の場所および配向にわたって計測システム100によって集められる。1つの例において、コンピューティングシステム130は、試料101の所望の位置を示すコマンド信号(図示せず)を試料位置決めシステム140に通信する。これに応えて、試料位置決めシステム140は、試料101の所望の位置決めを達成するために、試料位置決めシステム140の様々なアクチュエータへのコマンド信号を生成する。
図5は、1つの実施形態における試料位置決めシステム140を描写する。図5に描写されるように、試料位置決めシステム140は、ベースフレーム141、横方向整列ステージ142、ステージ参照フレーム143、およびウェハステージ144を含む。参照の目的のため、{XBF,YBF,ZBF}座標フレームは、ベースフレーム141に装着され、{XNF,YNF,ZNF}座標フレームは、横方向整列ステージ142に装着され、{XRF,YRF,ZRF}座標フレームは、ステージ参照フレーム143に装着され、{XSF,YSF,ZSF}座標フレームは、ウェハステージ144に装着される。ウェハ101は、アクチュエータ150A〜Cを含むチップ−チルトZステージ156によってウェハステージ144上に支持される。チップ−チルトZステージ156に取り付けられた回転ステージ158は、ウェハ101を、方位角φの範囲にわたって、照明ビーム116に対して配向する。描写される実施形態において、3つの線形アクチュエータ150A〜Cは、ウェハステージ144に取り付けられて、回転ステージ158を支持し、さらにはこの回転ステージ158がウェハ101を支持する。
アクチュエータ145は、横方向整列ステージ142を、XBF軸に沿ってベースフレーム141に対して並進させる。回転アクチュエータ146は、ステージ参照フレーム143を、YNF軸と整列された回転軸153の周りで横方向整列ステージ142に対して回転させる。回転アクチュエータ146は、ウェハ101を、入射角θの範囲にわたって、照明ビーム116に対して配向する。ウェハステージアクチュエータ147および148は、ウェハステージ144を、それぞれXRFおよびYRF軸に沿ってステージ参照フレーム143に対して並進させる。アクチュエータ150A〜Cは、回転ステージ158およびウェハ101を、ZSF方向にウェハステージ144に対して並進させ、回転ステージ158およびウェハ101を、XSF−YSF平面と同一平面上の軸の周りでウェハステージ144に対してチップおよびチルトするために連携して動作する。回転ステージ158は、ウェハの表面101に垂直の軸の周りでウェハ101を回転させる。
要するに、ウェハステージ144は、照明ビーム116がウェハの表面101上の任意の場所(すなわち、XRFおよびYRF方向に少なくとも300ミリメートルの範囲)で入射され得るように、照明ビーム116に対してウェハ101を移動させることができる。回転アクチュエータ146は、照明ビーム116が入射角の広い範囲のいずれかで(例えば、2度超)ウェハの表面101に入射され得るように、照明ビーム116に対してステージ参照フレーム143を回転させることができる。1つの実施形態において、回転アクチュエータ146は、少なくとも60度の範囲にわたってステージ参照フレーム143を回転させるように構成される。ウェハステージ144に取り付けられた回転ステージ158は、照明ビーム116が方位角の広い範囲のいずれか(例えば、少なくとも90度の回転範囲)でウェハの表面101に入射され得るように、照明ビーム116に対してウェハ101を回転させることができる。
いくつかの他の実施形態において、横方向整列ステージ142は、取り除かれ、ステージ参照フレーム143は、回転アクチュエータ146によって、ベースフレーム141に対して回転される。これらの実施形態において、X線照明システムは、X線照明ビーム116がベースフレーム141に対して、例えばXBF方向に移動することを引き起こすX線照明システムの1つ以上の光学素子を移動させる1つ以上のアクチュエータを含む。これらの実施形態において、本明細書に説明されるような較正の目的のためのステージ参照フレーム143の移動は、例えば、X線照明ビームを回転軸153に対して所望の位置まで移動させるX線照明システムの1つ以上の光学素子の移動に置き換えられる。
図5に描写される実施形態などのいくつかの実施形態において、試料位置決めシステムは、少なくとも1つのビーム閉塞素子、および照明ビームの入射の場所を較正し、ステージ参照フレームの回転軸を照明ビームの入射点における照明ビームに対してウェハと一緒に整列させるために用いられるアライメントカメラを含む。閉塞素子は、測定下のウェハの表面と同一平面上のウェハステージに取り付けられる。アライメントカメラは、ステージ参照フレームに取り付けられ、したがって、ステージ参照フレームと一緒に回転する。
図5に描写される実施形態において、閉塞素子は、ウェハステージ144に取り付けられた円筒ピン形状の素子151および152であって、これらは、円筒ピン形状の素子151および152の中心軸がウェハ101の表面とおよそ同一平面上にあるように取り付けられる。図5に描写されるように、円筒ピン素子151は、YNF軸と平行に大体整列された中心軸を含み、円筒ピン素子152は、XRF軸と平行に大体整列された中心軸を含む。ビームスリットと同様に、円筒ピンは、任意の衝突X線の大部分の吸収によってビームを閉塞する。
試料位置決めシステム140はまた、ステージ参照フレーム143に取り付けられたアライメントカメラ154を含む。アライメントカメラ154は、ウェハ101など、その視野内の対象物の高解像度画像を生成するように構成される。アライメントカメラ154はまた、カメラの焦点を測定された距離だけ正確に移動させることによって鮮明な画像焦点を維持するオートフォーカス機構を含む。この様式では、アライメントカメラ154は、カメラの焦点のz変位を監視することによって、カメラ本体が取り付けられるステージ参照フレームと、カメラによって撮像されるウェハ101または円筒ピン素子151および152との間の相対距離を測定するために使用され得る。
1つの態様において、ウェハの表面の平面内の2次元での照明ビームの入射の正確な場所は、2つ以上の閉塞素子との照明ビームの相互作用に基づいて決定される。
図7は、照明ビーム116が円筒ピン素子151によって閉塞される位置まで移動されるウェハステージを有する試料位置決めシステム140の例証図である。円筒ピン151に対する照明ビームの入射の正確な場所は、照明ビーム116(すなわち、ベースフレーム141)に対する円筒ピン151のX位置の関数として検出器119によって測定される透過放射束に基づいて決定される。図7に描写されるように、円筒ピン151が、正のX方向(XBFの方向)に移動されるにつれて、円筒ピン151によって閉塞される照明ビーム116が次第に多くなる。その結果、より少ない光子が検出器119に達する。しかしながら、円筒ピン151が負のX方向(XBFの逆)に移動されるにつれて、円筒ピン151によって閉塞される照明ビーム116は次第に少なくなる。検出器119は、X位置の関数としての測定された放射束を示す信号155を生成し、その結果は、照明ビーム116の中心と一致する円筒ピンの位置を特定するために分析される。
図8は、照明ビーム116に対する、円筒ピン、または代替的にナイフエッジの相対位置の関数としての測定された放射束を例証するプロット170を描写する。測定された放射束155と相対位置との描写される関係性は、シグモイド関数である。
いくつかの例において、ビーム中心は、測定された放射束が最小放射束値FMINと最大放射束値FMAXとの中間である、照明ビームに対する円筒ピンの相対位置であると決定される。しかしながら、いくつかの他の例において、ビーム中心は、測定された放射束の幅の真ん中とは異なる別の放射束値で決定されてもよい。いくつかの例において、より正確な関係性は、円筒ピンまたはナイフエッジの材料およびジオメトリとのビームの相互作用のモデル化によって決定される。これらの例において、モデル化された相互作用は、測定された透過放射束と比較され、フィッティングアルゴリズムが、測定された結果のモデルへのフィットに基づいてビーム中心と整列する、照明ビームに対する円筒ピンまたはナイフエッジの相対位置を決定するために使用される。
1つの例において、照明ビーム116の中心に対する円筒ピン151の現在の位置と、ビーム中心と一致する円筒ピン151の位置との間の距離ΔXの予測は、等式(1)によって説明されるように円筒ピン位置の関数として、測定された放射束FMEAS、放射束の中間点FMID、および測定された放射束の微分係数の逆数に基づき、
Figure 0006892921
MIDは、等式(2)によって説明される。
Figure 0006892921
測定された放射束の最大および最小値は、透過放射束を測定している間にウェハステージを走査することによって測定され得る。さらには、中間点における傾斜もまた予測され得る。これらの量に基づいて、円筒ピンの中心位置の変化の予測は、単に放射束を1つの位置において測定することによって等式(1)に従って決定される。必要な場合、中心位置の変化は、中心位置に収束させるために反復的に決定され得る。
ビームは2つの方向(例えば、XおよびY方向)に重心成分を有するため、この重心成分の方向に垂直に各々配向された2つの円筒ピンが測定される。図7に描写される実施形態において、円筒ピン151は、ビーム中心をX方向にステージ参照フレームに対して位置付けるために用いられ、円筒ピン152は、ビーム中心をY方向にステージ参照フレームに対して位置付けるために用いられる。一般に、3つ以上の円筒ピンが、冗長性を生成し、ビーム場所の較正の精度を高めるために利用され得る。
さらなる態様において、照明ビームの入射の位置は、アライメントカメラによって測定される画像に基づいてウェハ上の任意の場所で決定される。図7に描写されるように、照明ビーム116の中心は、本明細書内で先に説明されるような垂直および水平に配向された円筒ピン151および152と整列される。図7に描写される実施形態において、基準マーク157は、円筒ピン151の中心軸と同一平面上に位置する。同様に、基準マークは、円筒ピン152の中心軸と同一平面上に位置する。円筒ピン151とのビーム中心整列の場所において、円筒ピン151、または円筒ピンにあるもしくはその近くの基準157に対する照明ビーム116の位置は、アライメントカメラ154によって記録される。これは、アライメントカメラの視野内の正確な場所に対する照明ビームの相対位置を登録する(焦点位置の変更はないと仮定する)。図5に描写されるように、ウェハ101は、アライメントカメラ154の視野内で移動される。ウェハ101は、ウェハ上の所望の場所(例えば、基準マーク)がアライメントカメラ154の視野内で撮像されるように移動される。所望の場所に対する照明ビーム116の位置は、以前の登録に基づいてアライメントカメラ154によって決定される。この様式では、XおよびY方向におけるウェハ101上の照明ビーム116の位置は、アライメントカメラ154によって集められた画像に基づいて素早く予測される。同様に、円筒ピン151のZ位置に対するZ方向のウェハの位置は、ウェハの表面101上のリソグラフィ特徴部に正確に焦点が合うまでアライメントカメラ154の焦点位置を変更することによって測定される。焦点位置の変更は、円筒ピンとウェハ上の撮像場所との間のZ位置における差を示す。アクチュエータ150A〜Cは、ウェハ101をZ方向に再位置決めして、円筒ピン(例えば、基準157)と同一平面内にあるべき撮像場所を再位置決めするために用いられ得る。
さらなる態様において、照明ビームの入射の位置は、ウェハステージ座標に基づいてウェハ上の任意の場所で決定される。照明ビームの中心が垂直および水平円筒ピンと整列され、円筒ピン、または、ナイフエッジにおけるもしくはその近くの基準マークに対する照明ビームの位置が、本明細書内に先に説明されるようにアライメントカメラによって記録されると、照明ビームの入射の場所は、ステージ座標に転送され得る。図5に描写されるように、ウェハ101は、アライメントカメラ154の視野内で移動される。ウェハ101の移動は、ウェハステージ144の位置測定システム(例えば、線形符号器など)によって測定される。ウェハ101をアライメントカメラ154の視野内で撮像されるウェハ上の3つ以上の所望の場所(例えば、基準マーク)へ移動させることによって、所望の場所に対する照明ビームの位置が、ステージ座標内のウェハの位置と一緒に、各所望の場所において決定される。3つ以上の場所における照明ビームおよびステージ座標の既知の場所に基づいて、ステージ座標を照明ビームの入射の場所に関連させるマップが生成される。
照明ビーム116の中心に(X方向に)円筒ピン151を位置付けた後、アライメントカメラ154は、円筒ピン自体、または円筒ピン上もしくはその近くの基準マークの場所を撮像して、ビーム位置とアライメントカメラ154の視野内の画像位置との関係性を確立する。アライメントカメラ154は、ステージ参照フレーム143に対する固定または繰り返し可能な位置に位置するため、画像は、ステージ参照フレーム143に対する照明ビームの場所を登録し、したがって、X方向におけるビーム場所の参照としての役割を果たす。さらに、アライメントカメラ154は、円筒ピン自体、または基準マークの正確な焦点位置を確立して、ステージ参照フレーム143に対する円筒ピンの正確なZ位置を確立する。アライメントカメラ154がステージ参照フレームと一緒に回転することから、アライメントカメラ154の焦点位置は、ステージ参照フレームに対する円筒ピンのZ位置の参照としての役割を果たす。
いくつかの実施形態において、閉塞素子は、ナイフエッジ構造物である。ナイフエッジ構造物は、典型的には、較正されるべき位置の方向と直角に配向される真っ直ぐのエッジを有する、タングステンカーバイドなどの薄く尖った密なhigh−z材料である。加えて、ナイフエッジのエッジは、ウェハの表面と一致する。これにより、アライメントカメラ154がウェハと同じ平面に焦点を合わせることが可能になり、ウェハにおける測定されるビーム場所とアライメントカメラによって測定されるようなナイフエッジにおける参照ビーム場所との良好な合致を確実にする。
いくつかの実施形態において、閉塞素子は、本明細書内で先に説明されるように既知の直径の精密な円筒である。これらの実施形態において、ビームの閉塞は、円筒の半径によってZ方向にオフセットされる。これらの実施形態において、円筒の中心軸と一致し、基準参照マーク(例えば、基準157)でマークされる追加の表面は、カメラフレームに円筒閉塞素子の軸および半径を参照させるのに有利である。これらの実施形態において、円筒閉塞素子の中心軸は、ウェハの表面と一致し、本明細書内で先に説明されるように較正されるべき位置の方向と直角に配向される。
一般に、閉塞素子は、線状に延びた形状であり得る。いくつかの例において、閉塞素子は、多角形の中心軸に沿って延びた多角形断面を有する。いくつかの例において、閉塞素子は、閉塞素子の中心軸に平行な方向に延びる1つ以上の平面表面を含む。
閉塞された放射束がビーム入射の場所を予測するために利用されることから、照明ビーム内の放射束の変化が位置のシフトと見なされるというリスクが存在する。いくつかの実施形態において、照明ビームの放射束は、ナイフエッジ測定の直前に、その後に、またはそれと同時に測定される。照明放射束の変動は、測定に対するそれらの影響を除去するために測定された放射束155の分析において補正される。
測定完全性を確実にするため、ウェハの表面101上の照明ビーム116の入射の場所は、入射角および方位角の広い範囲にわたる測定の間、静止したままでなければならない。この目的を達成するため、ステージ参照フレーム143の回転軸153は、測定場所においてウェハの表面101とおよそ同一平面上になければならない。さらには、回転軸153は、回転軸153が、測定場所においてウェハ101と一緒に、照明ビーム116の入射点における照明ビーム116と交わるように、XBF方向に照明ビーム116と整列されなければならない。
図6Aは、図5に描写されるようなウェハ101に入射する照明ビーム116の上面図を描写する。図6Aは、回転軸153がウェハ101上の場所103においてウェハ101と一緒に、照明ビーム116の入射点おける照明ビーム116と交わる整列の状態にある回転軸153の端面図を描写する。図6Aに描写されるように、ウェハ101が大入射角θにわたって回転軸153の周りを回転されるとき、照明ビーム116は、場所103での入射に留まる。したがって、この状況では、ウェハの表面101上の照明ビーム116の入射の場所は、入射角の広い範囲にわたる測定の間、静止したままである。
図6Bは、図5に描写されるようなウェハ101に入射する照明ビーム116の上面図を描写する。図6Bは、回転軸153が距離∂zだけウェハの表面101とずらされる整列の状態にある回転軸153の端面図を描写する。図6Bに描写されるように、ウェハ101が大入射角θにわたって回転軸153の周りを回転されると、場所103の部分は、もはや照明されない(すなわち、ウェハ101の何らかの他の部分が代わりに照明される)。したがって、この状況では、ウェハ101の表面上の照明ビーム116の入射の場所は、入射角の広い範囲にわたる測定の間にドリフトし、これは非常に望ましくない。
図6Cは、図5に描写されるようなウェハ101に入射する照明ビーム116の上面図を描写する。図6Cは、回転軸153がウェハの表面101と同一平面上にあるが、距離∂xだけ照明ビーム116からオフセットされる整列の状態にある回転軸153の端面図を描写する。図6Cに描写されるように、ウェハ101が大入射角θにわたって回転軸153の周りを回転されると、場所103の部分は、もはや照明されない(すなわち、ウェハ101の何らかの他の部分が代わりに照明される)。したがって、この状況では、ウェハ101の表面上の照明ビーム116の入射の場所は、入射角の広い範囲にわたる測定の間にドリフトし、これは非常に望ましくない。
別の態様において、試料位置決めシステムは、ステージ参照フレームの回転軸を、ウェハの表面、ナイフエッジ、または他の閉塞素子と同一平面上にあるように整列させ、また、回転軸および照明ビームがウェハの表面、ナイフエッジ、または他の閉塞素子上の照明ビームの入射点において交わるように、ステージ参照フレームの回転軸を、ウェハの表面におよそ平行な方向(例えば、XBF方向)に照明ビームに対して整列させるために較正される。
いくつかの実施形態において、ステージ参照フレームの回転軸の較正は、照明ビームの中心をX方向閉塞素子(例えば、円筒ピン151)と整列させ、ステージ参照フレームの複数の異なる回転位置θにおける放射束を測定することによって達成される。X方向における円筒ピンの視運動(ΔX)は、本明細書内で先に説明されるような選択された閉塞モデル(例えば、図8に描写されるシグモイド関数または別のモデル)に基づいて決定される。加えて、X方向における円筒ピンの視運動は、1)回転軸からの円筒ピンのx方向における距離∂x、およびz方向における距離∂z、2)ビーム中心および回転軸153からのx方向における距離∂n、ならびに3)ステージ参照フレームの回転軸153の周りの回転角度θの関数である。この関係性は、等式(3)に説明される。
ΔX=∂xcosθ+∂zsinθ+∂n(3)
1つの例において、透過放射束は、3つの入射角{−Θ,0,+Θ}において測定される。等式(4)によって説明される線形方程式系は、等式(3)から生じる。
Figure 0006892921
等式(5)は、等式(4)を反転することによって得られる。等式(5)は、X方向における円筒ピンの視運動から∂n、∂x、および∂zの値を求める。
Figure 0006892921
等式(2)と組み合わせた等式(5)は、測定された放射束から決定されるX方向における円筒ピンの視運動から∂n、∂x、および∂zの値を求める。いくつかの例において、∂n、∂x、および∂zの値の解は、等式(6)に説明されるように反復的に得られる。
Figure 0006892921
式中、kは、繰り返しインデックスであり、wは、回転軸153をXおよびZ方向においてナイフエッジ151と整列させるために必要とされる試料位置決めシステム140のアクチュエータの変位の値のベクトル[∂n、∂x、および∂z]である。変位∂nは、アクチュエータ145が、ステージ参照フレーム143全体をX方向に照明ビーム116に対して移動させることによって実現される。変位∂xは、アクチュエータ147が、円筒ピン151を移動させてビームとの整列に戻すことによって実現される。変位∂zは、アクチュエータ150A〜Cが、Z方向において円筒ピンの中心軸と同一平面に回転軸153を整列させるために円筒ピンをZ方向に移動させることによって達成される。初期予測wから始めて、等式(6)の再帰が、回転軸153が円筒ピン151に整列される点に収束する。
一般に、等式(6)は、正確に適用される必要はない。AΘおよび∂X/∂Fの値は、数値的に近似される。他の例では、繰り返しが安定しており正しい値に収束するという条件で、他の行列が使用されてもよい。
一般に、透過放射束は、回転軸153をXおよびZ方向にナイフエッジ151と整列させるために必要とされる変位の値を決定するために、任意の3つ以上の異なる入射角で測定され得る。任意の3つの異なる入射角の選択は、直接的に逆行列化され得る方程式系の線形を結果としてもたらす。4つあるいはそれ以上の異なる入射角の選択は、回転軸153をXおよびZ方向にナイフエッジ151と整列させるために必要とされる変位の値を決定するために一般逆行列アルゴリズムを用いて求められ得る優決定の線形方程式系を結果としてもたらす。等式(4)および(5)に例証される行列の項は、選択された入射角に依存する。したがって、項は、異なる入射角が選択される例においては等式(4)および(5)とは異なる。
回転軸153の整列の目的のため、ナイフエッジは、Y方向に垂直エッジを有してZ方向において微小に薄いと見なされ得る。しかしながら、実際には、ナイフエッジは、有限厚を有する。大入射角でのより長い経路長に起因するさらなる吸収は、この効果を補正するためにモデル化され得る。円筒閉塞素子が用いられる他の実施形態において、等式(6)で説明される再帰が適用されるが、しかしながら、収束の際、円筒閉塞素子の半径ρは、正しい整列に達するためにX方向のオフセットから減じられる。
理想化されたビーム閉塞素子および回転軸では、ビーム較正のために1つのビーム閉塞素子を有するだけで十分である。しかしながら、システムの要件によっては、複数のビーム閉塞が必要とされ得る。複数の閉塞素子のエッジを整列させることによって、名目上のYNF軸からの回転軸のいかなる偏差も推測することが可能である。また、複数の同一の閉塞素子は、左右または上下からのエッジの較正を可能にし、撮像されるエッジ(すなわち、アライメントカメラ154によって撮像される)および閉塞放射束変化から推測される見掛け上のエッジにおけるシステム誤差を除去するのに役立つ。
いくつかの他の実施形態において、ステージ参照フレームの回転軸の較正は、ウェハステージのウェハ平面と整列された焦点面を有する高解像度X線カメラを位置付けることによって達成される。ウェハ平面における照明スポットの位置は、ステージ参照フレームが入射角の広い範囲にわたって回転される間に高解像度X線カメラによって測定される。入射角の関数としてのウェハ平面における照明スポットの位置のマッピングは、この測定に基づいて生成される。測定の間、ウェハステージは、すべての入射角について照明スポットの同じ位置を維持するためにマッピングに従って移動するように指示される。
いくつかの他の実施形態において、ステージ参照フレームの回転軸の較正は、高い回折効率を有するウェハ上に小さい目標物(すなわち、照明スポットサイズ程度)を位置付けることによって達成される。回折次数の強度は、ステージ参照フレームが入射角の広い範囲にわたって回転される間に検出器119によって測定される。回折次数の強度は、入射角の関数としての照明スポットと目標物との間のずれを示す。入射角の関数としてのずれのマッピングは、この測定に基づいて生成される。測定の間、ウェハステージは、すべての入射角について照明スポットの同じ位置を維持するためにマッピングに従って移動するように指示される。
別の態様において、ウェハ表面に対する照明ビームの通常(すなわち、ゼロ)入射角と、試料位置決めシステム(すなわち、ステージ座標)によって測定されるようなゼロ入射角との間のAOIオフセット値の正確な測定が決定される。
いくつかの実施形態において、AOIオフセット値は、AOIの範囲にわたる吸収測定に基づいて決定される。1つの実施形態において、ウェハ101上のパターン化されていない領域は、入射角の範囲にわたってシステム100によってスキャトロメトリ測定に供される。ウェハの相対的吸収は、入射角の関数としての回折次数のいずれかまたはすべての測定強度に基づいて決定される。吸収は、ベールの指数法則α=e−2βk0Lに従い、式中、Lは、吸収長であり、βは、材料の消衰率であり、kは、波数である。さらに、吸収長は、入射角の幾何関数、L=Tcosθであり、式中、Tは、測定されたウェハの厚さである。いくつかの例において、予期される応答のモデル(例えば、ベールの法則)が、ステージ計測(例えば、アクチュエータ146に対応する回転符号器)によって測定されたAOIと検出器119における測定されたAOIとの間のオフセットを決定するために、測定された放射束データにフィットされる。オフセットは、ステージ計測に基づいた所望の測定AOIにおいてウェハ101を正しく位置決めするために、ステージ位置決めシステム140によって適用される。
いくつかの実施形態において、AOIオフセット値は、180度分離された2つの方位角において較正格子から散乱された回折次数の測定に基づいて決定される。図9Aは、ゼロの方位角でT−SAXSシステム100によって測定された格子構造物171を描写する。図9Bは、180度の方位角でT−SAXSシステム100によって測定された同じ格子構造物171を描写する。図9Aおよび図9Bに例証されるように、格子構造物自体が、ウェハの表面に対して斜角αでチルトされる。照明ビーム116は、両方の方位角について同じAOIでウェハの表面に入射するが、照明ビームは、チルトされた格子構造物には異なる角度で入射する。したがって、余角(すなわち、180度分離された方位角)において目標物を測定することによって、試料位置決めシステムによって引き起こされたAOIオフセットが維持される一方で、格子構造物のチルトに起因するAOIオフセットは逆にされる。
図10は、ゼロ方位角で実施された測定172および180度の方位角で実施された別の測定173について、検出器119によって検出される1つの回折次数の測定された強度を示すプロットを描写する。図10に描写されるように、測定172および173の対称点は、ステージ位置決めシステム140のAOIオフセットを示す。オフセットは、ステージ計測に基づいた所望の測定AOIにおいてウェハ101を正しく位置決めするために、ステージ位置決めシステム140によって適用される。
加えて、各散乱曲線のピーク値と対称点との間の差は、測定される構造物と関連付けられたチルト角αを示す。この様式では、AOIオフセットの較正は、入射角および180度分離された2つの方位角の範囲にわたってサンプルの1つ以上の回折次数を測定することによって、目標構造物自体の角度オフセットから切り離される。
一般に、散乱次数の任意の組み合わせが、測定される構造物のチルトと関連付けられた角度オフセットを試料位置決めシステムと関連付けられた角度オフセットから分離するために使用され得る。より多くの次数を利用することにより、測定精度およびロバスト性が増加する。
この測定のセットは、特定の構造物の事前知識なしに重要な計測パラメータ(例えば、エッチングホールチルト)の値の予測を提供し、測定の差動性質に起因する系統的変動に対してロバストである。ロバストかつ精密なX線ベースの測定のさらなる例は、Andrei Veldmanによる米国特許公開第2015/0117610号に記載され、この内容は、その全体を本願に引用して援用する。
別の態様において、照明ビームに対するウェハ表面のゼロ方位角と試料位置決めシステム(すなわち、ステージ座標)によって測定されるようなゼロ方位角との間の方位オフセット値の正確な測定が決定される。加えて、ウェハ表面の中心と回転ステージ158の回転の中心との間のオフセット値の正確な測定が決定される。
いくつかの実施形態において、アライメントカメラ154は、ウェハ101上の異なる場所に位置する既知の点(例えば、基準)の画像を捕捉する。ウェハ101は、Xアクチュエータ147、Yアクチュエータ148、および回転アクチュエータ149によって、既知の点の異なる場所へ移動される。既知の点の画像および画像の各々と関連付けられたステージ計測座標から、ウェハ座標内の回転の中心点を決定する単純な剛体モデルが計算される。加えて、ウェハ座標内のゼロ方位とステージ座標内のゼロ方位との間のずれを特徴付ける方位角オフセットもまた、剛体モデルに基づいて決定される。方位角オフセットは、ステージ計測に基づいて所望の方位角においてウェハ101を正しく位置決めするために、ステージ位置決めシステム140によって回転アクチュエータ149に適用される。
いくつかの他の実施形態においては、低解像度カメラが、周知の画像登録技術を使用して既知の量だけ回転および並進されている画像の回転の中心を予測する。
別の態様において、ウェハ座標とステージ座標との間の方位角オフセットの正確な較正は、1つ以上の方位角における較正格子の測定と関連付けられた回折次数の位置に基づく。較正格子は、既知の格子方向を有する。1つの例において、回折パターンは、異なる方位角について検出器119によって測定される。方位角は、予期される回折パターンが検出器119において測定されるまで調整される。この測定と関連付けられた方位角が、方位角オフセットである。検出器がステージと整列される場合、1つの入射角は、ステージに対してウェハの方位角を較正するのに十分である。
別の態様において、検出器は、ステージに対して較正され、方位角は、円すい回折のための周知の公式と共に複数の較正された入射角を同時に使用してステージに対して較正される。検出された回折次数は、AOIがステージにおける所与の方位角に対して変更されると、規定のマニホールドに沿って移動する。しかし、検出器の回転オフセットは、一定のオフセットとして現れる。
描写される実施形態において、ビーム整形スリット機構120は、各入射角、方位角、または両方について、入射ビームのプロファイルを最適化するために試料の配向と連携してビーム軸の周りを回転するように構成される。この様式では、ビーム形状は、計測目標物の形状に合致される。図5に描写されるように、回転アクチュエータ122は、フレーム120およびすべての付属機構、アクチュエータ、センサ、ならびにスリットを照明ビーム116の軸の周りで回転させる。残念ながら、回転アクチュエータ120における不備は、ビーム整形スリット機構120が飛行管118に対して回転するときにビーム整形スリット機構120が、照明ビーム116の軸の周りですりこぎ運動をする原因となる。これは、照明ビーム116の入射の場所が、異なる方位角および対応するビームスリット角ではドリフトする原因となる。
さらなる態様において、X−Yステージオフセットの較正マップは、方位角および対応するビームスリット角の範囲についての照明ビーム116の入射の場所の測定に基づいて決定される。
いくつかの実施形態において、測定は、ウェハ表面の場所に焦点面を有するX線カメラによって実施される。方位角および対応するビームスリット角が変更されるときに、照明ビーム116の入射の場所は記録される。方位角と入射場所との関数関係に基づいて、任意の方位角について同じ入射場所を維持するX−Yステージオフセットを提供する較正マップが生成される。
いくつかの他の実施形態において、X−Yステージオフセットの較正マップは、方位角および対応するビームスリット角の範囲についての検出器119による小さい目標物(すなわち、照明スポットサイズ程度)の測定に基づいて決定される。目標物は、高い回折効率を有する。回折次数の測定された強度は、各方位角および対応するビームスリット角についての目標物と照明ビーム119の入射の場所との間のずれを示す。方位角と測定されたずれとの関数関係に基づいて、任意の方位角について同じ入射場所を維持するX−Yステージオフセットを提供する較正マップが生成される。
別の態様において、Z方向におけるウェハの表面の形状は、アライメントカメラ、光学近接センサ、容量性近接センサ、または任意の他の好適な近接センサのいずれかを使用してマッピングされる。いくつかの例において、ウェハ表面は、ウェハの前側(すなわち、パターン化される側)にマッピングされる。いくつかの他の例において、ウェハ表面は、ウェハの厚さが十分に均一であるか、きちんとモデル化されることを条件として、ウェハの裏側にマッピングされる。いくつかの例において、ウェハマップは、いくつかの標準補間器(例えば、多項式基底関数、有理関数、ニューラルネットワークなど)を使用してモデル化される。さらには、ウェハの分析または数値曲げモデルを使用して、横変位および高さ変位を結合することが可能である。
さらなる態様において、Zアクチュエータ150A〜Cは、照明ビーム116の入射の場所におけるウェハの表面の形状に応じて、Z位置、Rx配向、Ry配向、またはそれらの任意の組み合わせを調整するように制御される。1つの例において、ウェハのチルトは、Zアクチュエータ150A〜Cによって修正される。チルト修正は、ウェハチルトのマップまたは局所的に測定されたチルトの値に基づき得る。
別のさらなる態様において、Zアクチュエータ150A〜Cは、回転軸を方位においてステージ参照フレーム143と整列させるために、Z位置、Rx配向、Ry配向、またはそれらの任意の組み合わせを調整するように制御される。1つの例において、Zアクチュエータ150A〜Cは、特定の目標物が方位角の範囲にわたってアライメントカメラ154の焦点内に留まるように調整される。この較正を実施するため、ウェハステージは、すべての方位角についてアライメントカメラ154の視野内に目標物を維持するために、ウェハ101をXおよびY方向へ並進させる。
一般に、すべてのオフセット効果について較正することは不可能である。最大偏差を取り除くための較正が典型的には選択され、残りのオフセットは、無視されるか、または、ウェハおよびステージにおける非理想性を説明するステージマップによって対処されるかのいずれかである。
加えて、温度および空気圧の変化または任意の他の周囲条件が、照明ビームの位置決めに対する影響を有し得る。いくつかの実施形態において、ビーム運動は、これらの変数と相関され、ビームの位置は、測定された温度および圧力ならびに相関モデルに基づいて調整される。
一般に、試料位置決めシステム140は、角度計ステージ、6足ステージ、角度ステージ、および線形ステージを含むがこれらに限定されない、所望の線形および角度位置決め性能を達成するための機械要素の任意の好適な組み合わせを含み得る。
一般に、照明光学システムの焦点面は、各測定応用のために最適化される。この様式では、システム100は、測定応用に応じて試料内の様々な深さに焦点面を位置付けるように構成される。1つの例において、試料位置決めシステム140は、試料101内の所望の深さにおいて光学システムの焦点面内にウェハを位置付けるために試料101をz方向に移動させるように構成される。
いくつかの実施形態において、X線照明源110、集束光学素子111、スリット112および113、またはそれらの任意の組み合わせは、試料101と同じ大気環境(例えば、ガスパージ環境)内に維持される。しかしながら、いくつかの実施形態において、これらの要素のいずれかの間およびこれらの要素のいずれか内の光経路長は長く、空気中のX線散乱が、検出器上の画像に対するノイズに寄与する。したがって、いくつかの実施形態において、X線照明源110、集束光学素子111、ならびにスリット112および113のいずれかは、真空窓によって、互いから、および試料(例えば、試料101)から分離された局所化された真空環境内に維持される。
同様に、いくつかの実施形態において、X線検出器119は、試料101と同じ大気環境(例えば、ガスパージ環境)内に維持される。しかしながら、いくつかの実施形態において、試料101とX線検出器119との間の距離は長く、空気中のX線散乱が、検出された信号に対するノイズに寄与する。したがって、いくつかの実施形態において、X線検出器のうちの1つ以上は、真空窓によって試料(例えば、試料101)から分離された局所化された真空環境内に維持される。
図11は、X線照明源110を含む真空室160、集束光学素子111を含む真空室162、ならびにスリット112および113を含む真空室163の例証図である。各真空室の開口部は、真空窓によって覆われる。例えば、真空室160の開口部は、真空窓161によって覆われる。同様に、真空室163の開口部は、真空窓164によって覆われる。真空窓は、X線放射を実質的に透過させる任意の好適な材料(例えば、カプトン、ベリリウムなど)で構築され得る。好適な真空環境は、照明ビームの散乱を最小限にするために各真空室内で維持される。好適な真空環境は、任意の好適なレベルの真空、小さい原子番号を有するガス(例えば、ヘリウム)を含む任意の好適なパージ環境、またはそれらの任意の組み合わせを含み得る。この様式では、放射束を最大限にし、散乱を最小限にするために、できる限り多くのビーム経路が真空内に位置する。
いくつかの実施形態において、試料101を含む光学システム全体が真空内に維持される。しかしながら、一般に、試料101を真空内に維持することに関連する費用は、試料位置決めシステム140の構築に関連する複雑性に起因して高い。
別のさらなる態様において、ビーム整形スリット機構120は、大気環境に供されるビーム経路長を最小限にするために、真空室163と機械的に統合される。一般に、試料101への入射の前にできる限り多くのビームを真空内に封入することが望ましい。いくつかの実施形態において、真空ビーム線は、ビーム整形スリット機構120の入力部における中空の円筒形状腔内へ延びる。真空窓164は、入ってくるビーム115がビーム整形スリット機構120の一部分内において真空のままであり、次いでスリット126〜129のいずれかおよび試料101との相互作用の前に真空窓164を通過するように、ビーム整形スリット機構120内の真空室163の出力部に位置する。
図1に描写される実施形態において、集束光学素子111、スリット112および113、ならびにビーム整形スリット機構120は、飛行管118内の制御された環境(例えば、真空)内に維持される。
別のさらなる態様において、コンピューティングシステム130は、試料の測定される構造物の構造モデル(例えば、幾何モデル、材料モデル、または幾何および材料を組み合わせたモデル)を生成し、その構造モデルから少なくとも1つの幾何パラメータを含むT−SAXS応答モデルを生成し、T−SAXS応答モデルを用いたT−SAXS測定データのフィッティング分析を実施することによって少なくとも1つの試料パラメータ値を分解するように構成される。分析エンジンは、シミュレートされたT−SAXS信号を測定されたデータと比較し、それにより、幾何特性ならびに、サンプルの電子密度などの材料特性の決定を可能にするために使用される。図1に描写される実施形態において、コンピューティングシステム130は、モデル構築および分析を本明細書に説明されるように関数的に実施するように構成されるモデル構築および分析エンジンとして構成される。
図12は、コンピューティングシステム130によって実施される例示的なモデル構築および分析エンジン180の例証図である。図12に描写されるように、モデル構築および分析エンジン180は、試料の測定される構造物の構造モデル182を生成する構造モデル構築モジュール181を含む。いくつかの実施形態において、構造モデル182はまた、試料の材料特性を含む。構造モデル182は、T−SAXS応答関数構築モジュール183への入力として受信される。T−SAXS応答関数構築モジュール183は、構造モデル182に少なくとも部分的に基づいてT−SAXS応答関数モデル184を生成する。いくつかの例において、T−SAXS応答関数モデル184は、X線形状因子
Figure 0006892921
に基づき、式中、Fは、形状因子であり、qは、散乱ベクトルであり、ρ(r)は、球座標内の試料の電子密度である。次いで、X線散乱強度が、
Figure 0006892921
によって得られる。T−SAXS応答関数モデル184は、フィッティング分析モジュール185への入力として受信される。フィッティング分析モジュール185は、モデル化されたT−SAXS応答を対応する測定データと比較して、試料の幾何ならびに材料特性を決定する。
いくつかの例において、モデル化されたデータの実験データへのフィッティングは、カイ二乗値を最小限にすることによって達成される。例えば、T−SAXS測定では、カイ二乗値は、
Figure 0006892921
として定義され得る。
式中、
Figure 0006892921
は、「チャネル」j内の測定されたT−SAXS信号126であり、指数jは、回折次数、エネルギー、角度座標などのシステムパラメータのセットを説明する。
Figure 0006892921
は、構造(目標)パラメータv,…,vのセットについて評価された「チャネル」jのモデル化されたT−SAXS信号Sであり、これらのパラメータは、幾何(CD、側壁角、オーバーレイなど)および材料(電子密度など)を説明する。σSAXS,jは、j番目のチャネルと関連付けられた不確かさである。NSAXSは、X線計測内のチャネルの総数である。Lは、計測目標物を特徴付けるパラメータの数である。
等式(9)は、異なるチャネルと関連付けられた不確かさが無相関であると仮定する。異なるチャネルと関連付けられた不確かさが相関される例においては、不確かさ間の共分散が計算され得る。これらの例において、T−SAXS測定のカイ二乗値は、
Figure 0006892921
として表され得る。
式中、VSAXSは、SAXSチャネル不確かさの共分散行列であり、Tは、転置行列を意味する。
いくつかの例において、フィッティング分析モジュール185は、T−SAXS応答モデル184を用いてT−SAXS測定データ135に対してフィッティング分析を実施することによって、少なくとも1つの試料パラメータ値を分解する。いくつかの例において、
Figure 0006892921
は、最適化される。
本明細書内で先に説明されるように、T−SAXSデータのフィッティングは、カイ二乗値の最小化によって達成される。しかしながら、一般に、T−SAXSデータのフィッティングは、他の関数によって達成されてもよい。
T−SAXS計測データのフィッティングは、目的の幾何および/または材料パラメータに対する感受性を提供するいかなる種類のT−SAXS技術にとっても有利である。試料パラメータは、試料とのT−SAXSビーム相互作用を説明する適切なモデルが使用される限り、決定論的(例えば、CD、SWAなど)または統計的(例えば、側壁荒れのrms高さ、荒れ相関長など)であり得る。
一般に、コンピューティングシステム130は、リアルタイムクリティカルディメンショニング(RTCD)を用いて、リアルタイムでモデルパラメータにアクセスするように構成されるか、または、コンピューティングシステム130は、試料101と関連付けられた少なくとも1つの試料パラメータ値の値を決定するための事前計算モデルのライブラリにアクセスし得る。一般に、いくつかの形態のCDエンジンが、試料の割り当てられたCDパラメータと測定された試料と関連付けられたCDパラメータとの間の差を評価するために使用され得る。試料パラメータ値を計算するための例示的な方法およびシステムは、KLA−Tencor Corp.に対する2010年11月2日に発行の米国特許第7,826,071号に記載され、その全体を本願に引用して援用する。
いくつかの例において、モデル構築および分析エンジン180は、横送り分析、前送り分析、および平行分析の任意に組み合わせにより、測定されるパラメータの精度を高める。横送り分析は、同じ試料の異なる領域についての複数のデータセットを取り出し、第1のデータセットから決定される共通パラメータを第2のデータセットへと分析のために引き渡すことを指す。前送り分析は、異なる試料についてのデータセットを取り出し、正確なパラメータを段階的に複製する前送り手法を使用して、共通パラメータを後続の分析へと前方に引き渡すことを指す。平行分析は、少なくとも1つの共通パラメータがフィッティングの間に結合される複数のデータセットへの非線形フィッティング計測の平行または同時適用を指す。
複数のツールおよび構造分析は、複数のデータセットの回帰、ルックアップテーブル(すなわち、「ライブラリ」マッチング)、または別のフィッティング手順に基づく前送り、横送り、または平行分析を指す。複数のツールおよび構造分析のための例示的な方法およびシステムは、KLA−Tencor Corp.に対する2009年1月13日に発行の米国特許第7,478,019号に記載され、その全体を本願に引用して援用する。
別のさらなる態様において、目的の1つ以上のパラメータの値の初期予測は、測定目標物に対する入射X線ビームの単一の配向において実施されるT−SAXS測定に基づいて決定される。初期の予測値は、複数の配向におけるT−SAXS測定から集められた測定データを用いた測定モデルの回帰のための目的のパラメータの開始値として実装される。この様式では、目的のパラメータの精密予測は、比較的少ない量の計算量で決定され、この精密予測をはるかに大きいデータセットにわたる回帰のための開始点として実装することによって、目的のパラメータの精緻化された予測が、少ない全体計算量で得られる。
別の態様において、計測ツール100は、本明細書に記載されるようなビーム制御機能を実装するように構成されるコンピューティングシステム(例えば、コンピューティングシステム130)を含む。図1に描写される実施形態において、コンピューティングシステム130は、入射照明ビーム116の強度、発散、スポットサイズ、偏光、スペクトル、位置決めなどの照明特性のいずれかを制御するように動作可能であるビーム制御器として構成される。
図1に例証されるように、コンピューティングシステム130は、検出器119に通信可能に結合される。コンピューティングシステム130は、検出器119から測定データ135を受信するように構成される。1つの例において、測定データ135は、試料の測定された応答の標示(すなわち、回折次数の強度)を含む。検出器119の表面上の測定された応答の分散に基づいて、試料101上への照明ビーム116の入射の場所および領域が、コンピューティングシステム130によって決定される。1つの例において、測定データ135に基づいて試料101上への照明ビーム116の入射の場所および領域を決定するために、パターン認識技術がコンピューティングシステム130によって適用される。いくつかの例において、コンピューティングシステム130は、所望の照明波長を選択するか、またはX線放出を向け直すためにコマンド信号137をX線照明源110に通信する。いくつかの例において、コンピューティングシステム130は、入射照明ビーム116が所望のビームスポットサイズおよび配向で試料101に到達するようにビームスポットサイズを変更するためにコマンド信号136をビーム整形スリット機構120に通信する。1つの例において、コマンド信号136は、図5に描写される回転アクチュエータ122が、試料101に対する所望の配向までビーム整形スリット機構120を回転させるようにする。別の例では、コマンド信号136は、スリット126〜129の各々と関連付けられたアクチュエータが、入射ビーム116を所望の形状およびサイズに再整形するために位置を変更するようにさせる。いくつかの他の例において、コンピューティングシステム130は、入射照明ビーム116が試料101に対する所望の場所および角度で到達するように、試料101を位置決めし配向するために、コマンド信号をウェハ位置決めシステム140に通信する。
さらなる態様において、T−SAXS測定データは、検出された回折次数の測定強度に基づいて測定される構造物の画像を生成するために使用される。いくつかの実施形態において、T−SAXS応答関数モデルは、一般的な電子密度メッシュからの散乱を説明するために一般化される。連続性および薄いエッジを強いるためにこのメッシュ内にモデル化された電子密度を制約しながら、このモデルを測定信号に照合することにより、サンプルの3次元画像を提供する。
幾何学的な、モデルベースのパラメータ反転がT−SAXS測定に基づく限界寸法(CD)計測には好ましいが、同じT−SAXS測定データから生成される試料のマップは、測定された試料が幾何モデルの仮説から逸脱するときに、モデル誤差を識別し修正するのに有用である。
いくつかの例において、画像は、同じスキャトロメトリ測定データの幾何学的な、モデルベースのパラメータ反転によって予測される構造特性と比較される。相違は、測定される構造物の幾何モデルを更新し、測定性能を高めるために使用される。正確なパラメータ測定モデルに収束する能力は、集積回路を測定してそれらの製造プロセスを制御、監視、およびトラブルシューティングするときに特に重要である。
いくつかの例において、画像は、電子密度、吸収性、複素屈折率、またはこれら材料特性の組み合わせの2次元(2−D)マップである。いくつかの例において、画像は、電子密度、吸収性、複素屈折率、またはこれら材料特性の組み合わせの3次元(3−D)マップである。マップは、比較的少ない物理的制約を使用して生成される。いくつかの例において、限界寸法(CD)、側壁角(SWA)、オーバーレイ、エッジ載置誤差、ピッチウォークなどの目的の1つ以上のパラメータは、結果として生じるマップから直接予測される。いくつかの他の例において、マップは、サンプルジオメトリまたは材料がモデルベースのCD測定のために用いられるパラメータ構造モデルによって企図される予測値の範囲の外に逸脱するときに、ウェハプロセスをデバッグするのに有用である。1つの例において、マップと、測定パラメータに従うパラメータ構造モデルによって予期される構造物のレンダリングとの差は、パラメータ構造モデルを更新し、その測定性能を高めるために使用される。さらなる詳細は、米国特許公開第2015/0300965号に記載され、その内容は、その全体を本願に引用して援用する。さらなる詳細は、米国特許公開第2015/0117610号に記載され、その内容は、その全体を本願に引用して援用する。
さらなる態様において、モデル構築および分析エンジン180は、X線および光学測定を組み合わせた分析のためのモデルを生成するために用いられる。いくつかの例において、光学的シミュレーションは、例えば、異なる偏光についての反射率、偏光解析パラメータ、相変化などの光学信号を計算するためにマックスウェルの等式が求められる厳密結合波分析(RCWA)に基づく。
目的の1つ以上のパラメータの値は、組み合わされた幾何学的にパラメータ化された応答モデルを用いた、複数の異なる入射角でのX線回折次数の検出された強度および検出された光強度の組み合わされたフィッティング分析に基づいて決定される。光強度は、図1に描写されるシステム100などのX線計測システムと機械的に統合される場合とそうでない場合がある光計測ツールによって測定される。さらなる詳細は、米国特許公開第2014/0019097号および米国特許公開第2013/0304424号に記載され、各々の内容は、それらの全体を本願に引用して援用する。
一般に、計測目標物は、計測目標物の最大横方向広がり次元(すなわち、ウェハ表面と整列される次元)で割った最大高さ次元(すなわち、ウェハ表面に垂直の次元)として定義されるアスペクト比によって特徴付けられる。いくつかの実施形態において、測定下の計測目標物は、少なくともの20のアスペクト比を有する。いくつかの実施形態において、計測目標物は、少なくともの40のアスペクト比を有する。
本開示全体にわたって説明される様々なステップは、単一のコンピュータシステム130、または代替的に、複数のコンピュータシステム130によって実行され得ることを理解されたい。さらに、試料位置決めシステム140などのシステム100の異なるサブシステムは、本明細書に説明されるステップの少なくとも一部分を実行するのに好適なコンピュータシステムを含み得る。したがって、前述の説明は、本発明に対する制限として解釈されるべきではなく、単に例証にすぎない。さらには、1つ以上のコンピューティングシステム130は、本明細書に説明される方法実施形態のいずれかの任意の他のステップを実施するように構成され得る。
加えて、コンピュータシステム130は、当該技術において知られる任意の様式でX線照明源110、ビーム整形スリット機構120、試料位置決めシステム140、および検出器119に通信可能に結合され得る。例えば、1つ以上のコンピューティングシステム130は、X線照明源110、ビーム整形スリット機構120、試料位置決めシステム140、および検出器119とそれぞれ関連付けられたコンピューティングシステムに結合され得る。別の例では、X線照明源110、ビーム整形スリット機構120、試料位置決めシステム140、および検出器119のいずれかは、コンピュータシステム130に結合された単一のコンピュータシステムによって直接制御され得る。
コンピュータシステム130は、有線および/またはワイヤレス部分を含み得る送信媒体によって、システムのサブシステム(例えば、X線照明源110、ビーム整形スリット機構120、試料位置決めシステム140、検出器119等)からデータまたは情報を受信および/または取得するように構成され得る。この様式では、送信媒体は、コンピュータシステム130とシステム100の他のサブシステムとの間のデータリンクとしての役割を果たし得る。
計測システム100のコンピュータシステム130は、有線および/またはワイヤレス部分を含み得る送信媒体によって、他のシステムからデータまたは情報(例えば、測定結果、モデリング入力、モデリング結果など)を受信および/または取得するように構成され得る。この様式では、送信媒体は、コンピュータシステム130と他のシステム(例えば、メモリオンボード計測システム100、外部メモリ、または外部システム)との間のデータリンクとしての役割を果たし得る。例えば、コンピューティングシステム130は、データリンクを介して記憶媒体(すなわち、メモリ132または190)から測定データ(例えば、信号135)を受信するように構成され得る。例えば、検出器119を使用して得られるスペクトル結果は、永久または半永久メモリデバイス(例えば、メモリ132または190)内に記憶され得る。これに関して、測定結果は、オンボードメモリから、または外部メモリシステムからインポートされ得る。さらに、コンピュータシステム130は、送信媒体を介してデータを他のシステムに送信し得る。例えば、コンピュータシステム130によって決定される試料パラメータ値186は、永久または半永久メモリデバイス(例えば、メモリ190)内に記憶され得る。これに関して、測定結果は、別のシステムにエクスポートされ得る。
コンピューティングシステム130は、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、パラレルプロセッサ、または当該技術において知られる任意の他のデバイスを含み得るが、これらに限定されない。一般に、「コンピューティングシステム」という用語は、メモリ媒体からの命令を実行する、1つ以上のプロセッサを有する任意のデバイスを包含するために広く定義され得る。
本明細書に説明されるものなどの方法を実施するプログラム命令134は、ワイヤ、ケーブル、ワイヤレス送信リンクなどの送信媒体を通じて送信され得る。例えば、図1に例証されるように、メモリ132に記憶されたプログラム命令は、バス133を通じてプロセッサ131に送信される。プログラム命令134は、コンピュータ可読媒体(例えば、メモリ132)に記憶される。例示的なコンピュータ可読媒体としては、リードオンリメモリ、ランダムアクセスメモリ、磁気もしくは光学ディスク、または磁気テープが挙げられる。
図13は、本発明の計測システム100による実施に好適な方法200を例証する。1つの態様において、方法200のデータ処理ブロックは、コンピューティングシステム130の1つ以上のプロセッサによって実行される事前にプログラムされたアルゴリズムを介して実行され得ることを理解されたい。以下の説明は計測システム100の文脈において提示されるが、計測システム100の特定の構造的態様は、制限を表すものではなく、単に例証と解釈されるべきであることを理解されたい。
ブロック201において、半導体ウェハ上に配設される回折格子が、第1の方位角における複数の入射角での、および第2の方位角における複数の入射角でのX線照明ビームの経路内に位置決めされる。第1の方位角および第2の方位角は、180度分離される。
ブロック202において、複数の入射角での回折格子の測定と関連付けられた回折次数応答信号が、第1の方位角および第2の方位角の両方で検出される。
ブロック203において、入射角(AOI)オフセット値が決定される。AOIオフセットは、ウェハ表面に対するX線照明ビームの通常入射角と、X線照明ビームの経路内に回折格子を位置決めするウェハ位置決めシステムによって測定されるようなゼロ入射角の値との間の角度である。AOIオフセット値は、第1の方位角および第2の方位角と関連付けられた検出された回折次数応答信号の交点と関連付けられた対称点においてウェハ位置決めシステムによって測定される入射角の値である。
いくつかの実施形態において、本明細書に説明されるようなスキャトロメトリ測定は、製作プロセスツールの部分として実施される。製作プロセスツールの例としては、リソグラフィ露光ツール、成膜ツール、注入ツール、およびエッチングツールが挙げられるが、これらに限定されない。この様式では、T−SAXS分析の結果は、製作プロセスを制御するために使用される。1つの例において、1つ以上の目標物から集められたT−SAXS測定データは、製作プロセスツールに送信される。T−SAXS測定データは、本明細書に説明されるように分析され、その結果は、製作プロセスツールの動作を調整するために使用される。
本明細書に説明されるようなスキャトロメトリ測定は、様々な半導体構造物の特性を決定するために使用され得る。例示的な構造物としては、FinFET、ナノワイヤまたはグラフェンなどの低次元構造物、サブ10nm構造物、リソグラフィ構造物、貫通基板ビア(TSV)、DRAM、DRAM 4F2、FLASH、MRAM、および高アスペクト比メモリ構造物などのメモリ構造物が挙げられるが、これらに限定されない。例示的な構造特性としては、ラインエッジラフネス、ラインウィズスラフネス、孔サイズ、孔密度、側壁角、プロファイル、限界寸法、ピッチ、厚さ、オーバーレイなどの幾何パラメータ、ならびに、電子密度、構成物、結晶粒組織、形態学、応力、歪み、および元素同定などの材料パラメータが挙げられるが、これらに限定されない。いくつかの実施形態において、計測目標物は、周期構造物である。いくつかの他の実施形態において、計測目標物は、非周期的である。
いくつかの例において、スピン移動トルクランダムアクセスメモリ(STT−RAM)、3次元NANDメモリ(3D−NAND)または垂直NANDメモリ(V−NAND)、ダイナミックランダムアクセスメモリ(DRAM)、3次元FLASHメモリ(3D−FLASH)、抵抗ランダムアクセスメモリ(Re−RAM)、および相変化ランダムアクセスメモリ(PC−RAM)を含むがこれらに限定されない、高アスペクト比の半導体構造物の限界寸法、厚さ、オーバーレイ、および材料特性の測定は、本明細書に説明されるようなT−SAXS測定システムを用いて実施される。
本明細書に記載される場合、「限界寸法」という用語は、構造物の任意の限界寸法(例えば、底部限界寸法、中間限界寸法、上部限界寸法、側壁角、格子高さなど)、任意の2つ以上の構造物間の限界寸法(例えば、2つの構造物間の距離)、および2つ以上の構造物間の変位(例えば、重なり合う格子構造物間のオーバーレイ変位など)を含む。構造物は、3次元構造物、パターン化構造物、オーバーレイ構造物などを含み得る。
本明細書に記載される場合、「限界寸法応用」または「限界寸法測定応用」という用語は、任意の限界寸法測定を含む。
本明細書に記載される場合、「計測システム」という用語は、限界寸法応用およびオーバーレイ計測応用を含む、任意の態様において試料を特徴付けるために少なくとも部分的に用いられる任意のシステムを含む。しかしながら、そのような技術用語は、本明細書に説明されるような「計測システム」という用語の範囲を制限しない。加えて、本明細書に説明されるような計測システムは、パターン化されたウェハおよび/またはパターン化されていないウェハの測定のために構成され得る。計測システムは、LED検査ツール、エッジ検査ツール、裏側検査ツール、マクロ検査ツール、またはマルチモード検査ツール(1つ以上のプラットフォームからのデータに同時に関与する)、および本明細書に記載される測定技術から恩恵を得る任意の他の計測または検査ツールとして構成され得る。
様々な実施形態は、試料を処理するために使用され得る半導体処理システム(例えば、検査システムまたはリソグラフィシステム)について本明細書内に説明される。「試料」という用語は、当該技術において知られる手段によって処理され得る(例えば、印刷される、または欠陥を検査される)ウェハ、レチクル、または任意の他のサンプルを指すために本明細書では使用される。
本明細書で使用される場合、「ウェハ」という用語は、概して、半導体または非半導体材料から形成される基板を指す。例としては、単結晶シリコン、ガリウムヒ素、およびリン化インジウムが挙げられるが、これらに限定されない。そのような基板は、半導体製作施設においてよく見られ、および/またはそこで処理され得る。いくつかの場合において、ウェハは、基板のみを含み得る(すなわち、ベアウェハ)。代替的に、ウェハは、基板上に形成される異なる材料の1つ以上の層を含み得る。ウェハ上に形成される1つ以上の層は、「パターン化される」または「パターン化されない」場合がある。例えば、ウェハは、繰り返し可能なパターン特徴部を有する複数のダイを含み得る。
「レチクル」は、レチクル製作プロセスの任意のステージにあるレチクル、または半導体製作施設における使用のためにリリースされる場合とそうでない場合とがある完成したレチクルであり得る。レチクル、または「マスク」は、概して、実質的に透明の基板であって、その上に実質的に不透明の領域が形成されており、パターンで構成されるものと定義される。基板は、例えば、非晶質SiOなどのガラス材料であり得る。レチクルは、レチクル上のパターンがレジストに転写され得るように、リソグラフィプロセスの露光ステップの間に、レジスト被覆されたウェハの上に配設され得る。
ウェハ上に形成される1つ以上の層は、パターン化される、またはパターン化されない場合がある。例えば、ウェハは、各々が繰り返し可能なパターン特徴部を有する複数のダイを含み得る。そのような材料層の形成および処理が、最終的に、完成したデバイスを結果としてもたらし得る。多くの異なる種類のデバイスが、ウェハ上に形成され得、本明細書で使用される場合、ウェハという用語は、当該技術において知られる任意の種類のデバイスがその上で製作されているウェハを包含することが意図される。
1つ以上の例示的な実施形態において、説明される関数は、ハードウェア、ソフトウェア、ファームウェア、またはそれらの任意の組み合わせにおいて実施され得る。ソフトウェア内で実施される場合、関数は、コンピュータ可読媒体上の1つ以上の命令またはコードに記憶され得るか、またはそれを通じて送信され得る。コンピュータ可読媒体は、1つの場所から別の場所へのコンピュータプログラムの転送を促進する任意の媒体を含む、コンピュータ記憶媒体および通信媒体の両方を含む。記憶媒体は、汎用または特定用途コンピュータによってアクセスされ得る任意の利用可能な媒体であり得る。制限ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROM、または他の光学ディスク記憶装置、磁気ディスク記憶装置もしくは他の磁気記憶装置デバイス、または、所望のプログラムコード手段を命令またはデータ構造の形態で搬送または記憶するために使用され得、かつ汎用もしくは特定用途コンピュータ、または汎用もしくは特定用途プロセッサによってアクセスされ得る任意の他の媒体を備え得る。また、任意の接続は、コンピュータ可読媒体と適切に呼ばれる。例えば、ソフトウェアが、同軸ケーブル、光ファイバケーブル、ツイストペア、デジタル加入者回線(DSL)、または赤外線、無線、およびマイクロ波などのワイヤレス技術を使用して、ウェブサイト、サーバ、または他のリモート源から送信される場合、同軸ケーブル、光ファイバケーブル、ツイストペア、DSL、または赤外線、無線、およびマイクロ波などのワイヤレス技術は、媒体の定義に含まれる。ディスク(diskおよびdisc)は、本明細書で使用される場合、コンパクトディスク(CD)、レーザディスク、XRFディスク、デジタルバーサタイルディスク(DVD)、フロッピディスク、およびブルーレイディスクを含み、ここでディスク(disk)は、通常、磁気的にデータを再現する一方、ディスク(disc)は、レーザを用いて光学的にデータを再現する。上記の組み合わせもまた、コンピュータ可読媒体の範囲内に含まれるべきである。
いくつかの特定の実施形態が教授の目的のために上に説明されるが、本特許文書の教示は、一般的適用性を有し、上に説明される特定の実施形態に限定されない。したがって、説明される実施形態の様々な特徴の様々な変形、適合、および組み合わせが、特許請求の範囲に明記されるような本発明の範囲から逸脱することなく実践され得る。

Claims (18)

  1. X線照明ビームを生成するように構成されるX線照明源と、
    前記X線照明ビームの軸に直交する第1の方向および前記X線照明ビームの前記軸に直交する第2の方向に移動可能な試料ステージと、
    前記試料ステージに移動可能に装着される試料と、
    前記試料の近くで前記試料ステージ上に配設される第1の閉塞素子であって、前記第1の閉塞素子が、前記試料の表面と同一平面上の中心軸を有し、第1の位置にある前記試料ステージが、前記X線照明ビームの部分が前記第1の閉塞素子によって閉塞されるように、前記第1の閉塞素子を前記X線照明ビームの経路内に位置付ける、第1の閉塞素子と、
    前記試料の近くで前記試料ステージ上に配設される第2の閉塞素子であって、前記第2の閉塞素子が、前記試料の表面と同一平面上にありかつ前記第1の閉塞素子の前記中心軸とは異なる方向に整列される中心軸を有し、第2の位置にある前記試料ステージが、前記X線照明ビームの部分が前記第2の閉塞素子によって閉塞されるように、前記第2の閉塞素子を前記X線照明ビームの前記経路内に位置付ける、第2の閉塞素子と、
    前記第1の位置における第1の透過放射束量および前記第2の位置における第2の放射束量を検出するように構成されるX線検出器と、
    前記第1の透過放射束量に基づいて前記第1の方向において前記X線照明ビームに対する前記第1の閉塞素子の第1の位置を決定し、前記第2の透過放射束量に基づいて前記第2の方向において前記X線照明ビームに対する前記第2の閉塞素子の第2の位置を決定するように構成される、コンピューティングシステムと、
    を備える計測システム。
  2. 請求項1に記載の計測システムであって、
    前記第1および第2の位置の決定が、前記X線照明ビームに対する前記第1および第2の閉塞素子の位置の関数としての透過放射束のモデルに基づく、
    計測システム。
  3. 請求項1に記載の計測システムであって、
    前記第1および第2の閉塞素子のいずれかが、円筒形状である、
    計測システム。
  4. 請求項1に記載の計測システムであって、
    前記第1および第2の閉塞素子のいずれかが、ナイフエッジを含み、前記中心軸が前記ナイフエッジと整列される、
    計測システム。
  5. 請求項1に記載の計測システムであって、
    前記第1および第2の閉塞素子のいずれかが、前記中心軸に平行な方向に延びる1つ以上の平面表面を含む、
    計測システム。
  6. 請求項1に記載の計測システムであって、
    前記第1の位置における前記第1の閉塞素子の少なくとも部分の第1の画像、および前記第2の位置における前記第2の閉塞素子の少なくとも部分の第2の画像を生成するアライメントカメラをさらに備える、
    計測システム。
  7. 請求項6に記載の計測システムであって、
    前記第1の閉塞素子の前記部分が、前記第1の閉塞素子の前記中心軸と同一平面上に位置する第1の基準マークを含み、
    前記第2の閉塞素子の前記部分が、前記第2の閉塞素子の前記中心軸と同一平面上に位置する第2の基準マークを含む、
    計測システム。
  8. 請求項6に記載の計測システムであって、
    前記試料ステージが、前記試料上に配設される基準マークが前記アライメントカメラの視野内にあるように、前記X線照明ビームに対して第3の位置へ移動し、
    前記試料上への前記X線照明ビームの入射の場所が、前記第1および第2の画像に基づいて前記第3の位置において決定される、
    計測システム。
  9. 請求項6に記載の計測システムであって、
    前記アライメントカメラが、正確に測定された距離だけ前記アライメントカメラの焦点面を移動させることによって鮮明な画像焦点を維持するオートフォーカス機構を含み、
    前記アライメントカメラが、前記試料の表面上の異なる場所において参照フレームと前記試料の表面との間の距離の変化を測定する、
    計測システム。
  10. 請求項9に記載の計測システムであって、
    前記試料の表面に垂直な方向にある前記第1の閉塞素子に対する前記試料の相対位置が、前記オートフォーカス機構に基づいて測定され、
    試料位置決めシステムが、前記相対位置が無視できる値であるように、前記試料の表面に垂直な方向に前記試料を移動させる、
    計測システム。
  11. 請求項1に記載の計測システムであって、
    前記試料の表面の反対側の前記試料の裏側表面上の複数の異なる場所の各々において参照フレームと前記試料の表面との間の距離を測定するように構成される1つ以上の近接センサをさらに備える、
    計測システム。
  12. X線照明ビームを生成するように構成されるX線照明源と、
    前記X線照明ビームが試料の表面上の任意の場所において前記試料の表面に入射するように、前記試料を前記X線照明ビームに対して位置決めし、前記X線照明ビームが複数の入射角で任意の場所において前記試料の表面に入射するように、前記試料を前記X線照明ビームに対して回転軸の周りで回転させるように構成される試料位置決めシステムと、
    前記試料の近くに配設される閉塞素子であって、前記試料の表面と同一平面上の中心軸を有する、閉塞素子と、
    前記回転軸の角度位置の範囲にわたって透過放射束量を検出するように構成されるX線検出器と、
    検出された前記透過放射束量に基づいて前記X線照明ビームに対する前記回転軸の位置の調整を決定するように構成されるコンピューティングシステムと、
    を備え、前記X線照明ビームの少なくとも部分が、前記角度位置の前記範囲にわたって前記閉塞素子に入射する、計測システム。
  13. 請求項12に記載の計測システムであって、
    前記コンピューティングシステムが、前記検出された透過放射束量に基づいて前記回転軸に対する前記試料の位置の調整を決定するようにさらに構成される、
    計測システム。
  14. 請求項13に記載の計測システムであって、
    前記コンピューティングシステムは、前記角度位置の前記範囲にわたる前記X線照明ビームに対する前記閉塞素子の移動を低減するように、前記回転軸に対する前記試料の位置を調整する、
    計測システム。
  15. 請求項13に記載の計測システムであって、
    前記回転軸の位置の調整および前記試料の位置の調整が、前記角度位置の前記範囲にわたる前記X線照明ビームに対する前記閉塞素子の位置の関数としての透過放射束のモデルに基づく、
    計測システム。
  16. 請求項13に記載の計測システムであって、
    前記角度位置の前記範囲にわたる前記透過放射束量の検出、ならびに前記検出された透過放射束量に基づく前記回転軸の位置の調整および前記試料の位置の調整の決定が、反復的に実施される、
    計測システム。
  17. 請求項12に記載の計測システムであって、
    前記X線検出器が、前記回転軸の角度位置の第2の範囲にわたって第2の透過放射束量を検出するようにさらに構成され、
    前記X線照明ビームが、前記試料のパターン化されていない領域に入射し、
    前記コンピューティングシステムが、検出された前記第2の放射束量に基づいて前記回転軸の前記角度位置と関連付けられたオフセット値を決定するようにさらに構成される、
    計測システム。
  18. 請求項17に記載の計測システムであって、
    前記オフセット値の決定が、前記角度位置の前記第2の範囲にわたる前記検出された第2の放射束量への吸収モデルのフィッティングを伴う、
    計測システム。
JP2019521094A 2016-10-21 2017-10-22 小角x線スキャトロメトリベースの計測システムの較正 Active JP6892921B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662411152P 2016-10-21 2016-10-21
US62/411,152 2016-10-21
US15/789,992 US10481111B2 (en) 2016-10-21 2017-10-21 Calibration of a small angle X-ray scatterometry based metrology system
US15/789,992 2017-10-21
PCT/US2017/057770 WO2018075999A1 (en) 2016-10-21 2017-10-22 Calibration of a small angle x-ray scatterometry based metrology system

Publications (2)

Publication Number Publication Date
JP2020502486A JP2020502486A (ja) 2020-01-23
JP6892921B2 true JP6892921B2 (ja) 2021-06-23

Family

ID=61970143

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019521094A Active JP6892921B2 (ja) 2016-10-21 2017-10-22 小角x線スキャトロメトリベースの計測システムの較正

Country Status (7)

Country Link
US (1) US10481111B2 (ja)
JP (1) JP6892921B2 (ja)
KR (2) KR102303132B1 (ja)
CN (1) CN109863583B (ja)
IL (3) IL302957B1 (ja)
TW (3) TWI739935B (ja)
WO (1) WO2018075999A1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109643640B (zh) * 2016-08-04 2021-02-12 科磊股份有限公司 在工艺中控制衬底上图案定位的方法及计算机程序产品
KR102592905B1 (ko) * 2016-12-21 2023-10-23 삼성전자주식회사 엑스선 영상 촬영 장치 및 제어방법
US10522326B2 (en) * 2017-02-14 2019-12-31 Massachusetts Institute Of Technology Systems and methods for automated microscopy
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
CN108469446A (zh) * 2018-06-29 2018-08-31 天津敬慎坊科技有限公司 一种荧光x射线吸收谱探测器
WO2020028412A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect ratio structures
EP3629088A1 (en) * 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
KR102650008B1 (ko) 2019-03-28 2024-03-22 가부시키가이샤 리가쿠 투과형 소각 산란 장치
EP3719484B1 (en) * 2019-04-04 2024-02-14 Malvern Panalytical B.V. X-ray beam shaping apparatus and method
US11600497B2 (en) * 2019-04-06 2023-03-07 Kla Corporation Using absolute Z-height values for synergy between tools
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
DE102019215972A1 (de) * 2019-10-17 2021-04-22 Carl Zeiss Smt Gmbh Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens
USD934194S1 (en) * 2019-10-22 2021-10-26 Lexon Speaker
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
USD938932S1 (en) * 2019-12-05 2021-12-21 Shenzhen Qiao Communication Tech Co., Ltd. Bluetooth speaker
US11513085B2 (en) * 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
CN111983313B (zh) * 2020-07-23 2023-03-31 中国电子科技集团公司第十三研究所 噪声参数测量方法
CN111975191B (zh) * 2020-08-17 2023-01-24 北京中科镭特电子有限公司 一种加工腔组件及激光加工装置
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
TWI812025B (zh) * 2021-02-25 2023-08-11 國立臺灣大學 全域式軸向可調色散鏡組及其彩色共焦量測系統
GB2605606B (en) * 2021-04-06 2023-11-15 Halo X Ray Tech Limited A screening system
CN113376190B (zh) * 2021-06-03 2022-12-02 中国科学院高能物理研究所 光阑及光学装置
US20240085321A1 (en) * 2022-09-09 2024-03-14 Kla Corporation Methods And Systems For Model-less, Scatterometry Based Measurements Of Semiconductor Structures
CN116106347B (zh) * 2022-11-07 2023-06-20 成都物熙科技有限公司 一种二阶x射线荧光仪及其测量方法
CN116608816B (zh) * 2023-07-18 2023-09-26 东营市工业产品检验与计量检定中心 一种用于小角度测量仪器检定装置的校准方法和装置

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6256092B1 (en) 1997-11-28 2001-07-03 Hitachi, Ltd. Defect inspection apparatus for silicon wafer
KR20010083041A (ko) 1998-06-02 2001-08-31 추후 파수 도메인 반사측정과 배경 진폭 감소 및 보상을 사용한공초점 간섭 마이크로스코피용 방법 및 장치
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6947520B2 (en) * 2002-12-06 2005-09-20 Jordan Valley Applied Radiation Ltd. Beam centering and angle calibration for X-ray reflectometry
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
KR100826574B1 (ko) * 2002-11-13 2008-04-30 유니버시티 오브 버지니아 페이턴트 파운데이션 다공성 층을 갖는 의료장치 및 이를 제조하는 방법
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
DK1808129T3 (en) * 2004-09-24 2017-08-28 Icat Corp Device for detecting cross-sectional information
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US20060213537A1 (en) * 2005-03-23 2006-09-28 Thu Anh To Vertical wafer platform systems and methods for fast wafer cleaning and measurement
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7659975B1 (en) 2005-09-21 2010-02-09 Kla-Tencor Technologies Corp. Methods and systems for inspection of a wafer or setting up an inspection process
US7554656B2 (en) 2005-10-06 2009-06-30 Kla-Tencor Technologies Corp. Methods and systems for inspection of a wafer
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8605275B2 (en) 2009-01-26 2013-12-10 Kla-Tencor Corp. Detecting defects on a wafer
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US8502987B1 (en) 2011-02-01 2013-08-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method and apparatus for measuring near-angle scattering of mirror coatings
JP5963453B2 (ja) * 2011-03-15 2016-08-03 株式会社荏原製作所 検査装置
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9129715B2 (en) 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9217717B2 (en) 2012-12-17 2015-12-22 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9696264B2 (en) 2013-04-03 2017-07-04 Kla-Tencor Corporation Apparatus and methods for determining defect depths in vertical stack memory
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
JP2015118081A (ja) * 2013-11-12 2015-06-25 キヤノン株式会社 放射線検出システムおよび放射線撮像装置
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9726624B2 (en) * 2014-06-18 2017-08-08 Bruker Jv Israel Ltd. Using multiple sources/detectors for high-throughput X-ray topography measurement
KR102144273B1 (ko) * 2014-10-14 2020-08-13 가부시키가이샤 리가쿠 X선 박막 검사 장치
WO2016059672A1 (ja) * 2014-10-14 2016-04-21 株式会社リガク X線薄膜検査装置
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
DE112016001982T5 (de) 2015-04-28 2018-02-15 Kla-Tencor Corporation Recheneffiziente auf röntgenstrahlgestützte messung des overlays
US10352695B2 (en) * 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
CN109073902B (zh) * 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Also Published As

Publication number Publication date
TW202138797A (zh) 2021-10-16
JP2020502486A (ja) 2020-01-23
WO2018075999A1 (en) 2018-04-26
IL302957B1 (en) 2024-03-01
KR102548653B1 (ko) 2023-06-27
TWI739935B (zh) 2021-09-21
IL265798A (en) 2019-06-30
US10481111B2 (en) 2019-11-19
IL285130A (en) 2021-08-31
KR20190059990A (ko) 2019-05-31
IL302957A (en) 2023-07-01
IL265798B (en) 2022-02-01
CN109863583A (zh) 2019-06-07
TWI806285B (zh) 2023-06-21
KR102303132B1 (ko) 2021-09-15
CN109863583B (zh) 2020-11-06
KR20210090292A (ko) 2021-07-19
TWI758201B (zh) 2022-03-11
TW202212817A (zh) 2022-04-01
US20180113084A1 (en) 2018-04-26
TW201821791A (zh) 2018-06-16

Similar Documents

Publication Publication Date Title
JP6892921B2 (ja) 小角x線スキャトロメトリベースの計測システムの較正
JP7001846B2 (ja) X線計量方法
KR102495770B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
US10816486B2 (en) Multilayer targets for calibration and alignment of X-ray based measurement systems
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry
JP2020522883A (ja) X線スキャトロメトリでの深層構造のプロセスモニタリング
JP2020520453A (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201005

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20201005

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20201112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210518

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210528

R150 Certificate of patent or registration of utility model

Ref document number: 6892921

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150