JP2020522883A - X線スキャトロメトリでの深層構造のプロセスモニタリング - Google Patents

X線スキャトロメトリでの深層構造のプロセスモニタリング Download PDF

Info

Publication number
JP2020522883A
JP2020522883A JP2019565258A JP2019565258A JP2020522883A JP 2020522883 A JP2020522883 A JP 2020522883A JP 2019565258 A JP2019565258 A JP 2019565258A JP 2019565258 A JP2019565258 A JP 2019565258A JP 2020522883 A JP2020522883 A JP 2020522883A
Authority
JP
Japan
Prior art keywords
ray
measurement
wafer
fabrication
tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019565258A
Other languages
English (en)
Other versions
JP2020522883A5 (ja
JP7250705B2 (ja
Inventor
アントニオ ジェリノー
アントニオ ジェリノー
サディアス ジェラード ズィウラ
サディアス ジェラード ズィウラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020522883A publication Critical patent/JP2020522883A/ja
Publication of JP2020522883A5 publication Critical patent/JP2020522883A5/ja
Application granted granted Critical
Publication of JP7250705B2 publication Critical patent/JP7250705B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by using a combination of at least two measurements at least one being a transmission measurement and one a scatter measurement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

プロセスパラメータ、構造的パラメータまたは両パラメータの値を、高アスペクト比半導体構造のX線スキャトロメトリ測定に基づいて推定するための方法およびシステムが本明細書で提示される。X線スキャトロメトリ測定は作製プロセスフローの1つ以上のステップで実行される。測定は、進行中の半導体作製プロセスフローの収率改善を可能にするために迅速且つ十分な精度で実行される。関心パラメータの測定値に基づいてプロセス補正が決定され、補正はプロセスツールに通信されて、プロセスツールの1つ以上のプロセス制御パラメータを変更する。一部の例では、進行中の作製プロセスステップを制御するために、測定はウェハが処理されている間に実行される。一部の例では、特定のプロセスステップ後にX線スキャトロメトリ測定が実行され、プロセス制御パラメータは将来のデバイスの処理のために更新される。

Description

記載される実施形態は計測システムおよび方法に関し、より詳細には、作製処理ステップを経る半導体構造の改良された測定のための方法およびシステムに関する。
関連出願の相互参照
本出願は、米国特許法第119条に基づいて、2017年3月30日に出願された「Process Monitoring for Deep Structures Using X−ray Scatterometry」と題する米国仮特許出願第62/512,297号、および2017年10月16日に出願された「Process Monitoring for Deep Structures Using X−ray Scatterometry」と題する米国仮特許出願第62/572,566号の優先権を主張し、それぞれの仮特許出願の主題を参照により全体を本明細書に援用する。
ロジックおよびメモリデバイスなどの半導体デバイスは、典型的には、試料に施す一連の処理ステップによって作製される。半導体デバイスの種々の特性と複数の構造的レベルはこれらの処理ステップによって形成される。例えば、とりわけリソグラフィは、半導体ウェハ上にパターンを生成することを包含する1つの半導体作製プロセスである。半導体作製プロセスの付加的な例は、化学機械研磨(CMP)、エッチング、堆積およびイオン注入を含むが、これらに限定されない。複数の半導体デバイスが単一の半導体ウェハ上に作製され、次に、個々の半導体デバイスに分離されてよい。
計測プロセスは、ウェハ上の欠陥を検出してより高い収率を促進するために、半導体製造プロセスにおける様々なステップで使用されている。スキャトロメトリおよびリフレクトメトリ実装を含むいくつかの計測に基づく技法および関連する解析アルゴリズムが、ナノスケ−ル構造のクリティカルディメンション、膜厚、組成および他のパラメータを特性評価するために一般に用いられている。X線スキャトロメトリ技法は、サンプルを破壊する危険を冒さずに高スループットの可能性を提供する。
伝統的に、光学スキャトロメトリクリティカルディメンション(SCR)測定は、薄膜および/または反復性周期構造からなるターゲット上に実行されている。デバイス作製中に、これらの膜および周期構造は典型的に、実際のデバイスジオメトリおよび材料構造または中間設計を表す。デバイス(例えば、ロジックおよびメモリデバイス)がより微小なナノスケール寸法へと移行するにつれ、特性評価はより困難になる。複雑な三次元ジオメトリや多様な物理特性の材料を組み込んだデバイスは特性評価を困難にする。例えば、昨今のメモリ構造は、高アスペクト比三次元構造であることが多く、そのために、光学輻射が下層に浸透しづらくなっている。赤外〜可視光を用いた光学計測ツールは、半透明材料の多くの層に浸透するが、十分な浸透深度を提供するより長い波長は、小さな異常に対する感度が十分でなくなる。さらに、複雑な構造(例えばFinFET)を特性評価するために必要とされるパラメータの個数の増加は、パラメータ相関の増大につながる。その結果、ターゲットを特徴付けるパラメータは、入手可能な測定結果から確実に分離されないことが多くなる。
一例としては、スタック内交互配置材料のうち1つとしてポリシリコンを利用する3D−FLASHデバイスに関する浸透の問題を克服することを試みて、より長い波長(例えば、近赤外)が用いられてきた。しかしながら、3D−FLASHの鏡状構造は本質的に、照明が膜スタックにより深く伝播するにつれ光強度の低下を引き起こす。このことは、深さについて感度の減少と相関の問題を引き起こす。このシナリオにおいて、光学SCDが成し得るのは、少数のセットの計測寸法を高感度および低相関で成功裡に抽出することだけである。
別例として、不透明で高k材料が昨今の半導体構造においてますます採用されつつある。光学的輻射は、これらの材料で構築された層には多くの場合浸透できない。その結果、エリプソメータまたはリフレクトメータなどの薄膜スキャトロメトリツールでの測定が一層困難化している。
これらの課題に応じて、より複雑な光学計測ツールが開発されている。例えば、多照明角、より短い照明波長、より広範囲の照明波長、および反射信号からのより完全な情報取得(例えば、より従来型の反射率またはエリプソメータ信号に加えて複数のミュラー行列要素を測定する)を備えたツールが開発されている。しかしながら、これらの手法は多くの先進的なターゲット(例えば、複雑な3D構造、10nm未満の構造、不透明材料を用いた構造)の測定および測定アプリケーション(例えば、ラインエッジ粗さおよびライン幅粗さ測定)に関連する基本的な課題を確実に克服するに至っていない。
光学的方法は、プロセスステップ間のプロセス変量の非破壊的なトラッキングを提供し得るものの、光学的方法が独自には区別できないプロセスドリフトに際しては、精度を維持するために破壊的方法による通常の較正が必要となる。
原子間力顕微鏡(AFM)および走査トンネル顕微鏡(STM)は、原子分解能を達成できるが、それらは試料の表面を探査できるのみである。加えて、AFMおよびSTM顕微鏡はより長い走査時間を要する。走査電子顕微鏡(SEM)は中程度の分解レベルを達成するが、構造内に十分な深さまで浸透できない。したがって、高アスペクト比の孔を良好に特性評価できない。その上、必要な試料の帯電はイメージング性能に悪影響を及ぼす。X線リフレクトメータも浸透問題に悩まされており、そのため高アスペクト比構造を測定する場合の有効性に限りがある。
浸透深度の問題を克服するために、TEM、SEM等の伝統的イメージング技法では、集束イオンビーム(FIB)マシニング、イオンミリング、ブランケットまたは選択的エッチング等の破壊的サンプル作製技法が併用されている。例えば、透過電子顕微鏡(TEM)は、高分解能レベルを達成し、随意の深度を探査できるが、TEMは試料の破壊的分割を余儀なくされる。材料除去と測定を数回繰り返すことで三次元構造にわたり一般に、クリティカル計測パラメータを測定するために必要な情報を提供する。しかし、これらの技法はサンプルの破壊と長いプロセス時間が必須となる。これらのタイプの測定を完了するための複雑さと時間は、測定対象ウェハ上でプロセスが完了した大分後に測定結果が入手できるため、エッチングと計測ステップのドリフトにより多大な不正確さをもたらす。したがって、測定結果はさらなる処理および遅延したフィードバックからのバイアスを蒙る。加えて、これらの技法では多数回の反復が必要となり、それが位置合わせエラーをもたらす。要約すると、SEMおよびTEM技法に必要な長く破壊的なサンプル作製によってデバイス収率に悪影響が出る。
半導体デバイス製造において、エッチングプロセスと堆積プロセスは、半導体ウェハ上にデバイスパターンプロファイルおよびレイアウトを規定するための重要なステップである。したがって、測定された構造の忠実度と、ウェハにわたるそれらの均一性を確実にするために、膜とパターン構造を測定することが重要である。さらに、ウェハにわたり、要求されるパターンまたは膜均一性を維持するために、進行中のプロセスを制御して、設定を調整するために、測定結果を迅速に提供することが重要である。
殆どの例において、半導体製造プロセスの精密なモニタリングは1つ以上のスタンドアローン(SA)計測システムによって実行される。SA計測システムは通常、最高測定性能を提供する。しかしながら、ウェハは測定のためにプロセスツールから除去されなければならない。真空で行われるプロセスに関しては、これは著しい遅れを引き起こす。結果として、SA計測システムは、プロセスツールに、特に、真空を包含するツールに、迅速に測定フィードバックを提供できない。別の例では、統合型計測システムまたはセンサは、プロセスステップが完了した後でウェハを測定するためにプロセス装置に取り付けられていることが多いが、プロセスツールからウェハを取り外すことはない。別の例では、プロセスツールの処理チャンバ内部でイン・サイチュ(IS)計測システムまたはセンサが用いられる。さらに、IS計測システムはプロセス中(例えば、エッチングプロセス、堆積プロセス等)にウェハをモニタして、測定対象の作製ステップを実行するプロセスツールにフィードバックを提供する。
一例としては、反応性イオンエッチングプロセスの対象となる構造がイン・サイチュでモニタされる。一部の作製ステップでは、エッチングプロセスは、露出層を完全にエッチングし、次に、下部層の実質的なエッチングが起こる前に停止することが必要である。典型的に、これらのプロセスステップは、チャンバ内に存在するプラズマのスペクトルシグネチャを、発光分光分析技法を用いてモニタすることによって制御される。露出層がエッチングを終えて、エッチングプロセスが下部層に反応し始めると、プラズマのスペクトルシグネチャにおける歴然とした変化が発生する。スペクトルシグネチャの変化は発光分光分析技法によって測定され、エッチングプロセスは、スペクトルシグネチャの測定された変化に基づいて停止される。
別の作製ステップでは、エッチングプロセスは、露出層を指定エッチング深さまで部分的に貫通してエッチングし、露出層を完全に貫通してエッチングする前に停止する必要がある。このタイプのエッチングプロセスは一般に「ブラインドエッチング」と呼ばれる。現在、部分エッチングされた層を介したエッチング深さの測定は、近直角入射分光反射率計測に基づいている。
現行のイン・サイチュセンサは、膜厚の大規模な変化をモニタすることができるのみであり、深3D構造の処理から生じる複雑なプロファイルにはうまく相関しない。
一般に、光学、音響および電子ビームツールの組み合わせを用いたプロセスモニタリングの多くの方法がある。これらの技法はデバイスを直接測定するか、特別に設計されたターゲット、または特別なモニタウェハを測定する。しかしながら、高アスペクト比構造の関心パラメータを、コスト効率よく適時に測定ができないことは、特に、ウェハのメモリセクタにおいて低収率をもたらす。
米国特許第6879051号
要約すると、多くの半導体構造の、進行中のフィーチャサイズの縮小と深度の増加は、スタンドアローンシステムおよび、イオン注入およびエッチングツールなどのプロセスツールに組み込まれたシステムを含む計測システムに困難な要求を課している。したがって、高アスペクト比構造を測定して高デバイス収率を維持するために、改良された計測システムおよび方法が求められる。
高アスペクト比半導体構造のX線スキャトロメトリ測定に基づいた、プロセスパラメータ、構造的パラメータ、またはそれら両パラメータの値を推定する為の方法およびシステムが本明細書で提示される。X線スキャトロメトリ測定は、作製プロセスフローの1つ以上のステップで実行される。例示的プロセスステップは、エッチング、堆積およびリソグラフィープロセスを含む。測定は、進行中の半導体作製プロセスフローの収率改善を可能にするために迅速且つ十分な精度で実行される。一部の例では、進行中の作製プロセスを制御するために、測定は、ウェハが処理されている間に実行される。
一態様では、小角スキャトロメトリ(SAXS)計測システムがウェハ処理ツールに一体化されており、関心パラメータの測定値が、ウェハ処理ツールを制御するためのフィードバックとして提供される。一部の実施形態では、透過SAXS測定システムが用いられる。別の実施形態では、反射型SAXS測定システムが用いられる。
一部の実施形態では、SAXS計測システムは、エッチング、堆積またはリソグラフィーツールなどのウェハ処理ツールに一体化されている。別の実施形態では、SAXS計測システムはスタンドアローンツールとして構築されている。
さらなる態様では、SAXSシステムは、1つ以上の関心パラメータの値(例えば、プロセスパラメータ値、構造的パラメータ値、またはそれら両方)を、測定モデルを用いて処理対象ウェハのスキャトロメトリ測定に基づいて推定する。
別のさらなる態様では、関心パラメータの測定値に基づいてプロセス補正が決定され、補正はプロセスツールに通信されて、プロセスツールの1つ以上のプロセス制御パラメータを変更する。一部の実施形態では、測定構造に処理が実行されている間にSAXS測定が実行され、プロセス制御パラメータが更新される。一部の実施形態では、SAXS測定は特定のプロセスステップ後に実行され、そのプロセスステップに関連するプロセス制御パラメータは、そのプロセスステップによる将来のデバイスの処理のために更新される。一部の実施形態では、SAXS測定は特定のプロセスステップ後に実行され、後続プロセスステップに関連するプロセス制御パラメータが、後続プロセスステップによる測定デバイスまたは他のデバイスの処理のために更新される。
作製対象の特定の高アスペクト比構造の測定周波数は、モニタされるプロセスパラメータの安定性に依存する。さらに、所要測定時間の長さは、モニタされるプロセス変量の変化に対する散乱感度に依存する。散乱信号をイン・サイチュで測定することは、プロセス条件を最速で測定するが、最高度の不確定性で測定することになる。一方、より長い測定時間は、測定パラメータのより高い精度と確定性を提供する。一般に、総散乱量に影響するプロセスパラメータ(例えば、エッチング時間)は最速でモニタされ得る一方、他のパラメータ(例えば、エッチングプロファイルのわずかな偏差)は、意義ある結果を達成するためには移動平均またはより長い測定時間を要求する。したがって、これらのパラメータは、より遅いベースでのみ制御され得る。
上記は概要であり、したがって必然的に簡略化、一般化および詳細の省略を含むものであり、結果として、当業者ならば、この概要は単に例示に過ぎず、如何なる意味でも限定するものではないということを理解するであろう。本明細書に記載のデバイスおよび/またはプロセスの他の態様、発明的特徴および利点は、本明細書に記載の非限定的な詳細な説明で明らかとなる。
処理対象ウェハ上に配置された半導体構造のX線スキャトロメトリ測定に基づくエッチングプロセスのモニタリングのための例示的ウェハ処理システム100の図である。 入射角θおよび方位角φで記述される特定の配向でウェハに入射するX線照明ビームを示す図である。 一実施形態での、エッチングプロセス中の2孔フィーチャを含む半導体構造を示す図である。 別の実施形態での、堆積プロセス中の2孔フィーチャを含む半導体構造を示す図である。 それぞれ2孔フィーチャを含む2層を含む半導体構造を示す図である。 エッチングされた孔のサイズの変化を示す散乱像を描写した図である。 エッチングされた孔の深さの変化を示す散乱像を描写した図である。 入射角θの関数としてのゼロ次数ビームS00の散乱効率を描写するプロット図である。 入射角θの関数としてのいくつかの高次散乱効率を描写する図である。 高アスペクト比孔構造のアレイの上面図である。 理想的な高アスペクト比孔構造の側面を示す図である。 傾いた孔構造の側面を示す図である。 漸次傾く孔構造の側面を示す図である。 本明細書に記載の測定の対象となる典型的3D−FLASHメモリデバイスの等角図である。 本明細書に記載の測定の対象となる典型的3D−FLASHメモリデバイスの上面図である。 本明細書に記載の測定の対象となる典型的3D−FLASHメモリデバイスの断面図である。 処理対象ウェハ上に配置された半導体構造の反射型X線スキャトロメトリ測定に基づくエッチングプロセスをモニタするための例示的ウェハ処理システム200の図である。 例示的モデル構築および分析エンジン180を示す図である。 小角X線スキャトロメトリ測定に基づいて高アスペクト比構造の半導体作製プロセスを制御するための方法300のフローチャートである。
以下、その例が添付の図面に示されている、本発明の背景となる例と一部の実施形態について詳細に言及する。
部分的に作製済みの高アスペクト比半導体構造のX線スキャトロメトリ測定に基づいて、プロセスパラメータ、構造的パラメータまたは両パラメータの値を推定するための方法およびシステムが本明細書で提示される。高アスペクト比構造のX線スキャトロメトリ測定が、作製プロセスフローの1つ以上のステップで実行される。例示的プロセスステップは、エッチング、堆積およびリソグラフィープロセスを含む。測定は、進行中の半導体作製プロセスフローの収率改善を可能にするために十分な精度で迅速に実行される。一部の例では、ウェハが処理されている間に実行される測定を用いて、進行中のプロセスを制御する。高アスペクト比構造は、入射X線を効率よく散乱するために十分な総散乱量と材料コントラストを含む。収集された散乱X線は、測定デバイスの構造的関心パラメータの正確な推定を可能にする。X線エネルギーはシリコンウェハと光学経路内のプロセスガスに最小の信号汚染で浸透するのに十分なほど高い。
先進的半導体製作ノードのデバイス収率、特に、複雑な高アスペクト比(深、三次元) 構造のデバイス収率は苦戦し続けている。X線スキャトロメトリに基づくリアルタイムモニタリングおよびプロセス制御は、SEM、TEM等の伝統的な破壊的技法と比べてコスト効率の良い方式での高アスペクト比構造の作製のためのプロセス制御を可能にする。
X線スキャトロメトリ測定は、測定対象サンプルを破壊することなく高スループットでの高アスペクト比構造の構造的関心パラメータの正確な推定を提供する。測定感度は浸透深度によって有意に影響されず、測定半導体構造の垂直スタック内の深部に位置する構造の正確な測定を可能にする。さらに、プラズマ処理環境を通って伝播するX線輻射は、光学的輻射と比べて、プラズマプロセスによって生成された電磁場からの信号汚染に比較的感応しない。
一態様では、小角スキャトロメトリ(SAXS)計測システムはウェハ処理ツールと一体化され、関心パラメータの測定値は、ウェハ処理ツールを制御するためのフィードバックとして提供される。
図1は、処理対象ウェハ上に配置された半導体構造のX線スキャトロメトリ測定に基づいてエッチングプロセスをモニタリングするための例示的ウェハ処理システム100を描写している。描写された実施形態において、透過型小角スキャトロメトリ(T−SAXS)計測システムはエッチングプロセスツールと一体化されている。関心パラメータの測定値は、エッチングプロセスツールを制御するためのフィードバックとして提供される。
ウェハ処理システム100は、プロセス環境103とX線スキャトロメータを収容するプロセスチャンバ104を含む。半導体ウェハ101はプロセスチャンバ104内に配置されている。ウェハ101はウェハチャック105に取り付けられ、プロセスチャンバ104およびX線スキャトロメータに対して、ウェハステージ140によって位置決めされる。
一部の実施形態では、ウェハステージ140は、XY平面内でウェハ101を、回転運動と並進運動を組み合わせることによって(例えば、X方向への並進運動と、Y軸周りの回転運動)動かして、ウェハ101を、X線スキャトロメータによって提供される照明に対して位置決めする。一部の他の実施形態では、ウェハステージ140は、2つの直交する並進運動(例えば、X方向およびY方向への運動)を組み合わせて、ウェハ101を、X線スキャトロメータによって提供される照明に対して位置決めする。一部の実施形態では、ウェハステージ140は、X線スキャトロメータによって提供される照明に対するウェハ101の位置を、6自由度で制御するように構成される。一般に、試料ポジショニングシステム140は、限定はしないが、ゴニオメータステージ、6脚ステージ、傾斜ステージおよび線形ステージを含む所望の線形および傾斜位置決め性能を達成するための機械要素の任意の適切な組み合わせを含んでよい。
一部の実施形態では、ウェハ処理システム100はウェハステージ140を含まない。これらの実施形態では、ウェハハンドリングロボット(図示せず)が、プロセスチャンバ104内でウェハチャック105上にウェハ101を配置する。ウェハ101は、ウェハ ハンドリングロボットから、真空プロセス環境103に匹敵する静電ウェハチャック105に移される。これらの実施形態では、X線スキャトロメータによって実行される測定は、ウェハチャック105へのウェハ101の締め付け後のX線スキャトロメータの視野内のウェハ101の部分に限定される。この意味で、ウェハステージ140は随意である。この限界を克服するために、ウェハ処理システム100は、それぞれがウェハ101の異なる領域を測定する複数のX線スキャトロメータシステムを含んでよい。
一実施形態では、プロセスチャンバ104は反応性イオンエッチングシステムの要素である。この実施形態では、プロセス環境103は、ウェハ101の表面上の露出した材料をエッチングで取り去る高周波誘起プラズマを含む。
図1に描写されるように、X線スキャトロメータの光学素子は、プロセスチャンバ104の外部に配置されている。エッチングプロセスおよび堆積プロセス両方のプロセスチャンバ内にイオン化された粒子が存在する。光学素子は、プロセスによって誘起される磁場を撹乱することを避けるために、ウェハから十分に離れて配置されなければならない。さらに、プロセスチャンバ内に配置された光学素子上にイオン化された粒子が蓄積する可能性があり、したがって、プロセスチャンバ内に光学素子を含めることは実用的でない。
描写された実施形態において、SAXS計測システムは、X線照明源110と、集束光学素子111と、ビーム分岐制御スリット112と、中間スリット113と、ビーム整形スリット機構120とを含むX線照明サブシステム125を含む。X線照明源110は、T−SAXS測定に適したX線輻射を生成するように構成される。一部の実施形態では、X線照明源110は、0.01ナノメートルから1ナノメートルの間の波長を生成するように構成される。一般に、高スループット、インライン計測を可能にするために十分な光束レベルで高輝度X線を生成することが可能な任意の適切な高輝度X線照明源が、T‐SAXS測定向けのX線照明を供給するために想定されてよい。一部の実施形態では、X線源は、X線源が異なる選択可能な波長でX線輻射を配給することを可能にする調整可能なモノクロメータを含む。
一部の実施形態では、15keV超の、または17keV超の光子エネルギーの輻射を発する1つ以上のX線源が、デバイス全体ならびにウェハ基板および任意の介在要素を通した十分な透過を可能にする波長での光をX線源が供給することを保証するために用いられる。介在要素は、1つ以上の窓(例えば、ベリリウム、サファイヤ、ダイヤモンド等製の窓)を含んでよい。介在要素はまた、ウェハチャック105、ロードポートまたはステージ140の要素などの、ウェハ101と検出器119の間の散乱X線輻射の経路内の構造も含んでよい。構造的プラスチック材料を通る透過は、散乱信号の過度の汚染の危険がない。ウェハチャック105の構造的要素、ステージ140またはロードポートを通るアパーチャまたは窓が、信号汚染を最小にするために用いられてよい。例えば、ウェハのX線スポットは50‐200マイクロメートル程度に小さくあり得る。ウェハ付近に位置する要素に関して、散乱次数の汚染を最小にするために必要なアパーチャのサイズは最小である。しかしながら、必要とされるアパーチャサイズは、ウェハからの距離が増加するにつれ関心散乱次数に付随する有限散乱角によって増加する。
例示的X線源は、X線輻射を刺激するために固体または液体ターゲットを衝突させるように構成された電子ビーム源を含む。高輝度液体金属X線照明を生成するための方法およびシステムは、2011年4月19日付でKLA−Tencor Corp.に発行された米国特許第7,929,667号に記載されており、同特許の全体が本明細書に援用される。
非限定的な例として、X線照明源110は、粒子加速器源、液体アノード源、回転アノード源、定置型固体アノード源、マイクロフォーカス源、マイクロフォーカス回転アノード源、プラズマベース源および逆コンプトン線源のうちいずれを含んでもよい。一例では、米国カリフォルニア州パロアルトのリンシーンテクノロジーズ(Lyncean Technologies,Inc)から入手可能な逆コンプトン線源が考慮されてよい。逆コンプトン線源は、所定範囲の光子エネルギーにわたりX線を生成でき、それによってX線源が異なる選択可能な波長でX線輻射を配給することを可能にするという付加的な利点を有する。
一部の例では、コンピュータシステム130は、X線照明源110に所望のエネルギーレベルでのX線輻射を放射させるコマンド信号137をX線照明源110に通信する。エネルギーレベルは、測定対象の高アスペクト比構造に関するより多くの情報を備えた測定データを取得するために変更される。
X線照明源110は、有限横寸法(すなわち、ビーム軸に対して垂直な非ゼロ寸法)を有する線源領域にわたりX線発光を生じさせる。集束光学素子111は、試料101上に配置された計測ターゲットに線源輻射を集束させる。有限横線源寸法は、線源のエッジから入来する光線117によって画定されるターゲット上の有限スポットサイズ102をもたらす。一部の実施形態では、集束光学素子111は、楕円形状の集束光学素子を含む。
ビーム分岐制御スリット112が、集束光学素子111とビーム整形スリット機構120の間のビーム経路に配置されている。ビーム分岐制御スリット112は、測定対象試料に提供される照明の分岐を制限する。付加的な中間スリット113が、ビーム分岐制御スリット112とビーム整形スリット機構120の間のビーム経路に配置されている。中間スリット113は付加的なビーム整形を提供する。しかしながら、一般に、中間スリット113は随意である。
ビーム整形スリット機構120は試料101の手前のビーム経路に配置されている。一部の実施形態では、ビーム整形スリット機構120は、複数個の独立して作動するビーム整形スリットを含む。一実施形態では、ビーム整形スリット機構120は4個の独立して作動するビーム整形スリットを含む。これら4個のビーム整形スリットは、入来ビーム115の一部を効率的に阻止して、箱形の照明断面を有する照明ビーム116を生成する。
一般に、X線光学素子はX線輻射を整形して試料101に向ける。一部の例では、X線光学素子は、試料101上に入射するX線ビームを単色化するX線モノクロメータを含む。一部の例では、X線光学素子はX線ビームをコリメートする、または多層X線光学素子を用いてX線ビームを試料101上の測定領域102に1ミリラジアン未満の拡散に集束する。これらの例では、多層X線光学素子がやはりビームモノクロメータとして機能する。一部の実施形態では、X線光学素子は、1個以上のX線コリメートミラー、X線アパーチャ、X線ビームストップ、屈折型X線光学素子、ゾーンプレート、モンテル光学素子などの回折光学素子、微小角入射楕円ミラーなどの鏡面反射型X線光学素子、中空キャピラリX線導波路などのポリキャピラリー光学素子、多層光学素子またはシステム、あるいはそれらの任意の組み合わせを含む。さらなる詳細は、米国特許出願公開第2015/0110249号に記載されており、その内容は全体の参照により本明細書に援用される。
一部の実施形態では、X線照明源110、集束光学素子111、スリット112および113、またはそれらの任意の組み合わせが、制御された大気環境(例えばガスパージ環境)内で維持される。しかしながら、一部の実施形態では、これらの要素いずれの間の、およびこれら要素内のいずれの内部の光経路長も長く、空気中のX線散乱が、検出器上の像へのノイズに寄与する。したがって、一部の実施形態では、X線照明源110、集束光学素子111、スリット112および113のいずれも、局所的真空環境内に維持される。図1に描写された実施形態において、集束光学素子111、スリット112および113ならびにビーム整形スリット機構120は減圧脱気した飛行管118内の制御された環境(例えば、真空)に維持される。照明ビーム116は、プロセスチャンバ104の窓106に入射する前に飛行管118の端部の窓122を透過する。一部の実施形態では、飛行管118は、プロセスチャンバ104と一体化され、窓が、飛行管118内に維持された真空環境からプロセス環境103を分離している。
ウェハ101に入射した後で、散乱されたX線輻射114は、窓107を介してプロセスチャンバ104から出る。一部の実施形態では、プロセスチャンバ104と検出器119(すなわち、収集ビーム経路)間の光経路長は長く、空気中のX線散乱が、検出器上の像へのノイズに寄与する。したがって、好ましい実施形態では、プロセスチャンバ104と検出器119間の収集ビーム経路長の大部分が、真空窓(例えば、真空窓124)によって環境から分離された局所的真空環境内に維持される。一部の実施形態では、真空チャンバ123は、プロセスチャンバ104と一体化され、窓が、真空チャンバ123内に維持された真空環境からプロセス環境103を分離している。一部の実施形態では、X線検出器119は、プロセスチャンバ104と検出器119の間のビーム経路長と同じ局所的真空環境に維持される。例えば、図1に描写されるように、真空チャンバ123は検出器119と、プロセスチャンバ104と検出器119の間のビーム経路長の大部分とを包囲する局所的真空環境を維持する。
一部の他の実施形態では, X線検出器119は制御された大気環境(例えば、ガスパージ環境)に維持される。これは、検出器119から熱を取り去るために有益であり得る。しかしながら、これらの実施形態では、プロセスチャンバ104と検出器119の間のビーム経路長の大部分を、真空チャンバ内の局所的真空環境に維持することが好ましい。一般に、真空窓は、X線輻射に対して実質的に透明な任意の適切な材料(例えば、カプトン、ベリリウム等)から構築されてよい。
図1に描写された実施形態において、照明光は、プロセスチャンバ104のガスインジェクタシステム108の1つ以上の窓要素106を透過する。ガスインジェクタシステム108は、窓要素106からプロセスチャンバ104内に延在する。一実施形態では、窓要素106からウェハ101までの距離は約300ミリメートルであり、ガスインジェクタシステム108は、窓要素106からウェハ101方面に約150ミリメートル分延在する。ガスインジェクタシステム108は、X線照明経路に沿ってガスの流れを導いて、イオン化されたガス粒子が窓要素106に当たって汚染することを防止する。例示的ガスインジェクタシステムは、米国カリフォルニア州フレモントのLAMリサーチ(LAM Research Corporation)によって製造されている。
X線検出器119は、試料101から散乱されたX線輻射114を収集して、T−SAXS測定モダリティに従って、入射X線輻射に感応する試料101の特性を示す出力信号135を生成する。一部の実施形態では、試料ポジショニングシステム140が、角度分解された散乱X線を生成するべく試料101を配置し配向させる間に、散乱X線114はX線検出器119によって収集される。
一部の実施形態では、T−SAXSシステムは、高ダイナミックレンジ(例えば10超)の1つ以上の光子計数検出器を含む。一部の実施形態では、単一の光子計数検出器は、検出された光子の位置と個数を検出する。
一部の実施形態では、X線検出器は1つ以上のX線光子エネルギーを分解して、試料の特性を示すX線エネルギー成分毎の信号を生成する。一部の実施形態では、X線検出器119は、CCDアレイ、マイクロチャンネルプレート、フォトダイオードアレイ、マイクロストリップ比例計数管、ガス封入比例計数管、シンチレータまたは蛍光材料のいずれかを含む。
このように、検出器内のX線光子相互作用は、エネルギーならびに画素位置および計数値によって弁別される。一部の実施形態では、X線光子相互作用のエネルギーを、所定の上側閾値および所定の下側閾値と比較することによってX線光子相互作用が弁別される。一実施形態では、この情報はさらなる処理と記憶(例えば、メモリ190への)のために、出力信号135によってコンピュータシステム130に通信される。
さらなる態様では、T−SAXSシステムを用いて試料の特性(例えば、構造的パラメータ値)を、散乱光の1つ以上の回折次数に基づいて決定する。図1に描写されるように、システム100は、検出器119によって生成された信号135を取得し、試料の特性を、取得した信号に少なくとも部分的に基づいて決定し、決定した関心パラメータ122をメモリ(例えば、メモリ190)に記憶するために用いられるコンピュータシステム130を含む。一部の実施形態では、コンピュータシステム130は、測定モデルを用いて、処理対象ウェハのスキャトロメトリ測定に基づいて1つ以上の関心パラメータの値を直接推定するためのプロセス制御計測エンジンとして構成される。
別の態様では、T−SAXSに基づく計測は、測定されたデータでの所定の測定モデルの逆解によってサンプルの寸法を決定することを含む。測定モデルは、少数の(10程度の)調整可能なパラメータを含み、試料のジオメトリおよび光学特性ならびに測定システムの光学特性を表す。逆解の方法は、限定はしないが、モデルベースの回帰、トモグラフィ、機械学習、またはそれらの任意の組み合わせを含む。こうして、ターゲットプロファイルパラメータは、測定された散乱X線強度とモデル化された結果の間のエラーを最小にするパラメータ化された測定モデルの値の解を求めることによって推定される。
一部の実施形態では、測定モデルは、測定対象のターゲットからの散乱を表す像を生成する測定の電磁モデル(例えば、ボーンウェーブモデル)である。例えば、図6および7に描写された像150−152は、測定対象のターゲットからの散乱を表す像である。モデル化された像は、プロセス制御パラメータ(例えば、エッチング時間、エッチングチルト、エッチング選択性、堆積速度等)によってパラメータ化されてよい。モデル化された像は、測定された高アスペクト比構造の構造的パラメータ(例えば、高さ、異なる高さでの直径、他の構造に対する孔のアライメント、孔フィーチャの真直度、孔フィーチャの同心度、深さの関数としての堆積層の厚さ、特定の孔フィーチャにわたる、または異なる孔フィーチャ間での堆積層の均一性等)によってパラメータ化されてもよい。
測定された散乱像は、関心パラメータのうち1つ以上の値を推定するために逆解を実行することによって作製プロセスをモニタするために用いられる。これらの例では、逆解は測定された像に最も近く適合するモデル化された散乱像を生成するプロセスパラメータ、幾何パラメータまたは両方の値の解を求めることになる。一部の例では、散乱像の空間は、回帰方法(例えば、最急降下法等)を用いた測定モデルを用いて探索される。一部の例では、予め計算済みの像のライブラリが生成され、そのライブラリは、モデル化像と測定像の間の最適適合をもたらす1つ以上の関心パラメータの値を見出すために探索される。
一部の他の例では、測定モデルは、散乱像の多数のサンプルと既知のプロセス条件、幾何パラメータ値、またはそれら両方を関連付ける機械学習アルゴリズムによって訓練される。こうして、訓練された測定モデルは、測定された散乱像を、プロセスパラメータ、幾何パラメータまたはそれら両方の測定値にマッピングする。一部の例では、訓練された測定モデルは、実測定と関心パラメータの間の直接の機能関係を定義する信号応答計測(SRM)モデルである。
一般に、本明細書に記載のいずれの訓練されたモデルも、ニューラルネットワークモデルとして実施される。別の例では、いずれの訓練されたモデルも、線形モデル、非線形モデル、多項式モデル、応答局面モデル、サポートベクターマシンモデル、デシジョンツリーモデル、ランダムフォレストモデル、深層ネットワークモデル、畳み込みネットワークモデルまたは他のタイプのモデルとして実施されてよい。
一部の例では、本明細書に記載のいずれの訓練されたモデルも、モデルの組み合わせとして実施されてよい。モデル訓練および半導体測定向けに訓練された測定モデルの使用に関する付加的な説明は、パンデブ(Pandev)ほかによる米国特許出願公開第2016/0109230号に提供されており、その全容を参照により本明細書に援用する。
いくつかの他の例では、予想される幾何形状および材料分布を含まない自由形式モデルが、測定対象構造の幾何形状と材料パラメータを記述する。一部の例では、モデルは、独立して調整可能な材料パラメータ値(例えば、電子密度、吸収率または複素屈折率)をそれぞれが有する多くの小さいボクセル(体積要素)を含む。一部の他の実施形態では、材料特性は区分的に一定である。各異なる材料に関連する特性は先験的に決まっている。異なる材料間の境界は自由曲面であり、これらの曲面はレベルセットアルゴリズムによって定められ得る。
測定されたスキャトロメトリデータは、サンプルの像を計算するために用いられる。一部の例では、像は、電子密度、吸収率、複素屈折率またはこれらの材料特性の組み合わせの二次元(2D)マップである。一部の例では、像は電子密度、吸収率、複素屈折率またはこれらの材料特性の組み合わせの三次元(3D)マップである。マップは比較的少ない物理的制約を用いて生成される。これらの技法は、セズガイナー(Sezginer)ほかによる米国特許出願公開2015/0300965号にさらに詳細に説明されており、その主題を全体の参照により本明細書に援用する。
測定されるパラメータ値の精度と正確度を増加させるために、広範囲の入射角および方位角で測定を実行することが望ましい。このアプローチは、解析に利用可能なデータセットの個数と多様性を、様々な大角度、面外配向を含めるように拡張することによって、パラメータ間の相関を減少させる。例えば、直交配向では、T−SAXSはフィーチャのクリティカルディメンションを分解できるが、フィーチャの側壁角と高さには概ね感応しない。しかしながら、広範囲の面外角度配向にわたり測定データを収集することによって、フィーチャの側壁角と高さが分解される。別の例では、広範囲の入射角および方位角で実行された測定は、高アスペクト比構造をそれらの全深さを通して特性評価するために、十分な分解能と浸透深度を提供する。
ウェハ面法線に対するX線入射角の関数としての回折輻射の強さの測定値が収集される。複数の回折次数に含まれる情報は典型的に、考慮対象の各モデルパラメータで一意的である。したがって、X線散乱収率推定は、小さいエラーで、また、パラメータ相関が減少した関心パラメータの値をもたらす。
半導体ウェハ101の面法線に対する照明X線ビーム116の各配向は、X線照明ビーム115に対するウェハ101の、またはウェハ101に対するX線照明ビーム115の任意の2つの角回転によって記述される。一例では、配向は、ウェハに固定された座標系に関して記述され得る。図2は、ウェハ101に、入射角θと方位角φにより記述される特定の配向で入射するX線照明ビーム116を描写する。座標系XYZは計測システム(例えば、照明ビーム116)に固定され、座標系X′Y′Z′はウェハ101に固定されている。Y軸は、ウェハ101の表面と同一面内に整列している。XおよびZはウェハ101の表面と整列していない。Z′はウェハ101の表面に対して垂直な軸と整列し、X′とY′はウェハ101の表面と整列した面内にある。図2に描写されるように、X線照明ビーム116はZ軸に整列し、したがって、XZ平面内にある。入射角θは、XZ平面内のウェハの面法線に対するX線照明ビーム116の配向を記述する。さらに、方位角φは、X′Z′平面に対するXZ平面の配向を記述する。θとφを併用してウェハ101の表面に対するX線照明ビーム116の配向を一意的に定義する。この例では、ウェハ101の表面に対するX線照明ビームの配向は、ウェハ101の表面に対して垂直な軸(すなわち、Z′軸)周りの回転と、ウェハ101の表面と整列した軸(すなわち、Y軸)周りの回転によって記述される。一部の他の例では、ウェハ101の表面に対するX線照明ビームの配向は、ウェハ101の表面と整列した第1の軸周りの回転と、ウェハ101の表面と整列しており第1の軸に対して垂直な他の軸周りの回転によって記述される。
一態様では、ウェハ処理システム100は、試料101を照明ビーム116に対して6自由度で能動的に位置決めするように構成された試料ポジショニングシステム140を含む。さらに、試料ポジショニングシステム101は、試料101を、照明ビーム116に対して広範な入射角(例えば、少なくとも70度)および方位角(例えば、少なくとも190度)にわたり整列および配向させるように構成される。一部の実施形態では、試料ポジショニングシステム140は、試料101を、試料101の表面と同一面内に整列した広範な回転角にわたり(例えば、少なくとも70度)回転させるように構成される。このように、試料101の角度分解された測定値が、試料101の表面上の任意の個数の位置および配向にわたりX線スキャトロメータによって収集される。一例では、コンピュータシステム130は、試料101の望ましい位置を示すコマンド信号139を試料ポジショニングシステム140に通信する。それに応答して、試料ポジショニングシステム140は、試料ポジショニングシステム140の種々のアクチュエータにコマンド信号を発して試料101の所望の位置決めを達成する。
一部の他の実施形態では、X線スキャトロメータシステムは測定対象ウェハに対して回転するように構成される。これらの実施形態では、ウェハはXY平面内で移動し、X線スキャトロメータの光学素子は、ウェハ101への照明ビーム116の入射点周りに回転される。
測定試料の散乱効率は、抽出された散乱強度を、ある組み合わせの入射角{θ,φ}に関する計測ターゲットの幾何形状および材料に関連させる。図8は、入射角θの関数としての0次ビームの散乱効率S00のプロット153を描写する。S00は入射角に依存するが、それは、ターゲットを通る透過は、増加した経路長により、より高い入射角では減少するためである。さらに、S00は入射角に依存するが、それは、入射角がターゲットの散乱と整列した場合(例えば垂直入射)にエネルギーが0次から離れてより高い回折次数に入るためである。
図9は、入射角θの関数としてのいくつかの高次の散乱効率を描写する。プロットライン154はS11を描写し、プロットライン155はS13を描写し、プロットライン156はS20を描写し、プロットライン157はS22を描写する。全ての高次の散乱強度は典型的に、散乱深さまたは密度に依存する。一般に、0次の散乱振幅は散乱深さが増加するにつれて減少し、それに対し他の散乱次数の散乱振幅は、散乱深さが増加するにつれ増加する。
別の態様では、プロセス補正が、関心パラメータ(例えば、クリティカルディメンション、オーバーレイ、高さ、側壁角等)の測定値に基づいて決定され、補正はプロセスツールに通信されて、プロセスツール(例えば、リソグラフィーツール、エッチングツール、堆積ツール等)の1つ以上のプロセス制御パラメータを変更する。一部の実施形態では、測定構造上にプロセスが実行されている間にSAXS測定が実行されて、プロセス制御パラメータが更新される。一部の実施形態では、SAXS測定は特定のプロセスステップ後に実行され、そのプロセスステップに関連するプロセス制御パラメータは、そのプロセスステップによる将来のデバイスの処理のために更新される。一部の実施形態では、SAXS測定は特定のプロセスステップ後に実行され、後続プロセスステップに関連するプロセス制御パラメータは、後続プロセスステップによる測定デバイスまたは他のデバイスの処理のために更新される。
一部の例では、本明細書に記載の測定方法に基づいて決定された測定パラメータの値は、エッチング時間を調整して望ましいエッチング深さを達成するためにエッチングツールに通信され得る。同様な態様で、エッチングパラメータ(例えば、エッチング時間、拡散率等)または堆積パラメータ(例えば、時間、濃度等)が、エッチングツールまたは堆積ツールにそれぞれアクティブフィードバックを提供するために、測定モデルに含まれてもよい。一部の例では、測定されたデバイスパラメータ値に基づいて決定されたプロセスパラメータに対する補正が、プロセスツールに通信されてよい。一実施形態では、コンピュータシステム130は、計測システム101から受け取った測定信号135に基づいてプロセス中に1つ以上の関心パラメータの値を決定する。さらに、コンピュータシステム130は、1つ以上の関心パラメータの決定値に基づいて制御コマンド136をプロセスコントローラ109に通信する。制御コマンド136は、プロセスコントローラ109に、プロセスの状態を変化させる(例えば、エッチングプロセスを停止する、拡散率を変更する等)。一例では、制御コマンド136は、プロセスコントローラ109に、所望のエッチング深さが測定された場合にエッチングプロセスを停止させる。別の例では、制御コマンド136は、プロセスコントローラ109に、CDパラメータの測定されたウェハ均一性を改善するためにエッチング速度を変更させる。
一般に、入射X線照明が周期構造と相互作用するにつれ、X線照明はコヒーレントに散乱して検出器119上に回折像(例えば、図6−7に描写された像150−152)を生成する。所望の散乱像または散乱像のシーケンスは、プロセスツールが正しく調整された場合に達成される。しかしながら、測定された像が所望の像または所望の像のシーケンスから逸脱すると、これらの逸脱は、プロセスツールのドリフトを示し、また、プロセスツールを正しい調整に戻すために必要なプロセス制御変量への補正を示す。
図3は、エッチングプロセス経過中の2つの孔フィーチャを含む半導体構造141を示す図である。図3に描写されるように、孔の初期プロファイル142は、エッチングプロセスによって拡大されたプロファイル143に変わっている。図3に描写されるように、X線照明116は構造141に関心ターゲットで向けられている。この入射の位置は、デバイス収率に必須であるプロセスの態様を最もよく表すように選択される。エッチングプロセスが進行するにつれ、孔フィーチャの深さと孔フィーチャの幅が変わる。
図4は、堆積プロセスを経過中の、2つの孔フィーチャを含む半導体構造144を示す図である。図4に描写されるように、孔の初期プロファイル145は、堆積プロセスによって縮小されたプロファイル145に変わっている。図4に描写されるように、X線照明116は構造144に関心ターゲットで向けられている。この入射の位置は、デバイス収率に必須であるプロセスの態様を最もよく表すように選択される。堆積プロセスが進行するにつれ、孔フィーチャの深さと孔フィーチャの幅が変わる。
図6は、エッチングされた孔のサイズの変化を示す散乱像150および151を描写している。エッチングプロセス中に、エッチングされた孔フィーチャがサイズを変えるにつれ、エッチングされたフィーチャの空間的フーリエ変換が変化して、回折パターンを変化させる。次数にわたる強度パターンが縮小するにつれ、フィーチャサイズが増加していることを示す(例えば、孔フィーチャの直径が増加する)。孔直径の不要な増加を避けるために、プロセス制御パラメータ(例えば、エッチング時間)は、孔直径の不要な増加を避けるべく調整される。
図7は、エッチングされた孔の深さの変化を表す散乱像150および152を描写している。エッチングされた孔が、エッチングプロセス中に深くなるにつれ、または堆積プロセス中に浅くなるにつれ、エッチングされたフィーチャの空間的フーリエ変換が変化して、検出される回折パターンの強度を変化させる。次数にわたる強度が増加するにつれ、孔深さが増加していることを示し、または逆に、次数にわたる強度が減少するにつれ、孔深さが減少していることを示す。孔深さの不要な変化を避けるために、プロセス制御パラメータ(例えば、エッチング時間、バイアス電圧等)は、孔深さの不要な変化を防止するべく調整される。
図5は、2つの層を含み、各層が2つの 孔フィーチャを含んでいる半導体構造147を示す図である。第1の層は充填孔148を含む。第2の層は未充填孔149を含む。図5に描写されるように、第2の層の孔149は、傾いており、第1の層の充填孔148に対して位置合わせエラー距離A分ずれている。図5に描写されるように、X線照明116は構造147に関心ターゲットで向けられている。この入射位置は、デバイス収率に必須であるプロセスの態様を最適に表すように選択される。
処理済み構造(すなわち、孔149)に対する下部構造(すなわち、孔148)からの検出された散乱の位相が、位置合わせエラー距離Aの指標を提供する。X線照明116の入射角に対する処理済み構造からの散乱は、孔149の傾きの指標を提供する。これらの測定信号を併用することで、オーバーレイエラーと傾きの推定が可能になる。次に、エッチングツールのプロセス制御パラメータを補正することによってチルトを補正し、リソグラフィーツールのプロセス制御パラメータを補正してオーバーレイエラーを補正することによってエッジ配置エラーが補正される。オーバーレイおよび孔傾きのモニタリングに関する付加的な説明は、米国特許出願公開第2015/0117610号に提供されており、その主題を、全体の参照により本明細書に援用する。
一般に、計測ターゲットはアスペクト比によって特徴付けられ、アスペクト比は、計測ターゲットの最大高さ寸法(すなわちウェハ表面に対し直交している方向の寸法)を最大横方向寸法(即ちウェハ表面に対し整列している方向の寸法)により除したものとして定義される。一部の実施形態では、測定対象計測ターゲットは少なくとも20のアスペクト比を有する。一部の実施形態では、計測ターゲットは少なくとも40のアスペクト比を有する。
図12A−12Cは、本明細書に記載の方式での測定対象となる典型的な3D−FLASHメモリデバイス170のそれぞれ等角図、上面図および断面図である。メモリデバイス170の総高(または同等に深さ)は、1〜数マイクロメートルの範囲である。メモリデバイス170は縦型製造デバイスである。メモリデバイス170などの縦型製造デバイスは本質的に、従来型平面メモリデバイスを90度回転させて、ビットラインとセルストリングを垂直に(ウェハ表面に対して垂直に)配向させる。十分なメモリ容量を提供するために、ウェハ上に異なる材料の多数の交互層が堆積される。これは、パターニングプロセスが、100ナノメートルまたはそれ未満の最大横幅の構造に関して数ミクロンの深さまでうまく実行されることを必要とする。その結果、25対1または50対1のアスペクト比も珍しくはない。
図10は、高アスペクト比孔構造160のアレイの上面図を描写している。図10に描写されるように、孔構造のアレイは、平面161、162、163および164(図から内側および外側に延在している)に沿って最も密にパターニングされている。一部の実施形態では、本明細書に記載ような高アスペクト比構造の測定を、高アスペクト比構造のアレイが最も密にパターニングされている平面内にある測定対象ウェハの表面に対する入射X線照明ビームの配向で実行することが好ましい。図10に描写された例では、孔構造のアレイが最も密にパターニングされている平面161、162、163および164内にある孔構造160のアレイに対してX線照明を提供することが好ましい。
図11Aは、理想的アスペクト比孔構造165の側面図を描写している。図11Bは、傾いた孔構造166の側面図を描写している。図11Cは、漸次傾く孔構造167の側面図を描写しており、傾き度は深さにつれて漸次増加する。多くの例において、孔構造166および167は望ましくない。一部の実施形態では、孔構造166および167に類似した孔構造は、本明細書に記載のようなT−SAXS測定によって特性評価される。一例では、孔構造166は、チルト角パラメータαによって特徴付けられる。さらに、X線照明ビーム116は、例えば、図2を参照して説明されたように、面法線に対して角度φで、および対頂角−φで孔構造166に提供される。一部の実施形態では、これらの2つの照明シナリオで生じる測定されたT−SAX信号における差が、チルト角αを正確に推定するために十分な信号情報を提供する。
別の例では、孔構造167は、いくつかのチルト角パラメータα、α、αによって区分的に特徴付けられる。同様に、X線照明ビーム116は、例えば、図2を参照して説明されたように、面法線に対して角度φで、および対頂角−φで孔構造167に提供される。一部の実施形態では、これらの2つの照明シナリオで生じる測定されたT−SAX信号における差が、チルト角α、αおよびαを正確に推定するために十分な信号情報を提供する。
図1に描写された実施形態において、T−SAXS計測システムはプロセスツールに一体化され、プロセスが遂行されている間にプロセスツールに測定結果を提供する。しかしながら、一般に、T−SAXS計測システムはスタンドアローンツールとして実施されてよい。これらの実施形態では、プロセスステップは完了されて、ウェハ101は測定のためにスタンドアローンT−SAXSツールに転移されなければならない。プロセス制御変量に対する変更は、後続ウェハの処理のためにプロセスツールに伝えられる。
作製中の特定の高アスペクト比構造の測定周波数は、モニタされるプロセスパラメータの安定性に依存する。さらに、所要測定時間の長さは、モニタされるプロセス変量の変化に対する散乱感度に依存する。散乱信号をイン・サイチュで測定することは、プロセス条件を最速で測定するが、最高度の不確定性で測定することになる。一方、より長い測定時間は、より高い精度と、測定されたパラメータの確度を提供する。一般に、総散乱量に影響するプロセスパラメータ(例えば、エッチング時間)は最速でモニタされ得る一方、他のパラメータ(例えば、エッチングプロファイルのわずかな偏差)は、意義ある結果を達成するためには移動平均またはより長い測定時間のいずれかを要する。したがって、これらのパラメータは、より遅いベースでのみ制御され得る。測定がイン・サイチュで実行されてもスタンドアローンツールで実行されても、感度と所要測定時間の間のトレードオフは存在する。しかしながら、より長い測定は典型的に、特定の測定向けに設計された、より安定したスタンドアローンツールでより正確に実行される。
図1は透過型SAXS測定システムを描写しているが、一般に、浅いフィーチャを測定するために反射型SAXS測定システムが用いられてもよい。
図13は、処理対象ウェハ上に配置された半導体構造のX線スキャトロメトリ測定に基づくエッチングプロセスのモニタリングのための例示的ウェハ処理システム200を描写している。一態様では、反射型スキャトロメトリ計測システムは、エッチングプロセスツールと一体化されている。関心パラメータの測定値は、エッチングプロセスを制御するためのフィードバックとして提供される。
ウェハ処理システム200は、プロセス環境203および反射型X線スキャトロメータを収容するプロセスチャンバ204を備えている。半導体ウェハ201はプロセスチャンバ204内に配置されている。ウェハ201はウェハチャック205に取り付けられ、ウェハステージ240によってプロセスチャンバ204およびX線スキャトロメータに対して位置決めされている。
一実施形態では, プロセスチャンバ204は反応性イオンエッチングシステムの一要素である。この実施形態では、プロセス環境203はウェハ201の表面上の露出した材料をエッチングで取り去る高周波誘起プラズマを含む。
描写される実施形態において、SAXS計測システムは、図1を参照した照明源110の説明に類似した反射型SAXS測定に適したX線輻射を生成するように構成されたX線照明源210を含む。
一部の例では、コンピュータシステム130は、X線照明源210に、望ましいエネルギーレベルでX線輻射を放射させるコマンド信号237を、X線照明源210に通信する。エネルギーレベルは、測定対象の高アスペクト比構造に関するより多くの情報を備えた測定データを取得するために変更される。
照明ビーム216はプロセスチャンバ204の窓206を透過して測定スポット201の上で試料201を照明する。ウェハ201に入射した後で、散乱されたX線輻射214は窓207を介してプロセスチャンバ204から出る。一部の実施形態では、プロセスチャンバ204と検出器219の間の光経路長(すなわち、収集ビーム経路)は長く、大気中のX線散乱が検出器上の像へのノイズに寄与する。したがって、好ましい実施形態では、プロセスチャンバ204と検出器219の間の収集ビーム経路長の大部分は局所的真空環境に維持される。
X線検出器219は、試料201から散乱されたX線輻射を収集して、反射型SAXS測定モダリティに従って、入射X線輻射に感応する試料201の特性を示す出力信号235を生成する。一部の実施形態では、散乱されたX線214は、試料ポジショニングシステム240が、コンピュータシステム230から試料ポジショニングシステム240に通信されたコマンド信号239に従って、角度分解された散乱X線を生成するように試料201を配置し配向させる間に、X線検出器219によって収集される。
さらなる態様では、コンピュータシステム230は、ウェハ201の特性(例えば、構造的パラメータ値)を、散乱光の1つ以上の回折次数に基づいて決定するために用いられる。図13に描写されるように、システム200は、検出器219から生成された信号235を取得して、試料の特性を、取得した信号に少なくとも部分的に基づいて決定し、関心パラメータの決定された値の指標222をメモリ(例えばメモリ290)に記憶するために用いられるコンピュータシステム230を含む。一部の実施形態では、コンピュータシステム230は、測定モデルを用いて処理対象ウェハのスキャトロメトリ測定に基づき1つ以上の関心パラメータの値を直接推定するプロセス制御計測エンジンとして構成される。
さらに、コンピュータシステム130は、1つ以上の関心パラメータの決定された値に基づいてプロセスコントローラ209に制御コマンド236を通信する。制御コマンド236は、プロセスコントローラ209にプロセスの状態を変更させる(例えば、エッチングプロセスを停止する、拡散率を変更する等)。
図14は、コンピュータシステム130によって実装される例示的モデル構築および解析エンジン180を示す図である。図14に描写されるように、モデル構築および解析エンジン180は、試料の測定された構造の構造的モデル182を生成する構造的モデル構築モジュール181を含む。一部の実施形態では、構造的モデル182は試料の材料特性も含む。構造的モデル182はT−SAXS応答関数構築モジュール183への入力として受け取られる。T−SAXS応答関数構築モジュール183は、構造的モデル182に少なくとも部分的に基づいてT−SAXS応答関数モデル184を生成する。一部の例では、T−SAXS応答関数モデル183は以下のX線フォームファクタに基づいている。
式中、Fはフォームファクタであり、qは散乱ベクトルであり、p(r)は球面座標における試料の電子密度である。そこでX線散乱強度は以下によって与えられる。
T−SAXS応答関数モデル184が当てはめ解析モジュール185への入力として受け取られる。当てはめ解析モジュール185はモデル化されたT−SAXS応答を、対応する測定データ135と比較して、試料の幾何特性ならびに材料特性を決定する。
一部の例では、実験データへのモデル化されたデータの当てはめは、カイ二乗値を最小にすることによって達成される。例えば、T−SAXS測定の場合、カイ二乗値は以下として定義され得る。
式中、S SAXS experimentは「チャンネル」j内の測定されたT−SAXS信号 126であり、指数jは、回折次数、エネルギー、角度座標等のシステムパラメータの集合を記述する。S SAXS model(v,...,v)は、構造(ターゲット)パラメータ(v,...,v)の集合に関して評価された「チャンネル」jのモデル化されたT−SAXS信号Sであり、これらのパラメータは幾何(CD、側壁角、オーバーレイ等)および材料(電子密度等)を記述する。σSAXSは、j個目のチャンネルに関連する不確定性である。NSAXSは、X線計測におけるチャンネルの総数である。Lは、計測ターゲットを特徴付けるパラメータの個数である。
式(3)は、別々のチャンネルに係る不確定性が相関していないと仮定する。異なるチャンネルに関連する不確定性が相関している例において、不確定性間の共分散が計算され得る。これらの例では、T−SAXS測定のカイ二乗値は以下として表され得る。
式中、VSAXSはSAXチャンネル不確定性の共分散行列であり、Tは転置を示す。
一部の例では、当てはめ解析モジュール185は、T−SAXS応答モデル184でT−SAXS測定データ135に当てはめ解析を実行することによって少なくとも1つの試料パラメータ値が導出される。一部の例では、X SAXSが最適化される。
本明細書で前述のように、T−SAXSデータの当てはめは、カイ二乗値を最小化することによって達成される。しかしながら、一般に、T−SAXSデータの当てはめは他の関数によって達成され得る。
T−SAXS計測データの当てはめは、関心幾何および/または材料パラメータに対して有感なものであれば、どのような任意のタイプのT−SAXS技術にも有益である。試料パラメータは、試料とのT−SAXSビーム相互作用を記述する適正なモデルが使用される限り、決定論的(例えば、CD、SWA等)であっても、または統計的(例えば、側壁粗さのrms高さ、粗さ相関長等)でもかまわない。
一般に、コンピュータシステム130は、クリティカルディメンショニング(RTCD)を用いてリアルタイムでモデルパラメータにアクセスするように構成されるか、または、試料101に関連する少なくとも1つの試料パラメータ値の値を決定するための予め計算済みのモデルのライブラリにアクセスしてもよい。一般に、何らかの形式のCDエンジンが、試料の割り当てられたCDパラメータと、被測定試料に関連するCDパラメータの間の差を評価するために用いられてよい。試料パラメータ値を計算するための例示的方法およびシステムは、KLA−Tencor Corp.に2010年11月2日に発行された米国特許第7,826,071号に記載されており、その全体を参照により本明細書に援用する。
一部の例では、モデル構築および解析エンジン180は、フィードサイドウェイ解析、フィードフォワード解析およびパラレル解析の任意の組み合わせによって、測定されたパラメータの精度を改善する。フィードサイドウェイ解析とは、同じ試料の異なる領域上の複数のデータセットを採取して、解析のため、第1のデータセットから決定された共通パラメータを第2のデータセットに引き渡すことを指す。フィードフォワード解析とは、異なる試料上のデータセットを採取して、段階的コピーイグザクトパラメータフィードフォワードアプローチ(stepwise copy exact parameter feed forward approach)を用いて共通パラメータを後続解析に先行供給することを指す。パラレル解析とは、非線形当てはめ方法論を複数のデータセットに並列または同時に適用し、少なくとも1つの共通のパラメータは当てはめ中に結合される解析を指す。
複数ツールおよび構造解析とは、フィードフォワード、フィードサイドウェイまたはパラレル解析のうち、回帰、ルックアップテーブル(すなわち「ライブラリ」マッチング)、または複数個のデータセットの他の当てはめ手順に、依拠するもののことである。多重ツールおよび構造分析の方法およびシステムの例がKLA−Tencor Corp.名義の2009年1月13日付の米国特許第7,478,019号に記載されており、この参照を以てその全容を本明細書に援用する。
別の態様では、プロセスツールに一体化された1つ以上のSAXSシステムは、プロセスインターバル中にウェハの複数の異なる領域を測定するように構成されている。一部の実施形態では、各測定された関心パラメータに関連するウェハ均一性値が、ウェハにわたり測定された各関心パラメータの値に基づいて決定される。
一部の実施形態では、複数の計測システムがプロセスツールに一体化され、計測システムは、プロセス中にウェハにわたる異なる領域を同時に測定するように構成される。一部の実施形態では、プロセスツールに一体化された単一の計測システムは、プロセス中にウェハの複数の異なる領域を逐次測定するように構成される。
一部の実施形態では、本明細書に記載のようなプロセスを経過中の半導体デバイスのSAXSベースの計測のための方法およびシステムが、メモリ構造の測定に適用される。これらの実施形態は、周期性且つ平面構造のクリティカルディメンション(CD)、膜および組成計測を可能にする。
本明細書に記載のスキャタロメトリ測定を用い、様々な半導体構造の特性を求めることができる。例示的構造は、限定はしないが、FinFET、低次元構造例えばナノワイヤやグラフェン、サブ10nm構造、リソグラフィック構造、スルー基板ビア(TSV)、メモリ構造例えばDRAM、DRAM4F2、FLASH、MRAMおよび高アスペクト比メモリ構造がある。例示的構造特性は、限定はしないが、幾何パラメータ例えばラインエッジ粗さ、ライン幅粗さ、孔(ポア)サイズ、孔密度、側壁角、プロファイル、クリティカルディメンション、ピッチ、厚み、オーバーレイと、材料パラメータ例えば電子密度、組成、グレイン構造、モルホロジ、応力、歪み及び元素種別とがある。一部の実施形態では計測ターゲットは周期構造である。一部の他の実施形態では計測ターゲットは非周期性である。
一部の例では、限定はしないが、スピン注入トルクランダムアクセスメモリ(STT−RAM)、三次元NANDメモリ(3D−NAND)または立体NANDメモリ(V−NAND)、ダイナミックランダムアクセスメモリ(DRAM)、三次元FLASHメモリ(3D−FLASH)、抵抗変化型ランダムアクセスメモリ(Re−RAM)および相変化ランダムアクセスメモリ(PC−RAM)等、高アスペクト比半導体構造のクリティカルディメンション、厚み、オーバーレイ及び材料特性の測定が本明細書に記載のT−SAXS計測システムで以て実行される。
一部の例では、測定モデルは、米国カリフォルニア州ミルピタスのKLA−Tencor Corporationから入手可能なSpectraShape(登録商標)クリティカルディメンション計測システムの要素として実装される。こうして、モデルが作製され、システムによって散乱像が収集された直後に使用できる態勢にある。
一部の他の例では、測定モデルは、米国カリフォルニア州ミルピタスのKLA−Tencor Corporationから入手可能なAcuShape(登録商標)ソフトウェアを実装するコンピュータシステムによってオフラインで実施される。結果として得られるモデルは、測定を実行している計測システムによってアクセス可能なAcuShape(登録商標)ライブラリの要素として組み込まれてよい。
図15は、少なくとも1つの新規態様でのプロセス中に計測測定を実行する方法300を示す。方法300は、本発明の図1および13に示すSAXS計測システなどの計測システムによる実装に適している。一態様では、コンピュータシステム130、コンピュータシステム230、または任意の他のコンピュータシステムの1つ以上のプロセッサを介して実行される予めプログラミングされているアルゴリズムを介して方法300のデータ処理ブロックを実行することができることが認識される。ここで、図1および13に描写された計測システムの特定の構造的態様が限定事項を体現しているわけではなく、それらは専ら例証として解されるべきであることが認識される。
ブロック301で、ある量のX線照明光が、半導体ウェハ上に部分的に作製済みの1つ以上の高アスペクト比構造を含む測定スポットに提供される。
ブロック302で、半導体ウェハから反射した、または半導体ウェハを透過したある量のX線光が、ある量のX線照明光に応答して検出される。
ブロック303で、部分的に作製済みの1つ以上の高アスペクト比構造に関連する1つ以上の関心パラメータの値が、検出された量のX線光に基づいて求まる。
ブロック304で、1つ以上の関心パラメータの値の指標が作製ツールに通信され、それが、作製ツールに、作製ツールの1つ以上のプロセス制御パラメータの値を調整させる。
さらなる実施形態では、システム100は、本明細書に記載の方法に従って収集されたスキャトロメトリ測定データに基づいて半導体構造の測定を実行するために用いられる1つ以上のコンピュータシステム130を含む。1つ以上のコンピュータシステム130は、1つ以上の検出器、能動光学素子、プロセスコントローラ等に通信可能に結合されてよい。一態様では、1つ以上のコンピュータシステム130は、ウェハ101の構造のスキャトロメトリ測定に関連する測定データを受信するように構成される。
本件開示の随所に記載の1つ以上のステップは、単一のコンピュータシステム130で実行してもよいし、または代替的に複数個のコンピュータシステム130で実行してもよいことを認識されたい。さらに、システム100の様々なサブシステムは、本明細書に記載のステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを含んでもよい。したがって、上掲の記述は本発明に対する限定事項として解されるべきではなく、単なる例証として解されるべきである。
加えて、コンピュータシステム130は、当技術分野で知られる任意の方式で、分光器に通信可能に結合されてよい。例えば、1つ以上のコンピュータシステム130は、スキャトロメータに係るコンピュータシステム群に結合されてもよい。別の例では、スキャトロメータは、コンピュータシステム130に結合された単一のコンピュータシステムにより直に制御されてもよい。
システム100のコンピュータシステム130は、有線および/または無線部分を含み得る伝送媒体によってシステムのサブシステム(例えば、スキャトロメータ等)からデータまたは情報を受領および/または捕捉するように構成されてよい。こうすることで、その伝送媒体を、コンピュータシステム130とシステム100の他のサブシステムとの間のデータリンクとして働かせることができる。
システム100のコンピュータシステム130は、有線および/または無線部分を含み得る伝送媒体によって他のシステムからのデータまたは情報(例えば、測定結果、モデルリング入力、モデリング結果等)を受信および/または取得するように構成されてよい。こうすることで、その伝送媒体を、コンピュータシステム130と他のシステム(例えば、メモリオンボードシステム100、外部メモリまたは他の外部システム)との間のデータリンクとして働かせることができる。例えば、コンピュータシステム130は、記憶媒体(すなわち、メモリ132または外部メモリ)からの測定データを、データリンクを介して受信するように構成されてよい。例えば、本明細書に記載のスキャトロメータを用いて得た散乱像は、恒久または半恒久メモリデバイス(例えば、メモリ132または外部メモリ)に記憶されてよい。この関連で、スキャトロメトリ像はオンボードメモリから、または外部メモリシステムからインポートされてよい。さらに、コンピュータシステム130は伝送媒体を介してデータを他のシステムに送信してよい。例えば、コンピュータシステム130によって決定された測定モデルまたは推定パラメータ値は、外部メモリに通信されそこに記憶されてよい。この意味で、測定結果は別のシステムにエクスポートされてもよい。
コンピュータシステム130は、限定はしないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステ−ション、イメージコンピュータ、パラレルプロセッサ、または当技術分野で知られる任意の他のデバイスを含んでよい。一般に、用語「コンピュータシステム」は、メモリ媒体からの命令を実行する1つ以上のプロセッサを有する任意のデバイスを包含するように広範に定義されてよい。
本明細書に記載の方法などの方法を実行するプログラム命令134は、ワイヤ、ケーブルまたはワイヤレス伝送リンクなどの伝送媒体を介して伝送されてよい。例えば、図1に示すように、メモリ132に記憶されたプログラム命令134は、バス133を介してプロセッサ131に伝送される。プログラム命令134はコンピュータ可読媒体(例えば、メモリ132)に記憶される。例示的コンピュータ可読媒体は、リードオンリーメモリ、ランダムアクセスメモリ、磁気もしくは光学ディスクまたは磁気テープを含む。本明細書に記載の要素231−234を含むコンピュータシステム230は、それぞれ要素131−134を含むコンピュータシステム130と類似している。
本明細書に記載の用語「クリティカルディメンション」は、構造(例えば、ボトムクリティカルディメンション、ミドルクリティカルディメンション、トップクリティカルディメンション、側壁角、グレーティング高さ等)の任意のクリティカルディメンション、任意の2つ以上の構造間のクリティカルディメンション(例えば、2つの構造間の距離)、および2つ以上の構造の間の変位(例えば、オーバーレイグレーティング構造間のオーバーレイ変位等)を含む。構造は、三次元構造、パターニングされた構造、オーバーレイ構造等を含んでよい。
本明細書に記載の用語「クリティカルディメンション用途」または「クリティカルディメンション測定用途」は、任意のクリティカルディメンション測定を含む。
本明細書に記載の用語「計測システム」は、クリティカルディメンション計測、オーバーレイ計測、焦点/露光量計測および組成計測などの測定アプリケーションを含む、任意の態様で試料を少なくとも部分的に特性評価するために用いられる任意のシステムを含む。しかしながら、そのような技術用語は、用語「計測システム」の範囲を本明細書に記載のように限定しない。さらに、計測システムは、パターン付きウェハおよび/またはパターンなしウェハの測定向けに構成されてよい。計測システムは、LED検査ツールとして、エッジ検査ツールとして、バックサイド検査ツールとして、マクロ検査ツールとして、またはマルチモード検査ツールとして(1つ以上のプラットフォームからの同時のデータを含む)および、クリティカルディメンションデ−タに基づくシステムパラメータの較正から利を受ける任意の他の計測または検査ツールとして構成されてよい。
種々の実施形態は、任意の半導体処理ツール内で試料を測定するために用いられ得る半導体処理システム(例えば、検査システムまたはリソグラフィーシステム)に関して本明細書に記載されている。用語「試料」は本明細書では、ウェハ、レチクルまたは、当技術分野で知られる手段によって処理され得る(例えば、印刷される、または欠陥検査される)任意の他のサンプルを指して用いられる。
本明細書で用いる用語「ウェハ」は一般に、半導体または非半導体材料で形成された基板を指す。例としては、限定はしないが、単結晶シリコン、ヒ化ガリウム、リン化インジウムを含む。そのような基板は半導体作製設備内で一般に見受けられるおよび/または処理され得る。一部の場合には、ウェハは基板のみを含み得る(すなわち、ベアウェハ)。または、ウェハは基板上に形成された1つ以上の異種材料の層を含んでよい。ウェハ上に形成された1つ以上の層は、「パターニングされている」または「パターニングされていない」ものであり得る。例えば、ウェハは、反復可能なパターンフィーチャを有する複数のダイを含んでよい。
「レチクル」は、レチクル作製プロセスの任意の段階にあるレチクル、または、半導体製造設備内での使用向けにリリースされているまたはリリースされていない場合がある完成したレチクルであってよい。レチクル、または「マスク」は一般に、上に実質的に半透明な領域が形成され、パターン状に構成されている実質的に透明な基板として定義される。基板は例えば、アモルファスSiOなどのガラス材料を含み得る。レチクルは、レチクル上のパターンがレジストに転写され得るように、リソグラフィープロセスの露光ステップ中に、レジストで被覆されたウェハの上に配置されてよい。
ウェハ上に形成された1つ以上の層は、パターニング済みでも未パターニングでもよい。例えば、ウェハは、反復可能なパターンフィーチャを有する複数のダイを含んでよい。そのような材料の層の形成と処理が、最終的に完成したデバイスを得ることができる。多くの異なるタイプのデバイスがウェハ上に形成されてよく、用語ウェハは、本明細書において、当技術分野で知られる任意のタイプのデバイスがその上に作製されるウェハを包含することを意図している。
1つ以上の例示的実施形態において、記載される機能は、ハードウェア、ソフトウェア、ファームウェアまたはそれらの任意の組み合わせで実装されてよい。ソフトウェアで実装された場合、機能は、コンピュータ可読媒体上の1つ以上の命令またはコードとして記憶または伝送されてよい。コンピュータ可読媒体は、一箇所から別の箇所へのコンピュータプログラムの伝送を促進する任意の媒体を含むコンピュータ記憶媒体および通信媒体両方を含む。記憶媒体は、汎用または専用コンピュータによってアクセスされ得る任意の利用可能な媒体であってよい。例として、そのようなコンピュータ可読媒体は、限定はしないが、RAM、ROM、EEPROM、CD−ROMまたは他の光学ディスク記憶体、磁気ディスク記憶または他の磁気記憶装置、あるいは、命令もしくはデータ構造の形式で所要のプログラムコード手段を搬送または記憶するのに用いることができ、汎用もしくは専用コンピュータまたは汎用もしくは専用プロセッサによってアクセスされ得る任意の他の媒体を含み得る。さらに、あらゆる接続が、正式にコンピュータ可読媒体と呼ばれる。例えば、ソフトウェアが、同軸ケーブル、光ファイバケーブル、ツイストペア、デジタルサブスクライバライン(DSL)、または赤外、ラジオ、およびマイクロ波などの無線技術を用いてウェブサイト、サーバ、または他のリモートソースから伝送される場合、同軸ケーブル、光ファイバケーブル、ツイストペア、DSL、または赤外、ラジオおよびマイクロ波などの無線技術は媒体の定義に含まれる。ディスク(disk)およびディスク(disc)は、本明細書で用いられる場合、コンパクトディスク(CD)、レーザディスク、光学ディスク、デジタル万能ディスク(DVD)、フロッピー(登録商標)ディスクおよびブルーレイディスクを含み、ディスク(disk)は、通常、データを磁気的に再生するが、ディスク(disc)は、レーザーでデータを光学的に再生する。上記のものの組み合わせも、コンピュータ可読媒体の範囲内に含まれるものとする。
ある特定の実施形態を、説明目的で上記で説明したが、本特許明細書の教示は一般的な適用性を有し、上記の特定の実施形態に限定されない。したがって、説明された実施形態の種々の特徴の種々の変形、適応、および種々の組み合わせが、特許請求の範囲に記載された本発明の範囲から逸脱せずに実施され得る。

Claims (20)

  1. X線スキャトロメトリベースの計測システムであって、
    半導体ウェハ上に部分的に作製済みの1つ以上の高アスペクト比構造を含む測定スポットに向けてある量のX線照明光を提供するように構成されたX線照明源と、
    前記ある量のX線照明光に応答して前記半導体ウェハから反射した、または前記半導体ウェハを透過したある量のX線光を検出するように構成された検出器と、
    前記部分的に作製済みの1つ以上の高アスペクト比構造に関連する1つ以上の関心パラメータの値を、前記検出されたある量のX線光に基づいて決定し、
    前記1つ以上の関心パラメータの値の指標を作製ツールに通信し、それが、前記作製ツールに、前記作製ツールの1つ以上のプロセス制御パラメータの値を調整させる、ように構成されたコンピュータシステムと、
    を備えた、X線スキャトロメトリベースの計測システム。
  2. 前記X線照明源は、前記作製ツールが前記1つ以上の高アスペクト比構造を作製している間に、前記ある量のX線照明光を提供し、前記検出器は前記ある量のX線を検出する、請求項1に記載のX線スキャトロメトリベースの計測システム。
  3. 前記1つ以上のプロセス制御パラメータの値の調整は、前記作製ツールが前記1つ以上の高アスペクト比構造を作製している間に発生する、請求項2に記載のX線スキャトロメトリベースの計測システム。
  4. 前記X線照明源および前記検出器は、半導体作製システムの一環として前記作製ツールに一体化されている、請求項2に記載のX線スキャトロメトリベースの計測システム。
  5. 前記作製ツールは作製プロセス環境を備えた作製プロセスチャンバを備え、前記半導体ウェハは前記作製プロセスチャンバ内に配置され、プロセスインターバル中に前記作製プロセス環境に露出され、前記X線照明源は前記ある量のX線照明光を提供し、前記検出器は前記プロセスインターバル中に前記ある量のX線光を検出する、請求項4に記載のX線スキャトロメトリベースの計測システム。
  6. 前記X線照明源は、前記作製ツールが作製ステップを完了した後で前記ある量のX線 照明光を提供し、前記検出器は前記ある量のX線を検出する、請求項1に記載のX線スキャトロメトリベースの計測システム。
  7. 前記1つ以上の関心パラメータの値は第1のプロセスステップで決定され、前記作製ツールに通信された前記1つ以上の関心パラメータの値の指標は、前記作製ツールに、前記1つ以上の高アスペクト比構造の作製プロセスフローにおける前記第1のプロセスステップに後続する第2のプロセスステップにて、前記作製ツールの1つ以上のプロセス制御パラメータの値を調整させる、請求項1に記載のX線スキャトロメトリベースの計測システム。
  8. 前記1つ以上の関心パラメータの値は前記1つ以上の高アスペクト比構造の作製プロセスフローにおけるプロセスステップで決定され、前記作製ツールに通信された前記1つ以上の関心パラメータの値の指標は、前記作製ツールに、前記プロセスステップにて前記作製ツールの1つ以上のプロセス制御パラメータの値を調整させる、請求項1に記載のX線スキャトロメトリベースの計測システム。
  9. 前記作成ツールの1つ以上のプロセス制御パラメータの値は、エッチングプロセス、堆積プロセスおよびリソグラフィープロセスのうちいずれかを制御する、請求項1に記載のX線スキャトロメトリベースの計測システム。
  10. 前記ある量のX線照明光は前記測定スポットに、複数の入射角、方位角、またはそれら両方で向けられる、請求項1に記載のX線スキャトロメトリベースの計測システム。
  11. 前記X線照明源はさらに、測定スポットに向けられる前記ある量のX線照明光を、複数の異なるエネルギーレベルで提供するように構成されている、請求項1に記載のX線スキャトロメトリベースの計測システム。
  12. 前記1つ以上の関心パラメータの値を決定することは、モデルベースの測定モデル、訓練された信号応答計測(SRM)測定モデル、またはトモグラフィック測定モデルに基づいている、請求項1に記載のX線スキャトロメトリベースの計測システム。
  13. 前記1つ以上の高アスペクト比構造は、三次元NAND構造またはダイナミックランダムアクセスメモリ(DRAM)構造を含む、請求項1に記載のX線スキャトロメトリベースの計測システム。
  14. ウェハ処理システムであって、
    半導体ウェハ上の1つ以上の高アスペクト比構造を、作製プロセスフローのプロセスステップで処理するように構成されたウェハ処理ツールと、
    X線スキャトロメトリベースの計測システムと、
    を備え、
    前記X線スキャトロメトリベースの計測システムが、
    1つ以上の高アスペクト比構造を含む測定スポットに向けられたある量のX線照明光を、プロセスステップ中に提供するように構成されたX線照明源と、
    前記ある量のX線照明光に応答して前記半導体ウェハから反射した、または前記半導体ウェハを透過したある量のX線光を検出するように構成された検出器と、
    1つ以上の高アスペクト比構造に関連する1つ以上の関心パラメータの値を、前記検出されたある量のX線光に基づいて決定し、
    前記1つ以上の関心パラメータの値の指標をウェハ処理ツールに通信し、それが、前記ウェハ処理ツールに、前記ウェハ処理ツールの1つ以上のプロセス制御パラメータの値を調整させる、ように構成されたコンピュータシステムと、
    を含む、ウェハ処理システム。
  15. 前記ウェハ処理ツールは、エッチングプロセス、堆積プロセスおよびリソグラフィープロセスのうちいずれかである、請求項14に記載のウェハ処理システム。
  16. 前記ある量のX線照明光は前記測定スポットに、複数の入射角、方位角、またはそれら両方で向けられる、請求項14に記載のウェハ処理システム。
  17. 前記X線照明源はさらに、測定スポットに向けられる前記ある量のX線照明光を、複数の異なるエネルギーレベルで提供するように構成されている、請求項14に記載のウェハ処理システム。
  18. 半導体ウェハ上に部分的に作製済みの1つ以上の高アスペクト比構造を含む測定スポットに向けてある量のX線照明光を提供することと、
    前記ある量のX線照明光に応答して前記半導体ウェハから反射した、または前記半導体ウェハを透過したある量のX線光を検出することと、
    前記部分的に作製済みの1つ以上の高アスペクト比構造に関連する1つ以上の関心パラメータの値を、前記検出されたある量のX線光に基づいて決定することと、
    前記1つ以上の関心パラメータの値の指標を作製ツールに通信し、それが、前記作製ツールに、前記作製ツールの1つ以上のプロセス制御パラメータの値を調整させることと、を含む方法。
  19. 前記作製ツールが前記1つ以上の高アスペクト比構造を作製している間に、前記ある量のX線照明光が前記測定スポットに提供され、前記ある量のX線光が検出される、請求項18に記載の方法。
  20. 前記作成ツールの1つ以上のプロセス制御パラメータの値は、エッチングプロセス、堆積プロセスおよびリソグラフィープロセスのうちいずれかを制御する、請求項18に記載の方法。
JP2019565258A 2017-05-30 2018-05-29 X線スキャトロメトリでの深層構造のプロセスモニタリング Active JP7250705B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762512297P 2017-05-30 2017-05-30
US62/512,297 2017-05-30
US201762572566P 2017-10-16 2017-10-16
US62/572,566 2017-10-16
US15/990,749 2018-05-28
US15/990,749 US10727142B2 (en) 2017-05-30 2018-05-28 Process monitoring of deep structures with X-ray scatterometry
PCT/US2018/034935 WO2018222613A1 (en) 2017-05-30 2018-05-29 Process monitoring for deep structures with x-ray scatterometry

Publications (3)

Publication Number Publication Date
JP2020522883A true JP2020522883A (ja) 2020-07-30
JP2020522883A5 JP2020522883A5 (ja) 2021-07-26
JP7250705B2 JP7250705B2 (ja) 2023-04-03

Family

ID=64456034

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019565258A Active JP7250705B2 (ja) 2017-05-30 2018-05-29 X線スキャトロメトリでの深層構造のプロセスモニタリング

Country Status (5)

Country Link
US (3) US10727142B2 (ja)
JP (1) JP7250705B2 (ja)
KR (2) KR102550482B1 (ja)
CN (1) CN110603435A (ja)
WO (1) WO2018222613A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7460627B2 (ja) 2018-12-13 2024-04-02 アプライド マテリアルズ インコーポレイテッド 高度に共線的なレスポンススペースにおける処方分析

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
US10727142B2 (en) * 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11513442B2 (en) 2017-09-27 2022-11-29 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
TWI813595B (zh) 2017-11-03 2023-09-01 日商東京威力科創股份有限公司 功能微電子元件之良率提高
CN112424591B (zh) 2018-06-04 2024-05-24 斯格瑞公司 波长色散x射线光谱仪
US10964566B2 (en) 2018-06-29 2021-03-30 Taiwan Semiconductor Manufacturing Go., Ltd. Machine learning on overlay virtual metrology
CN112470245A (zh) 2018-07-26 2021-03-09 斯格瑞公司 高亮度x射线反射源
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
US11056308B2 (en) 2018-09-07 2021-07-06 Sigray, Inc. System and method for depth-selectable x-ray analysis
EP3629088A1 (en) * 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
US11990380B2 (en) * 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
JP7168985B2 (ja) 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11139142B2 (en) * 2019-05-23 2021-10-05 Applied Materials, Inc. High-resolution three-dimensional profiling of features in advanced semiconductor devices in a non-destructive manner using electron beam scanning electron microscopy
US11966203B2 (en) * 2019-08-21 2024-04-23 Kla Corporation System and method to adjust a kinetics model of surface reactions during plasma processing
CN114729907B (zh) 2019-09-03 2023-05-23 斯格瑞公司 用于计算机层析x射线荧光成像的系统和方法
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11610297B2 (en) * 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
CN115667896B (zh) 2020-05-18 2024-06-21 斯格瑞公司 使用晶体分析器和多个检测元件的x射线吸收光谱的系统和方法
GB2596061A (en) * 2020-06-09 2021-12-22 Nordson Corp An x-ray inspection system, an x-ray imaging accessory, a sample support, a kit, and a method of using an x-ray inspection system
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
US11549895B2 (en) 2020-09-17 2023-01-10 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
DE112021006348T5 (de) 2020-12-07 2023-09-21 Sigray, Inc. 3d-röntgenbildgebungssystem mit hohem durchsatz, das eine transmissionsröntgenquelle verwendet
EP4231097A1 (en) * 2022-02-22 2023-08-23 ASML Netherlands B.V. Inspection tool and barrier for use therein
US11992350B2 (en) 2022-03-15 2024-05-28 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
CN117690788A (zh) * 2023-12-12 2024-03-12 粤芯半导体技术股份有限公司 氧化层沟槽形成方法及半导体器件

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6879051B1 (en) * 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
JP2007285923A (ja) * 2006-04-18 2007-11-01 Jordan Valley Semiconductors Ltd 反射モードのx線回折を用いた限界寸法の測定
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6694284B1 (en) * 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US6771028B1 (en) * 2003-04-30 2004-08-03 Eastman Kodak Company Drive circuitry for four-color organic light-emitting device
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
KR20050098631A (ko) * 2004-04-08 2005-10-12 삼성전자주식회사 액정 표시 장치 및 그에 사용되는 표시판
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US20070239305A1 (en) 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US8313663B2 (en) 2008-09-24 2012-11-20 Tel Epion Inc. Surface profile adjustment using gas cluster ion beam processing
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
CN102810492B (zh) * 2011-06-03 2015-08-05 中国科学院微电子研究所 金属栅cmp后的制程监控方法
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
EP2848924B1 (de) * 2013-09-11 2016-08-24 Anton Paar GmbH Temperierkammer für kompaktes Röntgengerät
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9588066B2 (en) 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10727142B2 (en) * 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6879051B1 (en) * 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
JP2007285923A (ja) * 2006-04-18 2007-11-01 Jordan Valley Semiconductors Ltd 反射モードのx線回折を用いた限界寸法の測定
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7460627B2 (ja) 2018-12-13 2024-04-02 アプライド マテリアルズ インコーポレイテッド 高度に共線的なレスポンススペースにおける処方分析

Also Published As

Publication number Publication date
CN110603435A (zh) 2019-12-20
US20200303265A1 (en) 2020-09-24
US11145559B2 (en) 2021-10-12
KR102550482B1 (ko) 2023-06-30
KR20230098728A (ko) 2023-07-04
KR20200003256A (ko) 2020-01-08
US11955391B2 (en) 2024-04-09
US20210407864A1 (en) 2021-12-30
JP7250705B2 (ja) 2023-04-03
US10727142B2 (en) 2020-07-28
US20180350699A1 (en) 2018-12-06
WO2018222613A1 (en) 2018-12-06

Similar Documents

Publication Publication Date Title
JP7250705B2 (ja) X線スキャトロメトリでの深層構造のプロセスモニタリング
KR102495770B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
TWI806285B (zh) 計量系統及方法
JP7001846B2 (ja) X線計量方法
JP6815401B2 (ja) 高アスペクト比構造向けx線スキャタロメトリ計量
EP3548878B1 (en) X-ray zoom lens for small angle x-ray scatterometry
US20220252395A1 (en) Methods And Systems For Accurate Measurement Of Deep Structures Having Distorted Geometry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210526

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220614

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220616

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230221

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230322

R150 Certificate of patent or registration of utility model

Ref document number: 7250705

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150