DE102019215972A1 - Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens - Google Patents

Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens Download PDF

Info

Publication number
DE102019215972A1
DE102019215972A1 DE102019215972.9A DE102019215972A DE102019215972A1 DE 102019215972 A1 DE102019215972 A1 DE 102019215972A1 DE 102019215972 A DE102019215972 A DE 102019215972A DE 102019215972 A1 DE102019215972 A1 DE 102019215972A1
Authority
DE
Germany
Prior art keywords
measuring light
reflectivity
measuring
section
metrology system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102019215972.9A
Other languages
English (en)
Inventor
Renzo Capelli
Markus Koch
Dirk Hellweg
Walter Pauls
Grizelda Kersteen
Klaus Gwosch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102019215972.9A priority Critical patent/DE102019215972A1/de
Priority to TW109134614A priority patent/TWI769545B/zh
Priority to JP2022522862A priority patent/JP2022552984A/ja
Priority to KR1020227016383A priority patent/KR20220079981A/ko
Priority to PCT/EP2020/078215 priority patent/WO2021073993A1/en
Publication of DE102019215972A1 publication Critical patent/DE102019215972A1/de
Priority to US17/721,750 priority patent/US20220236648A1/en
Priority to JP2024015548A priority patent/JP2024059661A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/33Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane

Abstract

Bei der Messung einer Reflektivität eines Objekts (12) für Messlicht (1) werden zunächst das Objekt (12) und eine Reflektivitäts-Messvorrichtung (2) bereitgestellt. Letztere beinhaltet eine Messlichtquelle (3), einen Objekthalter (12a) zur Halterung des Objekts sowie einen ortsauflösenden Detektor (16) zum Erfassen von Messlicht (1), welches vom Objekt (12) reflektiert wird. Ein Abschnitt des Objekts (12) wird innerhalb eines Sichtfeldes (10) der Messvorrichtung (2) mit einem Messlicht-Strahl beaufschlagt. Das reflektierte Messlicht (1), das vom beaufschlagten Abschnitt des Objekts (12) ausgeht, wird erfasst. Eine Fläche des erfassten Abschnitts beträgt höchstens 50 µm × 50 µm. Die Erfassung erfolgt mit dem Detektor (16). Im Anschluss hieran wird mindestens ein Reflektivitätsparameter des Objekts (12) anhand einer Intensität des erfassten Messlichts (1) bestimmt. Es resultiert ein Messverfahren sowie ein hiermit arbeitendes Metrologiesystem, worüber Reflektivitäten insbesondere von sehr fein strukturierten Objekten, beispielsweise von Lithografie-Masken, mit ausreichender Präzision vermessen werden können.

Description

  • Die Erfindung betrifft ein Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht. Ferner betrifft die Erfindung ein Metrologiesystem zur Durchführung des Verfahrens.
  • Zur Messung von Reflektivitäten von Objekten sind Reflektometer bekannt, die einen ausgedehnten Abschnitt eines hinsichtlich seiner Reflektivität zu bestimmenden Objekts beleuchten. Reflektometer sind in vielfacher Ausführung für verschiedene Anwendungen bekannt. Ein Reflektometer für die EUV-Lithografie ist bekannt aus den PTB News, Ausgabe 1, 2013, herausgegeben von der Physikalisch-technischen Bundesanstalt.
  • Es ist eine Aufgabe der vorliegenden Erfindung, ein Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht derart weiterzubilden, dass hierüber Reflektivitäten insbesondere von sehr fein strukturierten Objekten, beispielsweise von Lithografie-Masken, mit ausreichender Präzision vermessen werden können.
  • Diese Aufgabe ist erfindungsgemäß gelöst durch ein Messverfahren mit den im Anspruch 1 angegebenen Merkmalen.
  • Erfindungsgemäß wurde erkannt, dass es zur ausreichend präzisen Bestimmung eines Reflektivitätsparameters notwendig ist, sehr gering ausgedehnte Objekt-Flächenabschnitte, die nicht größer sind als 50 µm × 50 µm, zu vermessen. Hierüber lassen sich insbesondere lokale Reflektivitätsvariationen, die für die Funktion des zu vermessenden Objekts entscheidend sein können, präzise bestimmen.
  • Ein Erfassungsbereich des reflektierten Messlichts mit der Reflektivitäts-Messvorrichtung wird auch als Sichtfeld bezeichnet. Das Sichtfeld der Erfassung kann kleiner sein als 50 µm × 50 µm und kann beispielsweise bei 40 µm × 40 µm, bei 30 µm × 30 µm, 25 µm × 25 µm, 20 µm × 20 µm, 15 µm × 15 µm oder bei 10 µm × 10 µm liegen oder kann auch noch kleiner sein. Das Sichtfeld ist regelmäßig größer als 1 µm × 1 µm. Der Messlicht-Strahl, der den Objektabschnitt beaufschlagt, kann einen entsprechend großen Durchmesser im Bereich zwischen 1 µm und 100 µm haben. Das Sichtfeld liegt regelmäßig innerhalb des Messlichtstrahl-Durchmessers. Der mit dem Messlicht beaufschlagte Objektabschnitt kann mit dem Sichtfeld zusammenfallen oder im Sichtfeld liegen.
  • Vor dem Beaufschlagen des Objektabschnitts mit dem Messlichtstrahl kann eine Auswahl eines zu bearbeitenden Objektabschnitts anhand von Strukturdetails des Objekts erfolgen, beispielsweise eine gezielte Beaufschlagung reflektierender Abschnitte eines auch absorbierende Abschnitte aufweisenden Objekts oder eine gezielte Auswahl bestimmter, sich ggf. wiederholender Objektstrukturen. Bei dem Objekt kann es sich um eine strukturierte Lithografie-Maske oder auch um eine noch unstrukturierte Maske (mask blank) handeln. Soweit eine Lithografie-Maske als Objekt zum Einsatz kommt, kann diese ein Pellicle aufweisen oder nicht. Insbesondere kann eine für die EUV-Projektionsbelichtungslithografie einzusetzende Lithografie-Maske vermessen werden.
  • Der Detektor kann in einer Feldebene angeordnet sein. In diesem Fall wird das Sichtfeld in die Detektions- bzw. Messebene abgebildet.
  • Der Reflektivitätsparameter kann individuell für genau ein Objekt und insbesondere für einen oder mehrere definierte Abschnitte genau eines Objekts bestimmt werden. Alternativ kann auch ein Vergleich von Reflektivitätsparametern verschiedener Objekte stattfinden. Hierzu kann ein zu vermessendes Objekt mit einem vorab kalibrierten Kalibrier-Objekt verglichen werden. Der bestimmte Reflektivitätsparameter kann z. B. eine absolute Objektreflektivität sein. Hierzu kann ein Vergleich mit dem entsprechenden Reflektivitätsparameter eines Kalibrier- beziehungsweise Normierungs-Objektes stattfinden. Bei der Vermessung einer Lithografie-Maske kann auch eine Transmission eines Pellicles der Maske, insbesondere lokal, bestimmt werden. Es kann ein Vergleich entsprechender Reflexionsmessungen des Objektes mit und ohne Pellicle, insbesondere lokal in einem oder mehreren Objekt-Pellicleabschnitten vorgenommen werden. Es kann ein Einfluss des Pellicles auf die Reflektivität eines Objektabschnittes bestimmt werden.
  • Eine Ortsauflösung des Detektors innerhalb des Sichtfeldes kann besser sein als 100 nm, kann besser sein als 80 nm, kann besser sein als 60 nm, kann besser sein als 50 nm, kann besser sein als 40 nm, kann besser sein als 30 nm, kann besser sein als 25 nm, kann besser sein als 20 nm, und kann beispielsweise im Bereich zwischen 1 nm und 10 nm liegen.
  • Insbesondere kann eine Qualifizierung von Lithografie-Masken als Beispiel für das zu vermessende Objekt dahingehend erfolgen, dass deren Reflektivität bestimmten Homogenitätskriterien entspricht. Dies trägt dem Umstand Rechnung, dass Intensitätsschwankungen von reflektiviertem Beleuchtungslicht bei der Projektionsbelichtung linear in eine Variation einer erreichbaren kritischen Dimension, also in eine erreichbare Ortsauflösung der Projektionsbelichtung, eingehen. Eine derartige Abhängigkeit zwischen entsprechenden Intensitätsschwankungen ΔI und der Variation der erreichbaren kritischen Dimension, ΔCD, kann wie folgt geschrieben werden: Δ C D C D = 2 N I L S Δ I I
    Figure DE102019215972A1_0001
  • Hierbei ist CD die kritische Dimension, I ist die vom zu vermessenden Objekt reflektierte Intensität beispielsweise einer noch unstrukturierten Maske und NILS ist ein konstanter Systemparameter (normalized image log slope parameter, normierter Logarithmus-Steigungs-Parameter der Abbildung).
  • Eine Bestimmung einer lokalen Reflektivitätsvariation nach Anspruch 2 ermöglicht es, möglicherweise die Funktion der Lithografie-Maske als Beispiel für das zu vermessende Objekt beeinträchtigende Abweichungen von Sollwerten der Reflektivität aufzuspüren.
  • Eine Bestimmung einer Reflektivitätsvariation verschiedener Objektabschnitte nach Anspruch 3 kann durch sequentielle Erfassung der verschiedenen Abschnitte erreicht werden, wobei das Objekt relativ zum Messlichtstrahl quer zu einer Strahlrichtung in der Messebene verlagert wird. Auch eine gesamte Oberfläche des zu vermessenden Objekts kann auf diese Weise vermessen werden.
  • Eine Bestimmung einer Winkel-Variation der Reflektivität nach Anspruch 4 erlaubt es, bestimmte Beleuchtungssettings, die insbesondere bei einer Projektionsbelichtung einer Lithografie-Maske als Beispiel für das zu vermessende Objekt zum Einsatz kommen, hinsichtlich der Reflektivitäten der Maske für die bestimmten Beleuchtungsrichtungen dieses Settings zu testen. Hierüber kann eine Lithografie-Maske für bestimme Beleuchtungssettings qualifiziert werden.
  • Eine sequentielle Beaufschlagung nach Anspruch 5 kann über eine verlagerbare Lochblende in einer Beleuchtungspupille einer Beleuchtungsoptik der Reflektivitäts-Messvorrichtung erreicht werden.
  • Eine simultane Beaufschlagung nach Anspruch 6 kann durch Erfassung eines Bildes einer Pupille nach Reflexion des Messlichts am Objekt erreicht werden. Um zusätzlich zur Möglichkeit der Abbildung des Sichtfeldes in die Messebene auch die Erfassung des Pupillenbildes in der Messebene zu ermöglichen, kann die Reflektivitäts-Messvorrichtung eine Bertrand-Optik im Messlicht-Strahlengang zwischen dem Objekt und dem Detektor aufweisen, die zwischen einer inaktiven und einer aktiven Position verlagert werden kann.
  • Eine Signalstärken-Normierung nach Anspruch 7 ermöglicht eine absolute Bestimmung von Reflektivitäts-Parameterwerten. Beim Normieren kann ein Kalibrierungsbeziehungsweise Normierungs-Objekt mit bekanntem Reflektivitätsparameter zum Einsatz kommen.
  • Die Vorteile eines Metrologiesystems nach Anspruch 8 entsprechen denen, die vorstehend unter Bezugnahme auf das Reflektivitäts-Messverfahren bereits erläutert wurden. Es kann ein Metrologiesystem zur Maskenqualifizierung zum Einsatz kommen, welches grundsätzlich aus dem Stand der Technik bekannt ist. Ein derartiges Metrologiesystem ist beschrieben beispielsweise im Fachartikel von D. Hellweg et al. „Actinic review of EUV masks: Performance data and status of the AIMS™ EUV system“, Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 101430J (24. März 2017).
  • Mit Hilfe einer EUV-Messlichtquelle nach Anspruch 9 lässt sich eine aktinische Messung bewerkstelligen, bei der eine Messlichtwellenlänge mit derjenigen übereinstimmt, die auch bei einer Projektionsbelichtung einer Lithografie-Maske zum Einsatz kommt.
  • Eine Lochblende nach Anspruch 10, die insbesondere in einer Beleuchtungspupille der Beleuchtungsoptik des Metrologiesystems verlagerbar angeordnet ist, ermöglicht die Messung einer Reflektivität für einen bestimmen Beleuchtungswinkel.
  • Die Vorteile einer Bertrand-Optik nach Anspruch 11 sind oben im Zusammenhang mit dem Messverfahren bereits erläutert.
  • Ein Energiesensor oder mehrere Energiesensoren nach Anspruch 12 erlaubt eine Normierung einer Messlicht-Signalstärke. Insbesondere können Einflüsse der Lichtquelle und der Beleuchtungsoptik auf die Signalstärke eliminiert werden.
  • Ausführungsbeispiele der Erfindung werden nachfolgend anhand der Zeichnung näher erläutert. In dieser zeigen:
    • 1 schematisch ein Metrologiesystem, ausgeführt als Reflektivitäts-Messvorrichtung zum Messen einer Reflektivität eines zu vermessenden Objekts in Form einer Lithographiemaske, aufweisend ein Beleuchtungssystem, eine abbildende Optik und eine ortsauflösende Detektionseinrichtung;
    • 2 eine Aufsicht auf ein zu vermessendes strukturiertes Objekt am Beispiel einer Lithografie-Maske;
    • 3 schematisch und wiederum in einer Aufsicht das zu vermessende Objekt, von dem zur Reflektivitätsmessung ein Abschnitt innerhalb eines Sichtfeldes der Messvorrichtung mit einem Messlicht-Strahl beaufschlagt ist;
    • 4 schematisch eine Aufsicht auf eine verlagerbare Lochblende, die in einer Pupillenebene einer Beleuchtungsoptik der Messvorrichtung anordenbar ist; und
    • 5 in einem Diagramm eine normierte Signalstärke von das strukturierte Objekt beaufschlagendem Messlicht als Maß für die Objekt-Reflektivität, aufgetragen für verschiedene Objektabschnitte in Abhängigkeit von einem Beleuchtungswinkel als Ergebnis einer Messung, bei der eine Lochblende nach Art derjenigen der 4 zum Einsatz kommt.
  • 1 zeigt in einem einen Meridionalschnitt entsprechenden Schnitt einen Strahlengang von EUV-Beleuchtungslicht bzw. -abbildungslicht 1 in einem Metrologiesystem 2, das als Reflektivitäts-Messvorrichtung ausgeführt ist. Das Beleuchtungslicht 1 wird erzeugt von einer EUV-Lichtquelle 3. Das Beleuchtungslicht 1 wird auch als Messlicht bezeichnet.
  • Zur Erleichterung der Darstellung von Lagebeziehungen wird nachfolgend ein kartesisches xyz-Koordinatensystem verwendet. Die x-Achse verläuft in der 1 senkrecht zur Zeichenebene aus dieser heraus. Die y-Achse verläuft in der 1 nach rechts. Die z-Achse verläuft in der 1 nach oben.
  • Bei der Lichtquelle 3 kann es sich um eine Laser-Plasma-Quelle (LPP; laser produced plasma) oder um eine Entladungsquelle (DPP; discharge produced plasma) handeln. Grundsätzlich kann auch eine Synchrotron-basierende Lichtquelle zum Einsatz kommen, zum Beispiel ein Freie-Elektronen-Laser (FEL). Eine Nutzwellenlänge des Beleuchtungslichts 1 kann im Bereich zwischen 5 nm und 30 nm liegen. Grundsätzlich kann bei einer Variante der Projektionsbelichtungsanlage 2 auch eine Lichtquelle für andere Nutzlichtwellenlänge zum Einsatz kommen, beispielsweise für eine Nutzwellenlänge von 193 nm. Das Messlicht 1 der Lichtquelle 3 wird von einem Kollektor 3a gesammelt. Hierbei kann es sich beispielsweise um einen Ellipsoid-Kollektor oder auch um einen genesteten Kollektor handeln.
  • Das Beleuchtungslicht 1 wird in einer schematisch dargestellten Beleuchtungsoptik 4a eines Beleuchtungssystems 4 des Metrologiesystems 2, zu dem auch die Lichtquelle 3 gehört, so konditioniert, dass ein bestimmtes Beleuchtungssetting der Beleuchtung bereitgestellt wird, also eine spezifische Beleuchtungswinkelverteilung. Diesem Beleuchtungssetting entspricht eine bestimmte Intensitätsverteilung des Beleuchtungslichts 1 in einer Beleuchtungspupille der Beleuchtungsoptik 4a des Beleuchtungssystems 4.
  • Ein Beispiel für das Beleuchtungssetting ist in der 1 schematisch in der Zeichenebene liegend als mit Stegen versehenes Annular-Beleuchtungssetting mit mehreren, nämlich vier, Beleuchtungspolen in einer Aufsicht angedeutet. Tatsächlich ist die Beleuchtungspupille, in der das Beleuchtungssetting vorliegt, senkrecht zur Zeichenebene der 1 und senkrecht zur Propagationsrichtung des Beleuchtungslichts 1 durch die Beleuchtungspupille angeordnet. Eine Anordnungsebene der Beleuchtungspupille, die mit der Pupillenebene der Beleuchtungsoptik 4a zusammenfällt, ist in der 1 gestrichelt bei 4b angedeutet und steht senkrecht auf der Zeichenebene der 1. Pupillenkoordinaten kx, ky in der Pupillenebene 4b entsprechen den Beleuchtungswinkeln der Objektbeleuchtung mit dem Messlicht 1. Jeweils am Ort der Beleuchtungspole liegt in der Beleuchtungspupille eine vorgegebene Beleuchtungsintensität vor, ansonsten keine Beleuchtungsintensität.
  • In einem Insert ist in der 1 eine Aufteilung einer Beleuchtungspupille 4c in der Pupillenebene 4b in vier Quadranten x1, xr, yt, yb dargestellt, die zur Bestimmung von Pupillenparametern der Beleuchtung genutzt wird. x1 und xr stellen dabei die beiden längs der Pupillenkoordinate kx linken und rechten Quadranten und yt, yb die längs der weiteren Pupillenkoordinate ky oberen und unteren Quadranten dar.
  • Abhängig von den Intensitäten des Beleuchtungslichts 1, welches in der Pupille 4c diese Quadranten x1, xr, yt, yb passiert, können folgende, die Beleuchtungswinkelverteilung charakterisierende Beleuchtungsparameter bestimmt werden: E l l i p t i z i t a ¨ t = | ( x l + x r ) ( y t + y b ) | ( x l + x r ) + ( y t + y b ) P B x = | x l x r | x l + x r P B y = | y t y b | ( y t + y b )
    Figure DE102019215972A1_0002
    PBx und PBy bezeichnen dabei eine Pole Balance längs der Pupillenkoordinaten kx, ky.
  • Das Beleuchtungssetting kann durch eine Settingblende 6 vorgegeben werden, die am Ort der Beleuchtungspole für das Beleuchtungslicht 1 durchlässig ist und das Beleuchtungslicht in der Umgebung der Beleuchtungspole blockt. Ein Beispiel für eine derartige Settingblende 6 ist ein Metallblech mit Durchtrittsöffnungen, deren Form exakt der Form der Beleuchtungspole entspricht. Die Settingblende 6 wird in der Pupillenebene 4b der Beleuchtungsoptik 4a des Metrologiesystems 2 angeordnet.
  • Die Settingblende 6 kann mit Hilfe eines in der 1 angedeuteten Wechselhalters 7a gegen eine Austausch-Settingblende zum Wechsel des jeweiligen Mess-Beleuchtungssettings ausgetauscht werden. Alternativ oder zusätzlich zu einem derartigen Wechselhalter 7a kann die Settingblende mit Hilfe eines Verlagerungsantriebs 7b in der Pupillenebene 4b verlagerbar ausgeführt sein.
  • Anstelle des beispielhaft dargestellten Quadrupol-Beleuchtungssettings können durch Einsatz weiterer Settingblenden mit anders ausgeformten und/oder verteilten Durchtrittsöffnungen auch andere Beleuchtungssettings innerhalb des Metrologiesystems 2 vorgegeben werden, beispielsweise ein konventionelles Beleuchtungssetting, bei dem praktisch alle Beleuchtungswinkel für eine Objektbeleuchtung zum Einsatz kommen, insbesondere mit Ausnahme von Beleuchtungswinkeln nahe einer senkrechten bzw. mittleren Inzidenz auf das zu beleuchtende Objekt, ein annulares Beleuchtungssetting mit insgesamt kleinen Beleuchtungswinkeln, das heißt Beleuchtungswinkeln nahe der senkrechten bzw. mittleren Inzidenz, die selbst wiederum ausgespart sein kann, oder Dipol-Beleuchtungssettings, wobei die einzelnen Pole jeweils eine „Leaflet“-Kontur aufweisen können, also eine Randkontur, die etwa dem Schnitt durch eine bikonvexe Linse entspricht. Auch eine Lochblende kann als derartige Settingblende zum Einsatz kommen, wie nachfolgend noch erläutert wird.
  • Zusammen mit einer Abbildungsoptik bzw. Projektionsoptik 8 stellt das Beleuchtungssystem 4 ein optisches Messsystem 9 des Metrologiesystems 2 dar.
  • Das Beleuchtungslicht 1 beleuchtet mit dem jeweils eingestellten Beleuchtungssetting ein Objektfeld 10 einer Objektebene 11 des Metrologiesystems 2. In der Objektebene 11 ist als Beispiel für ein reflektierendes Objekt eine Lithographiemaske 12 angeordnet, die auch als Retikel bezeichnet ist. Alternativ zu einem derartigen strukturierten Objekt kann auch ein unstrukturiertes Objekt vermessen werden, beispielsweise ein Maskenrohling (mask blank).
  • Die Objektebene 11 verläuft parallel zur x-y-Ebene. Das Objektfeld 10 stellt gleichzeitig ein Sichtfeld der Projektionsoptik 8 bzw. des Metrologiesystems 2 dar.
  • Das Objekt 12 wird von einer Objekthalterung 12a getragen, die in der 1 schematisch dargestellt ist. Mit Hilfe eines Objektverlagerungsantriebs 12b, der mit der Objekthalterung 12a zusammenwirkt, kann das Objekt 12 in der Objektebene 11 in mindestens zwei Translations-Freiheitsgraden verlagert werden. Ein weiterer Freiheitsgrad der Verlagerung, den der Objektverlagerungsantrieb 12b bereitstellt, liegt senkrecht zur Objektebene 11, also längs der z-Richtung.
  • Das Beleuchtungslicht 1 wird von der Lithographiemaske 12, wie schematisch in der 1 dargestellt, reflektiert und tritt in eine Eintrittspupille der Abbildungsoptik 8 in einer Pupillenebene 13 ein. Die Pupillenebene 13 ist in der 1 innerhalb der Projektionsoptik 8 schematisch angedeutet. Die genutzte Pupille der Abbildungsoptik 8 kann kreisförmig oder auch elliptisch berandet sein.
  • Die Abbildungsoptik 8 bildet in einer Betriebssituation des Metrologiesystems 2 das Objektfeld 10 in ein Bildfeld bzw. Messfeld 14 in einer Bildebene bzw. Messebene 15 des Metrologiesystems 2 ab. Ein vergrößernder Abbildungsmaßstab bei der Abbildung durch die Projektionsoptik 8 ist größer als 500. Je nach Ausführung der Projektionsoptik 8 kann der vergrößernde Abbildungsmaßstab größer sein als 100, kann größer sein als 200, kann größer sein als 250, kann größer sein als 300, kann größer sein als 400 und kann auch deutlich größer sein als 500. Der Abbildungsmaßstab der Projektionsoptik 8 ist regelmäßig kleiner als 2000.
  • Die Projektionsoptik 8 dient zur Abbildung eines Abschnitts des Objekts 12, der im Objektfeld 10 angeordnet ist, in die Bildebene 15.
  • In der Bild- bzw. Messebene 15 ist eine ortsauflösende Detektionseinrichtung 16 des Metrologiesystems 2 angeordnet. Hierbei kann es sich um eine CCD-Kamera handeln. Entsprechend wird das Bildfeld 14 nachfolgend auch als Messfeld bezeichnet. Die Detektionseinrichtung 16, die auch als Detektor bezeichnet wird, dient zum Erfassen von vom Objekt 12 reflektiertem Messlicht 1.
  • Das Sichtfeld 10 hat in der xy-Ebene eine Erstreckung, die kleiner ist als 50 µm × 50 µm, beispielsweise von 10 µm × 10 µm.
  • Der Detektor 16 kann beispielsweise im Messfeld 14 eine Auflösung von 1000 × 1000 Pixeln aufweisen.
  • Eine Ortsauflösung des Detektors 16 innerhalb des Sichtfeldes 10 kann besser sein als 100 nm und kann beispielsweise im Bereich zwischen 1 nm und 10 nm liegen.
  • Zur Erweiterung der Funktionalität der Projektionsoptik 8 kann diese eine Bertrand-Optik 17 aufweisen, die in der 1 schematisch angedeutet ist. Die Bertrand-Optik 17 hat einen Bertrand-Spiegel 18. Letzterer wirkt mit einem Umstellantrieb 19 zusammen und ist hierüber umstellbar zwischen einer in der 1 durchgezogen dargestellten inaktiven Stellung und einer in der 1 gestrichelt dargestellten aktiven Stellung. Eine Umstellung kann, wie in der 1 angedeutet, beispielsweise durch Verschwenken einer Halterung des Bertrand-Spiegels um eine Schwenkachse 20 erfolgen.
  • In der inaktiven Stellung des Bertrand-Spiegels beeinflusst dieser einen Strahlengang des Messlichts 1 nicht, so dass die Projektionsoptik 8 das Objekt- bzw. Sichtfeld 10 in das Bildfeld 14 in der Messebene 15 abbildet. Die Messebene 15 ist dann eine Feldebene.
  • In der aktiven Stellung des Bertrand-Spiegels 18 ändert dieser den Strahlengang des Messlichts 1 zwischen dem Objekt- bzw. Sichtfeld 10 und dem Messfeld 14 derart, dass die Objektebene 11 am Ort der Messebene 15 nicht in eine Feldebene, sondern in eine Pupillenebene überführt wird. Das Messfeld 14 stellt dann eine Pupille dar und eine Intensitätsbeaufschlagung des ortsauflösenden Detektors 16 ist ein Maß für eine Beleuchtungswinkelverteilung des im Objektfeld 10 beleuchteten Abschnitts des Objekts 12 und somit ein Maß für einen Beleuchtungswinkel abhängige Reflektivität dieses Objektabschnitts.
  • Was die grundsätzliche Funktion angeht, ist eine derartige Bertrand-Optik bekannt aus der Literatur zum Lichtmikroskop. Eine derartige Bertrand-Optik wird auch als Phasenteleskop bezeichnet.
  • 2 zeigt beispielhaft eine Ausführung einer zu vermessenden Lithografie-Maske 12. Letztere ist unterteilt in eine zentrale Zone 121, in der eine Strukturierung untergebracht ist, die beim Einsatz der Lithografie-Maske bei der Projektionsbelichtung auf einen zu strukturierenden Wafer abgebildet wird. Diese zentrale Zone 121 ist in etwa quadratisch.
  • Die zentrale Zone 121 ist umgeben von einer Übergangszone 122 mit im Vergleich zur zentralen Zone 121 verringerter maximaler Reflektivität für das Beleuchtungslicht 1. Am Ort der Übergangszone 122 können Reflexionsabschnitte 21 zur Bestimmung einer Signalstärke des Messlichts 1 angeordnet sein, welches das Objekt 12 beaufschlagt. Zusätzlich zum das Sichtfeld 10 beaufschlagenden Messlichtbündel 1 kann das Beleuchtungssystem 4 so ausgeführt sein, dass mit Hilfe mindestens eines weiteren Messlichtbündels mindestens einer der Reflexionsabschnitte 21 auf dem Objekt 12 beaufschlagt wird. Von den Reflexionsabschnitten 21 wird dann das jeweilige weitere Messlichtbündel hin zu mindestens einem Energiesensor 21a zur Messlicht-Signalstärkenbestimmung angeordnet.
  • Derartige, in der 2 schematisch dargestellte Energiesensoren 21a können auf einer ortsfesten Komponente der Messvorrichtung 2 angeordnet sein, die sich bei einer Verlagerung des Objekts 12 nicht mit verlagert.
  • Die Übergangszone 122 ist umlaufend um einen äußeren Rand der zentralen Zone 121 ausgeführt. Die Übergangzone 122 wiederum umgibt eine Randzone 123 des Objekts 12. Letztere dient zum Zusammenwirken mit der Objekthalterung 12a und ist generell nicht reflektierend ausgeführt, kann aber ebenfalls, wie in der 2 angedeutet, Reflexionsabschnitte 21 tragen.
  • Eine maximale Reflexion der zentralen Zone 121 kann im Bereich zwischen 60 % und 70 % des einfallenden Messlichts 1 liegen. Eine maximale Reflexion der Übergangszone 122 kann im Bereich zwischen 55 % und 65 % des einfallenden Messlichts 1 liegen.
  • 3 zeigt beispielhaft Größenverhältnisse zwischen einem einfallenden Bündel des Messlichts 1 und dem Objekt- bzw. Sichtfeld 10. Ein Durchmesser des Messlichtbündels 1, der in der 3 gestrichelt dargestellt ist, ist so groß, dass das Sichtfeld 10 komplett im Messlichtbündel 1 liegt. Das Sichtfeld 10 ist in der 3 quadratisch angedeutet. Grundsätzlich kann das Sichtfeld 10 auch eine andere Randkontur haben, beispielsweise eine rechteckige Randkontur, eine runde/elliptische Randkontur oder auch eine an ein Objektfeld einer Projektionsbelichtungsanlage angepasste und dieser entsprechende Randkontur, beispielsweise eine Bogenfeld-Randkontur.
  • In der 3 weiterhin angedeutet sind die Translations-Freiheitsgrade × und y des Objektverlagerungsantriebs 12b. Hierüber kann ein zu vermessender Abschnitt auf dem Objekt 12 ausgewählt werden, in dem dieser in das Sichtfeld 10 positioniert wird.
  • 4 zeigt eine Variante einer Settingblende 6, die als Lochblende mit einer Durchtrittsöffnung 22 in Form eines Pinholes ausgeführt ist. Über die Settingblende 6 nach 4 lässt sich eine spezifische Beleuchtungsrichtung auswählen, aus der das Sichtfeld 10 mit dem Messlicht 1 beleuchtet wird. Hierüber kann also eine Reflektivität des beleuchteten Abschnitts des Objekts 12 aus genau einer definierten Beleuchtungsrichtung bestimmt werden.
  • Dargestellt sind in der 4 wiederum die Verlagerungs-Freiheitsgrade × und y, über die die Settingblende 6 mit Hilfe des Blenden-Verlagerungsantriebs 7b verlagert werden kann.
  • Mit Hilfe der Reflektivitäts-Messvorrichtung 2 kann eine Reflektivität des Objekts 12 für das Messlicht 1 folgendermaßen gemessen werden: Nach Bereitstellung des Objekts 12 und der Messvorrichtung 2 wird das Objekt 12 in der Objekthalterung 12a aufgenommen. Anschließend wird der Abschnitt des Objekts 12 innerhalb des Sichtfeldes 10 mit dem Strahl bzw. Bündel des Messlichts 1 beaufschlagt. Das vom beaufschlagten Abschnitt des Objekts 12 reflektierte Messlicht wird erfasst.
  • Eine Fläche des erfassten Abschnitts des Objekts 12 ist so groß wie das Sichtfeld 10 und beträgt höchstens 50 µm × 50 µm in der Objektebene 11. Das von diesem Abschnitt des Objekts 12 reflektierte Messlicht wird vom Detektor 16 erfasst. Anhand der gemessenen Intensität des vom Detektor erfassten Messlichts 1 wird mindestens ein Reflektivitätsparameter des Objekts 12 bestimmt.
  • Vor dem Beaufschlagen des Objektabschnitts mit dem Strahl des Messlichts 1 kann eine Auswahl eines zu beaufschlagenden Abschnitts anhand von Strukturdetails des Objekts 12 erfolgen, z. B. eine gezielte Beaufschlagung von reflektierenden Abschnitten eines auch absorbierende Abschnitte aufweisenden Objekts oder eine gezielte Auswahl bestimmter, sich ggf. wiederholender Objektstrukturen.
  • Der jeweilige Reflektivitätsparameter kann individuell für genau ein Objekt 12 bestimmt werden. Alternativ können mehrere zu vergleichende Objekte 12 sequentiell vermessen werden und es kann ein Vergleich entsprechend gemessener Reflektivitätsparameter verschiedener Objekte 12 stattfinden. Hierzu kann das zu vermessende Objekt 12 mit einem vorab
    kalibrierten Kalibrierobjekt verglichen werden. Ein beim Messverfahren bestimmter Reflektivitätsparameter kann z. B. eine absolute Reflektivität des Objekts 12 sein.
  • Soweit eine Lithografie-Maske mit einem Pellicle als Objekt 12 vermessen wird, kann auch eine Transmission des Pellicles bestimmt werden. Eine derartige Pellicle-Transmission kann lokal über einen definierten Abschnitt des Pellicles vermessen werden. Hierbei kann ein Vergleich zwischen einer Reflektivitätsmessung des Objekts 12 mit und ohne Pellicle erfolgen. Insbesondere kann so der Einfluss des Pellicles auf die Reflektivität des Objekts 12 bestimmt werden.
  • Bei der Reflektivitätsparameter-Bestimmung kann beispielsweise eine lokale Variation der Reflektivität des Objekts 12 durch Vergleichen der Ergebnisse der Erfassung des Messlichts 1, das von genau einem erfassten Abschnitt des Objekts 12 ausgeht, mit dem ortsaufgelösten Detektor bestimmt werden. Hierzu wird das Ergebnis der Erfassung auf dem ortsaufgelösten Detektor beispielsweise pixelweise verglichen.
  • Hierbei kann beispielsweise ein Intensitäts-Maximalwert der auf einem der Pixel des Detektors 16 bei der Messlicht-Erfassung mit einem Minimalwert verglichen werden. Eine derartige lokale Reflektivitäts-Variation innerhalb genau eines erfassten Objektabschnitts kann durch Darstellung der Abweichungen der jeweiligen Pixel-Intensitätswerte von einem gemessenen Intensitäts-Mittelwert visualisiert werden. Hierüber kann beispielsweise verdeutlich werden, ob es sich bei der lokalen Reflektivitäts-Variation um eine Variation mit höherer oder niedrigerer Ortsfrequenz handelt.
  • Soweit eine bereits strukturierte Lithografie-Maske vermessen wird, kann eine Reflektivitätsparameter-Bestimmung ausgewählter reflektierender Abschnitte der Lithografie-Maske erfolgen. Es können also gezielt mit dem Messlicht 1 zu beaufschlagende Abschnitte ausgewählt werden, auf denen sich keine Absorberstrukturen der Lithografie-Maske befinden.
  • Eine Reflektivitäts-Variation kann auch über einen Flächenabschnitt des Objekts 12 bestimmt werden, der größer ist als das Sichtfeld 10 der Messvorrichtung 2. Hierbei werden Ergebnisse der Erfassung des Messlichts 1, das von verschiedenen Abschnitten des Objekts 12 ausgeht, die mit dem Detektor 16 sequentiell erfasst wurden, miteinander verglichen. Zwischen diesen sequentiellen Erfassungen wird das Objekt 12 mit Hilfe des Objektverlagerungsantriebs 12b gezielt verlagert. Auf diese Weise können aneinander angrenzende Objektabschnitte oder, zu Normierungszwecken auch teilweise überlappende Objektabschnitte, vermessen werden, wobei insgesamt die gesamte Oberfläche des Objekts 12 auf diese Weise beispielsweise durch Abrastung vermessen werden kann.
  • Die lokale Reflektivitätsvariation kann beispielsweise durch Auswahl eines Rasters von 10 × 10 zu vermessenden Objektabschnitten, die sequentiell zur Reflektivitätsmessung mit dem Messlicht beaufschlagt werden, bewerkstelligt werden.
  • Als Reflektivitätsparameter kann auch eine Winkel-Variation der Reflektivität des Objekts 12 oder eines Abschnitts hiervon bestimmt werden. Hierbei werden Ergebnisse der Erfassung des Messlichts 1 verglichen, welches von genau einem erfassten Abschnitt des Objekts 12 mit verschiedenen Einfallswinkeln beaufschlagt wird. Die Messlichterfassung erfolgt wiederum mit dem Detektor 16.
  • Eine derartige Erfassung einer Beaufschlagung des Objektabschnitts mit verschiedenen Einfallswinkeln kann sequentiell oder simultan erfolgen.
  • Bei der sequentiellen Erfassung wird eine Winkel-Variation der Reflektivität durch sequentielles Beaufschlagen des erfassten Objektabschnitts mit verschiedenen Einfallswinkeln bestimmt. Hierbei kann eine Lochblende nach Art der Settingblende 6 der 4 zum Einsatz kommen.
  • Bei der simultanen Messung wird die Winkel-Variation der Reflektivität durch simultanes Beaufschlagen des erfassten Objektabschnitts mit verschiedenen Einfallswinkeln bestimmt. Hierzu wird ein Bild der Pupille nach der Reflexion des Messlichts 1 am Objekt 12 erfasst. Dies kann durch Einsatz der Bertrand-Optik 17 mit dem Bertrand-Spiegel in der aktiven Stellung erfolgen.
  • Zur Normierung einer Signalstärke des Messlichts 1, welches das Objekt 12 beaufschlagt, können die Signalstärken einerseits am Ort der Energiesensoren 21a und andererseits am Detektor 16 herangezogen werden. Die Signalstärke am Energiesensor 21a hängt ab von der Signalstärke der Lichtquelle 3, von der Reflektivität des Kollektors 3a sowie von der Transmission der weiteren Beleuchtungsoptik 4a einschließlich der Settingblende 6. Die Signalstärke am Detektor 16 hängt zusätzlich von diesen Parametern noch ab von der zu bestimmenden Reflektivität der Maske und von der Transmission der Projektionsoptik 8, ggf. einschließlich der Bertrand-Optik 17. Die Transmission der Projektionsoptik 8 ggf. einschließlich der Bertrang-Optik 17 kann durch eine unabhängige Kalibrierung bestimmt werden.
  • Ein Verhältnis aus den Signalstärken einerseits der Detektoreinrichtung 16 und andererseits des Energiesensors 21a hängt ausschließlich vom Produkt der zu bestimmenden Reflektivität des Objekts 12 und der Transmission der Projektionsoptik 8 ggf. mit der Bertrand-Optik 17 ab. Soweit diese Transmission mit Hilfe einer vorausgehenden Kalibrierung bestimmt ist, kann die normierte Reflektivität des Objekts 12 bestimmt werden.
  • Mit Hilfe der sequentiell und/oder simultan bestimmten Winkel-Variation der Reflektivität des erfassten Objektabschnitts kann beispielsweise sichergestellt werden, dass die Pol-Balance (pole balance) eines qualifizierten Objekts 12 besser ist als 10 %.
  • Entsprechend kann sichergestellt werden, dass die Elliptizität einer Pupille, z.B. eine Abweichung einer Pupillen-Randkontur von einer gewünschten vorgegebenen Berandungsform, beispielsweise von einer Kreisform, besser ist als 10 %.
  • Durch Einsatz eines kalibrierten Objekts, beispielsweise in Form einer Kalibriermaske, bei dem beispielsweise mit Hilfe einer sequentiellen Bestimmung der Winkel-Variation der Reflektivität entsprechende Reflektivitätswerte RK (kx, ky) bei den verschiedenen Beleuchtungswinkeln bekannt sind, lässt sich durch Bestimmen der simultanen Pupillenbilder mit Einsatz des Bertrand-Spiegels 18 in der aktiven Position aus den Messwerten PK (kx, ky) (Pupillenbild der Kalibriermaske) und PO (kx, ky) die gewünschte Winkelvariation der Reflektivität RO (kx, ky) des zu vermessenden Objekts 12 gemäß folgendem Zusammenhang bestimmen: R O ( k x , k y ) = R K ( k x , k y ) × P O ( k x , k y ) P K ( k x , k y )
    Figure DE102019215972A1_0003
  • 5 zeigt das Ergebnis entsprechender Reflektivitätsmessungen als Ergebnis einer sequentiellen Beaufschlagung mehrerer erfasster Objektabschnitte mit verschiedenen Einfallswinkeln. Dargestellt ist eine Intensität I abhängig von einem Beleuchtungswinkel α. Der Wert „0“ des Beleuchtungswinkels symbolisiert dabei eine Beleuchtung aus dem Zentrum der Beleuchtungspupille, also längs eines Hauptstrahls CR (vgl. 1), der durch ein Zentrum der Beleuchtungspupille verläuft. Dargestellt sind Messergebnisse für verschiedene erfasste Objektabschnitte bei insgesamt fünf verschiedenen Beleuchtungswinkeln.
  • Die Messung wurde vorgenommen an verschiedenen Abschnitten des Objekts 12, die hierzu jeweils in das Sichtfeld 10 der Messvorrichtung 2 verlagert wurden. Die entsprechenden Messpunkte sind mit unterschiedlichen Symbolen in der 5 eingetragen. Es ergibt sich eine gewisse Bandbreite der Signalstärken, die auf unterschiedliche Reflektivitäten der jeweils vermessenen Objektabschnitte zurückzuführen ist. Hieraus kann beispielsweise auf eine mittlere Reflektivität des Objekts 12 bei Beleuchtung aus den verschiedenen vermessenen Beleuchtungswinkeln rückgeschlossen werden.
  • Es zeigt sich zudem, dass die Signalverstärke des vom Detektor 14 gemessenen Signals I durchaus erheblich und insbesondere nicht linear vom Einfallswinkel abhängt. Eine starke Änderung der Reflektivität ergibt sich hin zu größeren absoluten Einfallswinkeln (linke Messwerte der 5).

Claims (12)

  1. Verfahren zur Messung einer Reflektivität eines Objekts (12) für Messlicht (1) mit folgenden Schritten: - Bereitstellen des Objekts (12), - Bereitstellen einer Reflektivitäts-Messvorrichtung (2), -- mit einer Messlichtquelle (3), -- mit einem Objekthalter (12a) zur Halterung des Objekts (12), -- mit einem ortsauflösenden Detektor (16) zum Erfassen von vom Objekt (12) im Objekthalter (12a) reflektiertem Messlicht (1), - Beaufschlagen eines Abschnitts des Objekts (12) innerhalb eines Sichtfeldes (10) der Messvorrichtung (2) mit einem Messlicht-Strahl, - Erfassen des reflektierten Messlichts (1), das vom beaufschlagten Abschnitt des Objekts (12) ausgeht, wobei eine Fläche des erfassten Abschnitts höchstens 50 µm × 50 µm beträgt, mit dem Detektor (16), - Bestimmen mindestens eines Reflektivitätsparameters des Objekts (12) anhand einer Intensität des erfassten Messlichts (1).
  2. Verfahren nach Anspruch 1, gekennzeichnet durch ein Bestimmen einer lokalen Variation der Reflektivität des Objekts (12) durch Vergleichen der Ergebnisse der Erfassung des Messlichts (1), welches von genau einem erfassten Abschnitt des Objekts (12) ausgeht, mit dem ortsauflösenden Detektor (16).
  3. Verfahren nach Anspruch 1 oder 2, gekennzeichnet durch ein Bestimmen einer Variation der Reflektivität über einen Flächenabschnitt des Objekts (12), der größer ist als das Sichtfeld (10) der Messvorrichtung (2) durch Vergleichen der Ergebnisse der Erfassung des Messlichts (1), welches von verschiedenen Abschnitten des Objekts (12) ausgeht, mit dem ortsauflösenden Detektor (16).
  4. Verfahren nach einem der Ansprüche 1 bis 3, gekennzeichnet durch ein Bestimmen einer Winkel-Variation der Reflektivität durch Vergleichen der Ergebnisse der Erfassung des Messlichts (1), welches von genau einem erfassten Abschnitt des Objekts (12) mit verschiedenen Einfallswinkeln beaufschlagt wird, mit dem ortsauflösenden Detektor (16).
  5. Verfahren nach Anspruch 4, dadurch gekennzeichnet, dass die Winkel-Variation der Reflektivität durch sequentielles Beaufschlagen des erfassten Abschnitts mit verschiedenen Einfallswinkeln bestimmt wird.
  6. Verfahren nach Anspruch 4, dadurch gekennzeichnet, dass die Winkel-Variation der Reflektivität durch simultanes Beaufschlagen des erfassten Abschnitts mit verschiedenen Einfallswinkeln bestimmt wird.
  7. Verfahren nach einem der Ansprüche 1 bis 6, gekennzeichnet durch ein Normieren einer Signalstärke des Messlichts (1), welches das Objekt (12) beaufschlagt.
  8. Metrologiesystem (2) zur Durchführung eines Verfahrens nach einem der Ansprüche 1 bis 7, - mit einer Messlichtquelle (3), - mit einer Beleuchtungsoptik (4a) zur Beleuchtung des zu vermessenden Objekts (12) mit einem vorgegebenen Beleuchtungssetting, - mit einer abbildenden Optik (8) zur Überführung des von einem Abschnitt des zu untersuchenden Objekts (12) reflektierten Messlichts (1) in eine Messebene (15), und - mit einer ortsauflösenden Detektionseinrichtung (16), angeordnet in der Messebene (15).
  9. Metrologiesystem nach Anspruch 8, dadurch gekennzeichnet, dass die Messlichtquelle (3) als EUV-Messlichtquelle ausgeführt ist.
  10. Metrologiesystem nach Anspruch 8 oder 9, gekennzeichnet durch eine Lochblende (6, 22) als Bestandteil der Beleuchtungsoptik (4a).
  11. Metrologiesystem nach einem der Ansprüche 8 bis 10, gekennzeichnet durch eine Bertrand-Optik (17) im Messlicht-Strahlengang zwischen dem Objekt (12) und der Detektionseinrichtung (16).
  12. Metrologiesystem nach einem der Ansprüche 8 bis 11, gekennzeichnet durch mindestens einen Energiesensor (21a) zur Bestimmung einer Signalstärke des Messlichts (1) im Strahlengang vor Beaufschlagung des Objekts (12).
DE102019215972.9A 2019-10-17 2019-10-17 Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens Ceased DE102019215972A1 (de)

Priority Applications (7)

Application Number Priority Date Filing Date Title
DE102019215972.9A DE102019215972A1 (de) 2019-10-17 2019-10-17 Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens
TW109134614A TWI769545B (zh) 2019-10-17 2020-10-06 用於測量光的物體之反射率的測量方法以及用於執行該方法的計量系統
JP2022522862A JP2022552984A (ja) 2019-10-17 2020-10-08 計測光に関する物体の反射率を計測するための方法およびその方法を実行するための計量システム
KR1020227016383A KR20220079981A (ko) 2019-10-17 2020-10-08 측정 광에 대한 오브젝트의 반사도를 측정하는 방법 및 이를 수행하기 위한 계측 시스템
PCT/EP2020/078215 WO2021073993A1 (en) 2019-10-17 2020-10-08 Method for measuring a reflectivity of an object for measurement light and metrology system for carrying out the method
US17/721,750 US20220236648A1 (en) 2019-10-17 2022-04-15 Method for measuring a reflectivity of an object for measurement light and metrology system for carrying out the method
JP2024015548A JP2024059661A (ja) 2019-10-17 2024-02-05 計測光に関する物体の反射率を計測するための方法およびその方法を実行するための計量システム

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102019215972.9A DE102019215972A1 (de) 2019-10-17 2019-10-17 Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens

Publications (1)

Publication Number Publication Date
DE102019215972A1 true DE102019215972A1 (de) 2021-04-22

Family

ID=72840528

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019215972.9A Ceased DE102019215972A1 (de) 2019-10-17 2019-10-17 Verfahren zur Messung einer Reflektivität eines Objekts für Messlicht sowie Metrologiesystem zur Durchführung des Verfahrens

Country Status (6)

Country Link
US (1) US20220236648A1 (de)
JP (2) JP2022552984A (de)
KR (1) KR20220079981A (de)
DE (1) DE102019215972A1 (de)
TW (1) TWI769545B (de)
WO (1) WO2021073993A1 (de)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020030826A1 (en) * 2000-07-06 2002-03-14 Chalmers Scott A. Method and apparatus for high-speed thickness mapping of patterned thin films
US20130330847A1 (en) * 2012-06-07 2013-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") Method and system for semiconductor device pattern loading effect characterization
US20150116717A1 (en) * 2012-06-26 2015-04-30 Kla-Tencor Corporation Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
WO2018218092A1 (en) * 2017-05-26 2018-11-29 Kla-Tencor Corporation Apparatus and methods for measuring phase and amplitude of light through a layer
US20190302010A1 (en) * 2016-05-19 2019-10-03 The Regents Of The University Of Colorado, A Body Corporate Complex Spatially-Resolved Reflectometry/Refractometry

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06230200A (ja) * 1992-12-07 1994-08-19 Olympus Optical Co Ltd 軟x線顕微鏡
JPH0990607A (ja) * 1995-07-14 1997-04-04 Canon Inc 原版検査修正装置及び方法
JPH10318945A (ja) * 1997-05-16 1998-12-04 Toyota Motor Corp 軟x線反射率測定方法とそのための装置
US6963395B2 (en) * 2001-07-09 2005-11-08 The Regents Of The University Of California Method and apparatus for inspecting an EUV mask blank
US20050254065A1 (en) * 2004-05-12 2005-11-17 Stokowski Stanley E Method and apparatus for detecting surface characteristics on a mask blank
WO2006080909A1 (en) * 2005-01-24 2006-08-03 Underwood James H Extreme ultraviolet reflectometer with rotating grating monochrometer
DE102013208565A1 (de) * 2013-05-08 2014-03-13 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Messen einer Reflektivität einer Probenoberfläche
US20150192459A1 (en) * 2014-01-08 2015-07-09 Kla-Tencor Corporation Extreme ultra-violet (euv) inspection systems
DE102015215559B4 (de) * 2015-08-14 2020-12-03 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur hochauflösenden Abbildung eines Oberflächenbereiches bei streifendem Einfall der Messstrahlung
DE102016209616A1 (de) 2016-06-01 2017-12-07 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Vorhersage des mit einer Maske bei Durchführung eines Lithographieprozesses erzielten Abbildungsergebnisses
US10634623B2 (en) * 2016-10-07 2020-04-28 Kla-Tencor Corporation Phase contrast monitoring for extreme ultra-violet (EUV) masks defect inspection
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
EP3318927A1 (de) * 2016-11-04 2018-05-09 ASML Netherlands B.V. Verfahren und vorrichtung zur messung eines parameters eines lithogrphischen verfahren, computerprogrammprodukte zur implementierung solcher verfahren und vorrichtungen

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020030826A1 (en) * 2000-07-06 2002-03-14 Chalmers Scott A. Method and apparatus for high-speed thickness mapping of patterned thin films
US20130330847A1 (en) * 2012-06-07 2013-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") Method and system for semiconductor device pattern loading effect characterization
US20150116717A1 (en) * 2012-06-26 2015-04-30 Kla-Tencor Corporation Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
US20190302010A1 (en) * 2016-05-19 2019-10-03 The Regents Of The University Of Colorado, A Body Corporate Complex Spatially-Resolved Reflectometry/Refractometry
WO2018218092A1 (en) * 2017-05-26 2018-11-29 Kla-Tencor Corporation Apparatus and methods for measuring phase and amplitude of light through a layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HELLWEG, Dirk [u.a.]: Actinic review of EUV masks: performance data and status of the AIMS EUV system. In: Extreme Ultraviolet (EUV) Lithography VIII : 27 February-2 March 2017, San Jose, California, United States. Bellingham, Wash. : SPIE, 2017 (Proceedings of SPIE ; 10143). S. 101430J-1 - 101430J-12. - ISBN 978-1-5106-0737-8. DOI: 10.1117/12.2261662. URL: https://www.spiedigitallibrary.org/proceedings/Download?fullDOI=10.1117%2F12.2261662 [abgerufen am 2019-12-10] *

Also Published As

Publication number Publication date
US20220236648A1 (en) 2022-07-28
WO2021073993A1 (en) 2021-04-22
JP2022552984A (ja) 2022-12-21
TW202117308A (zh) 2021-05-01
KR20220079981A (ko) 2022-06-14
TWI769545B (zh) 2022-07-01
JP2024059661A (ja) 2024-05-01

Similar Documents

Publication Publication Date Title
DE102018210315B4 (de) Verfahren zur Erfassung einer Struktur einer Lithografiemaske sowie Vorrichtung zur Durchführung des Verfahrens
EP1257882B1 (de) Vorrichtung zur wellenfronterfassung
EP1446813B1 (de) Reflektives roentgenmikroskop zur untersuchung von objekten mit wellenlaengen = 100nm in reflexion
DE60016682T2 (de) Vorrichtung zur Photomaskeninspektion mittels Photolithographiesimulation
DE102010047050B4 (de) Verfahren zur Charakterisierung einer Struktur auf einer Maske und Vorrichtung zur Durchführung des Verfahrens
DE10220815A1 (de) Reflektives Röntgenmikroskop und Inspektionssystem zur Untersuchung von Objekten mit Wellenlängen 100 nm
DE102011006468B4 (de) Vermessung eines abbildenden optischen Systems durch Überlagerung von Mustern
WO2016012425A9 (de) Abbildende optik für ein metrologiesystem zur untersuchung einer lithographiemaske
DE10220816A1 (de) Reflektives Röntgenmikroskop und Inspektionssystem zur Untersuchung von Objekten mit Wellenlängen 100 nm
DE102008015631A1 (de) Verfahren und Vorrichtung zur Vermessung von Masken für die Photolithographie
DE102012204704A1 (de) Messvorrichtung zum Vermessen einer Abbildungsgüte eines EUV-Objektives
DE102008017645A1 (de) Vorrichtung zur mikrolithographischen Projektionsbelichtung sowie Vorrichtung zur Inspektion einer Oberfläche eines Substrats
DE102005041203A1 (de) Vorrichtung und Verfahren zur interferometrischen Messung von Phasenmasken
WO2005003862A1 (de) Vorrichtung zur polarisationsspezifischen untersuchung eines optischen systems
DE102004023739A1 (de) Messgerät und Verfahren zum Betreiben eines Messgeräts zur optischen Inspektion eines Objekts
WO2005069079A1 (de) Vorrichtung und verfahren zur wellenfrontvermessung eines optischen abbildungssystems und mikrolithographie-projektionsbelichtungsanlage
DE102011121532A1 (de) Verfahren zur Charakterisierung einer Struktur auf einer Maske und Vorrichtung zur Durchführung des Verfahrens
EP3074821B1 (de) Messanordnung zur messung optischer eigenschaften eines reflektiven optischen elements, insbesondere für die mikrolithographie
DE102005041373A1 (de) Verfahren und Vorrichtung zur kalibrierenden Wellenfrontvermessung
DE102012205181B4 (de) Messvorrichtung zum Vermessen einer Beleuchtungseigenschaft
DE102010063337B4 (de) Verfahren zur Maskeninspektion sowie Verfahren zur Emulation von Abbildungseigenschaften
DE102007000981B4 (de) Vorrichtung und Verfahren zum Vermessen von Strukturen auf einer Maske und zur Berechnung der aus den Strukturen resultierenden Strukturen in einem Photoresist
DE102011005826A1 (de) Optische Vorrichtung
DE102018202637B4 (de) Verfahren zur Bestimmung einer Fokuslage einer Lithographie-Maske und Metrologiesystem zur Durchführung eines derartigen Verfahrens
DE102018202639B4 (de) Verfahren zur Bestimmung eines strukturunabhängigen Beitrags einer Lithographie-Maske zu einer Schwankung der Linienbreite

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final