CN104583872B - 用于组合式x‑射线及光学计量的模型建立及分析引擎 - Google Patents

用于组合式x‑射线及光学计量的模型建立及分析引擎 Download PDF

Info

Publication number
CN104583872B
CN104583872B CN201380044542.2A CN201380044542A CN104583872B CN 104583872 B CN104583872 B CN 104583872B CN 201380044542 A CN201380044542 A CN 201380044542A CN 104583872 B CN104583872 B CN 104583872B
Authority
CN
China
Prior art keywords
ray
model
sample
amount
measurement data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380044542.2A
Other languages
English (en)
Other versions
CN104583872A (zh
Inventor
迈克尔·贝克曼
安德烈·谢卡格罗瓦
赵强
谭正泉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN104583872A publication Critical patent/CN104583872A/zh
Application granted granted Critical
Publication of CN104583872B publication Critical patent/CN104583872B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)

Abstract

试样的结构参数是通过使所述试样的响应的模型拟合到在组合分析中通过不同测量技术所收集的测量来确定。所述试样对至少两种不同测量技术的响应的模型共享至少一共同几何参数。在一些实施例中,模型建立及分析引擎执行X‑射线及光学分析,其中至少一共同参数是在分析期间耦合。可顺序地、并行地或通过顺序分析与并行分析的组合来进行所述响应模型到所测量数据的所述拟合。在另一方面中,基于所述响应模型与所述对应测量数据之间的所述拟合的质量来更改所述模型的结构。举例来说,基于所述响应模型与对应测量数据之间的所述拟合来重新建构所述试样的几何模型。

Description

用于组合式X-射线及光学计量的模型建立及分析引擎
对相关申请案的交叉参考
本专利申请案根据35 U.S.C.§119规定主张2012年7月10日申请的题为“用于使用光学及X-射线计量技术测量结构及膜参数的方法建立及分析引擎(Method Building AndAnalysis Engine For Measuring Structure And Film Parameters Using Optical AndX-ray Metrology Technologies)”的第61/669,901号美国临时专利申请案的优先权,所述案的标的物以全文引用的方式并入本文中。
技术领域
所描述的实施例涉及计量系统及方法,且更确切地说,涉及用于达成改进的测量准确度的方法及系统。
背景技术
例如逻辑及存储器装置等半导体装置通常是通过应用于试样的处理步骤的序列来制造。半导体装置的各种特征及多个结构层级是通过此些处理步骤而形成。举例来说,微影(连同其它者)为一半导体制造过程,其涉及在半导体晶片上产生图案。半导体制造过程的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可制造于单一半导体晶片上且接着分离成个别半导体装置。
在半导体制造过程期间的各种步骤使用计量过程来检测晶片上的缺陷以促进较高的良率。光学计量技术提供高产出率而无试样破坏的风险的可能性。通常使用数种基于光学计量的技术(包含散射测量及反射测量实施以及相关联的分析算法)来将纳米尺度结构的临界尺寸、膜厚度、组合物及其它参数特征化。
传统上,对由薄膜及/或重复的周期性结构组成的目标执行散射测量。在装置制造期间,此些膜及周期性结构通常表示实际装置几何形状及材料结构或中间设计。随着装置(例如,逻辑及存储器装置)朝较小纳米尺度尺寸进展,特征化变得更加困难。并有复杂三维几何形状及具有多种物理性质的材料的装置促成特征化困难。举例来说,现代存储器结构常常为变得使光学辐射难以穿透到底层的高纵横比三维结构。另外,用以将复杂结构(例如,鳍片式FET)特征化所需的参数的增加的数目导致增加的参数相关。结果,将目标特征化的参数常常不能可靠地与可用测量解耦。在另一实施例中,在现代半导体结构中越来越多地使用不透明高k材料。光学辐射常常不能穿透由此些材料建构的层。结果,通过薄膜散射测量工具(例如,椭偏仪或反射计)进行的测量正变得越来越有挑战性。
响应于此些挑战,已开发出更复杂的光学工具。举例来说,已开发出具有多个照射角度、较短及较宽广范围的照射波长以及从反射信号的更完整信息获取(例如,测量除更常规的反射率或椭偏测量信号之外的多个缪勒(Mueller)矩阵元素)的工具。然而,此些方法尚未可靠地克服与许多先进目标(例如,复杂3D结构、小于10nm的结构、使用不透明材料的结构)的测量及测量应用(例如,线边缘粗糙度及线宽度粗糙度测量)相关联的基本挑战。
对此些挑战的另一响应为开发并有多种测量技术的计量工具。在一实例中,组合掠入射X-射线反射测量与X-射线荧光(XRF)以及电子微探针分析的薄膜分析系统描述于在2004年11月9日颁布且让与给KLA-Tencor公司的题为“多技术薄膜分析工具(Multi-technique thin film analysis tool)”的第6,816,570号美国专利中,所述专利的标的物以全文引用的方式并入本文中。
未来的计量应用归因于越来越低的分辨率要求、多参数相关、越来越复杂的几何结构及对不透明材料的增加使用而呈现对计量的挑战。对用以将试样特征化的多种测量技术的使用展示成功的希望。然而,需要在分析由多种测量技术所产生的测量数据方面的改进。
发明内容
呈现了用于执行与不同半导体制造过程相关联的结构及材料特性(例如,结构及膜的材料组合物、尺寸特性等)的测量的方法及系统。半导体试样的一或多个结构参数是通过使试样的响应模型拟合到在组合分析中通过不同测量技术所收集的测量来确定。
在一些实施例中,对试样的光学测量与X-射线测量两者的组合分析归因于X-射线及光学技术的互补性质而实现增加的测量敏感性及产出率。可通过识别使用得自X-射线及光学测量的数据集而用数学方式解析的共享模型参数来改进测量精度及准确度。通过多种测量技术来测量共享参数缩减了参数之间的相关且改进了测量准确度。
在一方面中,试样对至少两种不同测量技术的响应的模型共享至少一共同几何参数。在一些实施例中,模型建立及分析引擎执行共同或多个目标的X-射线及光学分析,其中至少一共同参数在分析期间耦合。在另一方面中,试样对至少两种不同测量技术的响应的模型是基于同一几何模型。
在另一方面中,可顺序地、并行地或通过顺序分析与并行分析的组合来进行光学响应模型与某一量的光学测量数据的拟合及X-射线响应模型与某一量的X-射线测量数据的拟合。
在又一方面中,光学模型的浮动目标参数的数目及X-射线模型的浮动目标参数的数目是通过连结所述参数中的一些参数而减小。在一些实例中,将共同几何参数视为单一参数。在一些其它实例中,可能有必要引入比例因子及偏移值来考量与不同计量相关联的校准及模型偏差。
在又一实施例中,模型建立及分析引擎执行主成份分析(PCA)以将参数值的一或多个集合变换为具有缩减的相关的参数值的一或多个集合。PCA涉及通过线性坐标变换而将可能相关参数的集合转换为线性不相关参数的集合。
在又一方面中,在检测中的试样包含场增强元素以提高参数对X-射线及光学计量的敏感性。场增强元素为用以增强与所关注参数相关联的测量敏感性以及打破参数相关的结构。
在又一方面中,基于所述模型与对应测量数据之间的拟合的质量来更改试样对至少两种不同测量技术的响应的模型的结构。在一些实例中,基于响应模型与对应测量数据之间的拟合的质量来更改几何模型的结构。
前述内容为概要且因此必然含有细节的简化、一般化及省略;因此,所属领域的技术人员将了解,概要仅为说明性的且无论如何非为限制性的。本文中所描述的装置及/或过程的其它方面、发明性特征及优点将在本文中所阐述的非限制性详细描述中变得显而易见。
附图说明
图1为说明示范性模型建立及分析引擎150的图,所述模型建立及分析引擎150经配置以实施如本文中所描述的模型建立及分析功能性。
图2为说明组合式计量工具100的图,所述组合式计量工具100用于通过两种不同测量技术来测量试样的特性。组合式计量工具100包含配置为模型建立及分析引擎的计算系统130,所述模型建立及分析引擎经配置以实施如本文中所描述的模型建立及分析功能性。
图3为说明适于通过本发明的模型建立及分析引擎150来实施的方法200的流程图。
图4为说明适于通过本发明的模型建立及分析引擎150来实施的方法300的流程图。
图5为说明高k金属栅极晶体管160的图,所述高k金属栅极晶体管160经受通过本文中所描述的方法及系统进行的测量。
具体实施方式
呈现了用于执行与不同半导体制造过程相关联的结构及材料特性(例如,结构及膜的材料组合物、尺寸特性等)的测量的方法及系统。如本文中所描述,半导体试样的一或多个结构参数是通过使试样的响应模型拟合到在组合分析中通过不同测量技术所收集的测量来确定。现将详细参考背景实例及本发明的一些实施例,所述实施例的实例说明于随附图式中。
在一方面中,试样对至少两种不同测量技术的响应的模型共享至少一共同几何参数。在另一方面中,试样对至少两种不同测量技术的响应的模型是基于同一几何模型。
在一些实施例中,对试样的光学测量与X-射线测量两者的组合分析归因于X-射线及光学技术的互补性质而实现增加的测量敏感性及产出率。可通过识别使用得自X-射线及光学测量的数据集而用数学方式顺序地或并行地解析的共享模型参数来改进测量精度及准确度。通过多种不同测量技术来测量共享参数缩减了参数之间的相关且改进了测量准确度。
一般来说,本文中所论述的X-射线及光学测量技术为测量在检测中的试样的一些物理性质的间接方法。在大多数状况下,测量值不能用以直接地确定试样的物理性质。标称测量过程由结构的参数化(例如,膜厚度、临界尺寸、折射率等)及机器(例如,波长、入射角、偏振角等)的参数化组成。建置尝试预测测量值的模型。所述模型包含与机器(Pmachine)及试样(Pspecimen)相关联的参数。
机器参数为用以将计量工具自身特征化的参数。示范性机器参数包含入射角(AOI)、分析器角(A0)、偏振器角(P0)、照射波长、数值孔径(NA)等。试样参数为用以将试样特征化的参数。对于薄膜试样,示范性试样参数包含折射率、介电函数张量、所有层的标称层厚度、层序列等。为达成测量目的,将机器参数视为已知的固定参数且将试样参数视为未知的浮动参数。浮动参数是通过拟合过程(例如,回归、库匹配等)来解析,所述拟合过程产生理论预测与实验数据之间的最佳拟合。使未知的试样参数Pspecimen变化,且计算模型输出值直到确定导致模型输出值与用实验方法测量的值之间的紧密匹配的试样参数值的集合。
图1为说明示范性模型建立及分析引擎150的图,所述模型建立及分析引擎150经配置以实施如本文中所描述的模型建立及分析功能性。在一实例中,通过计算系统(例如,图2中所说明的计算系统130)来实施模型建立及分析引擎150。
模型建立及分析引擎150包含几何模型建立模块151,所述几何模型建立模块151经配置以产生试样的测量结构的几何模型152。模型建立及分析引擎150还包含X-射线响应函数建立模块153及光学响应函数建立模块154以分别产生X-射线响应模型155及光学响应模型156,所述X-射线响应模型155及所述光学响应模型156各自包含来自几何模型的至少一共享几何参数。模型建立及分析引擎150还包含拟合分析模块157,所述拟合分析模块157经配置以通过在组合分析中使光学响应模型156与某一量的光学测量数据124拟合及使X-射线响应模型155与某一量的X-射线测量数据126拟合来解析至少一试样参数值170。
图3说明适于通过本发明的模型建立及分析引擎150来实施的方法200。在一方面中,应认识到,可经由预编程的算法来进行方法200的数据处理块,所述预编程的算法是由计算系统130的一或多个处理器执行。尽管模型建立及分析引擎150的以下描述是在组合式计量系统100的情境下呈现,但本文中应认识到,组合式计量系统100的特定结构方面并不表示限制而应解释为仅说明性的。
在框201中,模型建立及分析引擎150的几何模型建立模块151产生试样的至少一结构的几何模型152。在一些实施例中,几何模型152还包含试样的材料性质。
在框202中,X-射线响应函数建立模块153至少部分地基于几何模型152而产生X-射线响应模型155。类似地,光学响应函数建立模块154至少部分地基于几何模型152而产生光学响应模型156。光学响应模型156与X-射线响应模型155两者包含来自几何模型152的至少一共同几何参数。
如图1中所描绘,接收几何模型152作为到X-射线响应函数建立模块153及光学响应函数建立模块154的输入。X-射线响应函数建立模块153至少部分地基于几何模型152而产生X-射线响应函数模型155。在一些实例中,X-射线响应函数模型155是基于以下X-射线形式因数:
其中F为形式因数,q为散射向量,且ρ(r)为在球面坐标中试样的电子密度。X-射线散射强度接着由下式给出:
类似地,光学响应函数建立模块154至少部分地基于几何模型152而产生光学响应函数模型156。在一些实例中,光学响应函数模型156是基于严格耦合波分析(RCWA),其中对麦克斯韦(Maxwell)方程式求解以从试样模型预测光学散射。
一般来说,只要使用描述X-射线及光束与试样的交互的恰当模型,试样参数便可为确定参数(例如,膜厚度、CD、SWA等)、统计参数(例如,侧壁粗糙度的rms高度、粗糙度相关长度等)或确定参数与统计参数的任何组合。
在框203中,通过拟合分析模块157来接收与由光学计量系统对试样进行的测量相关联的光学测量数据。所述光学测量数据是基于响应于入射于试样上的光学照射而从试样检测的光学辐射的量。如图1中所描绘,拟合分析模块157接收由图2中所说明的光学检测器123所产生的光学测量数据124。
在框204中,通过拟合分析模块157来接收与由X-射线计量系统对试样进行的测量相关联的X-射线测量数据。所述X-射线测量数据是基于响应于入射于试样上的X-射线照射而从试样检测的X-射线辐射的量。如图1中所描绘,拟合分析模块157接收由图2中所说明的X-射线检测器116所产生的X-射线测量数据126。
在框205中,基于X-射线测量数据126与X-射线响应模型155的拟合分析及光学测量数据124与光学响应模型156的拟合分析来确定至少一试样参数值170。接收X-射线响应函数模型155及光学响应函数模型156作为到拟合分析模块157的输入。拟合分析模块157将模型化的X-射线及光学散射与对应所测量数据相比较以确定试样的几何性质以及材料性质。
在一些实例中,通过最小化卡方值来达成模型化数据到实验数据的拟合。举例来说,对于光学计量,可将卡方值定义为:
其中为在“通道”i中用实验方法测量的所测量光学信号124,其中指数i描述例如波长、角坐标、偏振等系统参数的集合。为针对结构(目标)参数u1,...,uM的集合来评估的“通道”i的模型化光学信号,其中此些参数描述几何(膜厚度、CD、侧壁角、叠对等)及材料(折射率、吸收系数、色散模型参数)等。σopt,i为与“通道”i相关联的不确定度。Nopt为光学计量中的通道总数。M为将计量目标特征化的参数的数目。用于对光学光谱测量数据进行基于模型的分析的示范性方法及系统描述于在2009年1月13日颁布且让与给KLA-Tencor公司的第7,478,019号美国专利中,所述专利的全部内容以引用的方式并入本文中。
类似地,对于X-射线测量(例如,对于CD-SAXS),可将卡方值定义为:
其中,为“通道”j中的所测量X-射线信号126,其中指数j描述例如能量、角坐标等系统参数的集合。为针对结构(目标)参数v1,...,vL的集合来评估的“通道”j的模型化X-射线信号Sj,其中此些参数描述几何(膜厚度、CD、侧壁角、叠对等)及材料(电子密度等)。σxray,j为与第j通道相关联的不确定度。Nxray为X-射线计量中的通道总数。L为将计量目标特征化的参数的数目。
方程式(3)及(4)假定与不同通道相关联的不确定度为不相关的。在与不同通道相关联的不确定度相关的实例中,可计算不确定度之间的协方差。在此些实例中,可将用于光学测量的卡方值表达为:
其中,Vopt为光学通道不确定度的协方差矩阵,且T表示转置。可以相同的方式来计算用于X-射线测量的卡方值。
一般来说,用于光学模型的目标参数(即,{u1,...,uM})的集合及用于X-射线模型的目标参数(即,{v1,...,vL})的集合为不同的。用以描述光学及X-射线交互过程所需的材料常量及函数的差异产生不同的目标参数。然而,至少一参数在X-射线响应函数模型155与光学响应函数模型156之间为共同的。所述共同参数为相同的或通过明确的代数变换而彼此有关。在一些实例中,例如膜厚度、CD、叠对等目标参数在X-射线响应函数模型155与光学响应函数模型156两者之间为共同的。
在另一方面中,可顺序地、并行地或通过顺序分析与并行分析的组合来进行光学响应模型与某一量的光学测量数据的拟合及X-射线响应模型与某一量的X-射线测量数据的拟合。在一些实例中,模型建立及分析引擎150通过侧馈分析、前馈分析及并行分析的任何组合来改进所测量参数的准确度。侧馈分析指代在同一试样的不同区域上取得多个数据集且将从一数据集确定的共同参数传递到后续数据集上以供分析。前馈分析指代在不同试样上取得数据集且使用逐步复制确切参数前馈方法将共同参数向前传递到后续分析。并行分析指代将非线性拟合方法并行或同时应用于多个数据集,其中至少一共同参数在拟合期间耦合。
在一些实例中,模型建立及分析引擎150通过执行多重工具及结构分析来改进所测量参数的准确度。多重工具及结构分析指代基于多个数据集的回归、查找表(即,“库”匹配)或另一拟合过程的前馈、侧馈或并行分析。用于多重工具及结构分析的示范性方法及系统描述于在2009年1月13日颁布且让与给KLA-Tencor公司的第7,478,019号美国专利中,所述专利的全部内容以引用的方式并入本文中。
在一些实例中,拟合分析模块157通过顺序地执行X-射线响应模型155与X-射线测量数据126的拟合分析及光学响应模型156与光学测量数据124的拟合分析来解析至少一试样参数值。在一些实例中,首先最佳化且在的后续最佳化中将任何已解析的共同试样参数值视为常量。类似地,在一些其它实例中,首先最佳化且在的后续最佳化中将任何已解析的共同试样参数值视为常量。
在一些其它实例中,拟合分析模块157通过执行X-射线响应模型155与X-射线测量数据126及光学响应模型156与光学测量数据124的并行拟合分析来解析至少一试样参数值。通过非限制性实例,可将适于并行分析的卡方函数定义为:
其中wopt及wxray为指派给光学计量及X-射线计量的加权系数。在最简单状况下,wopt=wxray=1。然而,指派不同权重常常增强更为相关的计量。通常通过分析实验数据对参考计量及/或测量特殊实验设计(DOE)目标上的预编程的DOE参数变化来进行对恰当权重的选择。
当计算卡方值时,光学计量及X-射线计量可含有一种以上的各别技术。举例来说,可通过给予每一技术的权重系数来计算以用于达成掠入射SAXS及透射SAXS的组合使用。同样地,可通过指派给每一技术的权重系数来计算以用于达成光谱椭偏测量、射束剖面反射测量及光谱反射测量的组合使用。
在另一方面中,光学模型的浮动目标参数{u1,...,uM}的数目及X-射线模型的浮动目标参数{v1,...,vL}的数目是通过连结所述参数中的一些参数而减小。在一些实例中,将共同几何参数视为单一参数。通过实例,如果u1与v1两者表示特定膜的厚度,那么将u1及v1的值约束为相同值。在一些其它实例中,可能有必要引入比例因子及偏移值以考量光学计量及X-射线计量的校准及模型偏差。通过实例,如果u1与v1两者表示特定膜的厚度,那么将u1的值约束为v1的函数(例如,u1=f1*v1+d1,其中f1及d1为常量)。比例因子(例如,f1)及偏移值(例如,d1)并非浮动参数且是在执行整体最佳化之前而确定。可在传输电子显微法(TEM)、原子力显微法(AFM)或其它方法的帮助下执行比例因子及偏移值的校准。此外,用以连结结构参数的更复杂方式也是可能的。
对于提供对几何及/或所关注材料参数的互补敏感性的任何类型的X-射线及光学技术,光学计量数据及X-射线计量数据的组合拟合是有利的。在至少一几何参数在X-射线模型与光学模型之间共享或连结的情况下,情况尤为如此。
如在上文中所描述,通过卡方值的最小平方最小化来达成X-射线模型及光学模型与测量数据的拟合。然而,一般来说,可通过其它函数来达成X-射线及光学数据的拟合。举例来说,在当存在离群值时的状况下此可为有利的。示范性函数由P.J.Huber呈现于“Robust Statistics”(John Wiley and Sons(2004))中,其标的物以引用的方式并入本文中。
在框206中,存储在框205中所确定的至少一试样参数值。如图1中所说明,拟合分析模块157将试样参数值170传达到存储器180以供存储于存储器180中。
在另一方面中,模型建立及分析引擎150执行主成份分析(PCA)以将参数值的一或多个集合(例如,{u1,...,uM}及{v1,...,vL})变换为具有缩减的相关的参数值的一或多个集合(例如,{u′1,...,u′M}及{v′1,...,v′L})。PCA涉及通过线性坐标变换将可能相关参数的集合转换为线性不相关参数的集合。
在另一方面中,在检测中的试样包含场增强元素以提高参数对X-射线及光学计量的敏感性。场增强元素为用以增强与所关注参数相关联的测量敏感性以及打破参数相关的结构。
在又一方面中,模型建立及分析引擎150使用共同或多个目标来执行X-射线及光学分析,其中至少一共同参数在分析期间耦合。
在又一方面中,试样对至少两种不同测量技术的响应的模型的结构是基于所述模型与对应测量数据之间的拟合的质量来更改。在一些实例中,几何模型的结构是基于响应模型与对应测量数据之间的拟合的质量来更改。
图4说明适于通过本发明的模型建立及分析引擎150来实施的方法300。在一方面中,应认识到,可经由预编程的算法来进行方法300的数据处理块,所述预编程的算法是由计算系统130的一或多个处理器执行。尽管模型建立及分析引擎150的以下描述是在组合式计量系统100的情境下呈现,但本文中应认识到,组合式计量系统100的特定结构方面并不表示限制而应解释为仅说明性的。
在框301中,拟合分析模块157确定与方法200的块205的拟合分析相关联的成本函数的残值。在一实例中,拟合分析模块157在已完成反复最佳化之后基于一或多个最佳化成本函数的量值来确定成本函数的残值。
在框302中,拟合分析模块157确定残值是否超过预定阈值。以此方式,拟合分析模块157评估X-射线响应模型及光学响应模型与对应测量数据之间的拟合的质量。
在框303中,如果残值超过预定阈值,那么重新建构几何模型。举例来说,如果χ2的值超过预定阈值,那么模型建立及分析引擎150确定拟合质量为低的且需要重新建构基础测量模型以改进测量结果。以此方式,数据拟合的质量用以检查用以描述结构的参数模型的有效性。在一实例中,模型建立及分析引擎150通过计算与几何模型参数相关联的雅可比(Jacobian)矩阵来重新建构几何模型,且接着通过变换所述模型参数以最小化雅可比矩阵的最大值来重新建构模型。通过非限制性实例来提供上述方法。可预期用以达成模型重新建构的许多其它方法。
举例来说,在一些测量情况中,目标结构为在每一周期中通过剖面、膜厚度及材料性质而参数化的周期性格栅。可基于单独地使光学模型与光学数据拟合来达成适当拟合。然而,同时使光学模型及X-射线模型与光学测量数据及X-射线测量数据拟合揭露不可接受的拙劣拟合(即,最佳化成本函数的残值太高)。作为响应,可更改结构模型直到确定良好拟合。应注意,更改模型涉及改变目标结构的参数化(例如,增添或改变例如页尾、线边缘粗糙度的特征、改进介电性质,等)。以此方式,可使用由模型建立及分析引擎150提供的最佳化结果以改进结构模型直到其充分准确。
在另一实例中,在一些测量情况中,通过调整模型参数及机器参数(例如,波长、倾斜角、杂讯等)来达成光学模型与光学数据的适当拟合。虽然此操作在小制程窗内可很好地起作用,但常常发现以此方式操作的计量系统不能够跟踪实际制程变化。增添除基于光学的计量之外的基于X-射线的计量能力(例如,CD-SAXS、XRF等)常常揭露模型的缺陷。在此情况中,使用模型建立及分析引擎150来识别所述模型缺陷且更改结构模型的参数化。举例来说,简单的梯形模型可完全适于拟合光谱椭偏测量(SE)数据。然而,必须增添额外的形状参数以达成到SE与CD-SAXS数据两者的适当拟合。经改进的结构模型又归因于使用更具代表性的结构模型而改进了SE测量的能力。在一些实例中,使用包含光学测量数据与X-射线测量数据两者的组合式拟合分析来开发经最佳化的结构模型,且接着仅将测量技术中的一者(例如,具有较高产出率的光学计量技术)用于生产测量。
在框304中,如果残值不超过预定阈值,那么存储几何模型。
如图4中所说明,可反复地执行框301-303直到达成令人满意的结果(即,残值不超过预定阈值),此时存储模型以供未来使用。
图2说明用于通过两种不同测量技术来测量试样的特性的组合式计量工具100。在图2中所描绘的实施例中,计算系统130经配置为模型建立及分析引擎,所述模型建立及分析引擎经配置以实施如本文中所描述的模型建立及分析功能性。如图2中所展示,系统100可用以在安置于试样定位系统140上的试样101的检验区域102的范围中执行组合的光学散射测量及SAXS测量。在一些实施例中,检验区域102具有50微米或50微米以下的光斑大小。
在所描绘的实施例中,计量工具100包含基于液体金属的X-射线照射系统110及X-射线检测器116。X-射线照射系统110包含高亮度液体金属X-射线照射源。液体金属射流119是从液体金属容器111产生且被收集于液体金属收集器112中。液体金属循环系统(未图示)使由收集器112所收集的液体金属返回到液体金属容器111。液体金属射流119包含一或多种元素。通过非限制性实例,液体金属射流119包含铝、镓、铟、锡、铊及铋中的任一者。以此方式,液体金属射流119产生与其组成元素对应的X-射线。在一些实施例中,X-射线照射系统110经配置以产生在0.01纳米与1纳米之间的波长。用于产生高亮度液体金属X-射线照射的示范性方法及系统描述于在2011年4月19日颁布且让与给KLA-Tencor公司的第7,929,667号美国专利中,所述专利的全文以引用的方式并入本文中。
电子束源113(例如,电子枪)产生电子流118,所述电子流118通过电子光学器件114而被导引到液体金属射流119。合适的电子光学器件114包含电磁铁、永久磁铁或电磁铁及永久磁铁的组合以用于聚焦电子束并将所述电子束导引于液体金属射流处。液体金属射流119与电子流118的重合产生入射于试样101的检验区域102上的X-射线束117。X-射线光学器件115使入射的X-射线束117塑形并将其导引到试样101。在一些实例中,X-射线光学器件115使入射于试样101上的X-射线束单色化。在一些实例中,X-射线光学器件115使X-射线束117准直或聚焦到试样101的检验区域102上。在一些实施例中,X-射线光学器件115包含一或多个X-射线准直镜、X-射线孔隙、X-射线单色仪及X-射线束光阑、多层光学器件、折射光学器件、衍射光学器件(例如,波带片),或其任何组合。
X-射线检测器116收集从试样101散射的X-射线辐射125且产生输出信号126,所述输出信号126指示试样101的对入射的X-射线辐射敏感的性质。在试样定位系统140确定试样101的位置并定向所述试样101以产生角解析的经散射的X-射线的同时,由X-射线检测器116收集经散射的X-射线125。X-射线检测器116能够解析一或多个X-射线光子能并针对每一X-射线能量分量而产生指示试样性质的信号。在一些实施例中,X-射线检测器116包含CCD阵列、微通道板、光电二极管阵列、微带比例计数器、气体填充型比例计数器及闪烁器中的任一者。
组合式计量工具100还包含光学照射系统120及光学检测器123。光学照射系统120包含光学照射源121及光学照射光学器件122,所述光学照射光学器件122经配置以使入射的光学照射束127塑形并将其从光学照射源121导引到试样101的检验区域102。在一些实例中,入射的光学照射束127及入射的X-射线照射束117在空间上重叠于试样101的检验区域102处。
通过非限制性实例,光学照射源121包含一或多个弧光灯、激光器、发光二极管、激光驱动式等离子体源及激光驱动式超连续光谱源,或其任何组合。一般来说,可预期任何合适的光学照射源。在一些实施例中,光学照射源121经配置以产生具有在120纳米与2000纳米之间的波长分量的照射光。
照射光学器件122经配置以使入射的光学照射束127准直或聚焦到试样101的检验区域102。在一些实例中,照射光学器件122经配置以使入射的光学照射束127单色化。在一些实施例中,照射光学器件122包含一或多个光学镜、聚焦或散焦光学器件、光学波板、光学孔隙、光学单色仪及光束光阑,或其任何组合。
光学检测器123收集从试样101散射的光学辐射128且产生输出信号124,所述输出信号124指示试样101的对入射的光学辐射敏感的性质。在试样定位系统140确定试样101的位置并定向所述试样101以产生角解析的经散射的光学辐射的同时,由光学检测器123收集经散射的光学辐射128。光学检测器123能够解析一或多个光学光子能并针对每一光学有功分量而产生指示试样性质的信号。在一些实施例中,光学检测器123为CCD阵列、光电二极管阵列、CMOS检测器及光电倍增管中的任一者。
组合式计量工具100还包含计算系统130,所述计算系统130用以获取分别由光学检测器123及X-射线检测器116所产生的信号124及126且至少部分地基于所述所获取的信号来确定试样的性质。如图2中所说明,计算系统130通信地耦合到光学检测器123及X-射线检测器116。在一方面中,计算系统130接收与在由X-射线束117与光学照射束127两者所照射的检验区域102的范围中对试样101的同时临界尺寸测量相关联的测量数据124及126。
在一实例中,光学检测器123为光谱仪,且测量数据124包含试样的所测量的光谱响应(基于由光谱计所实施的一或多个取样过程)的指示。类似地,在一实例中,X-射线检测器116为X-射线光谱仪,且测量数据126包含试样的所测量的光谱响应(基于由X-射线光谱仪所实施的一或多个取样过程)的指示。
在另一实施例中,计算系统130经配置以使用实时临界尺寸化(RTCD)来实时存取模型参数,或其可存取预先计算的模型的库以用于确定与试样101相关联的至少一试样参数值的值。一般来说,可使用某一形式的CD引擎来评估试样的所指派的CD参数与相关联于所测量的试样的CD参数之间的差异。用于计算试样参数值的示范性方法及系统描述于在2010年11月2日颁布且让与给KLA-Tencor公司的第7,826,071号美国专利中,所述专利的全部内容以引用的方式并入本文中。
在另一方面中,组合式计量工具100包含经配置以实施如本文中所描述的射束控制功能性的计算系统(例如,计算系统130)。在图2中所描绘的实施例中,计算系统130经配置为射束控制器,所述射束控制器可操作以控制入射的X-射线束117及入射的光学照射束127的定位及光斑大小,使得X-射线束117及光学照射束127在任何时间点在空间上重叠于试样101的所要检验区域102处。
如图2中所说明,计算系统130通信地耦合到X-射线检测器116及光学检测器123。计算系统130经配置以从光学检测器123接收测量数据124及从X-射线检测器116接收测量数据126。在一实例中,测量数据124包含试样的所测量的光学响应的指示。基于所测量的光学响应在检测器123的表面上的分布,通过射束控制器130来确定光学照射束127在试样101上的入射的位置及区域。在一实例中,由计算系统130应用图案辨识技术以基于测量数据124来确定光学照射束127在试样101上的入射的位置及区域。类似地,测量数据126包含试样的所测量的X-射线响应的指示。基于所测量的X-射线响应在检测器116的表面上的分布,通过射束控制器130来确定X-射线束117在试样101上的入射的位置及区域。在一实例中,由计算系统130应用图案辨识技术以基于测量数据126来确定X-射线束117在试样101上的入射的位置及区域。作为响应,计算系统130产生命令信号137,所述命令信号137经传达到照射光学器件122以使入射的光学照射束127重定向及重塑形,使得入射的光学照射束127在空间上在试样101的所要检验区域102处重叠入射的X-射线束117。类似地,射束控制器130产生命令信号136,所述命令信号136经传达到电子光学器件114及X-射线光学器件115中的任一者以使入射的X-射线束117重定向及重塑形,使得入射的X-射线束117在空间上在试样101的所要检验区域102处重叠入射的光学照射束127。
在另一方面中,以数个不同的平面外定向来执行对特定检验区域的同时SAXS及光学散射测量。此情形提高了所测量的参数的精度及准确度,且通过扩充可用于分析的数据集的数目及多样性以包含多种大角度、平面外定向来缩减参数之间的相关。测量具有更深入、更多样化的数据集的试样参数还缩减了参数之间的相关且改进了测量准确度。
如图2中所说明,组合式计量工具100包含试样定位系统140,所述试样定位系统140经配置以既对准试样101又相对于光学散射计及小角度X-射线散射计而在大的平面外角定向范围中来定向试样101。换句话说,试样定位系统140经配置以使试样101在大的角范围中绕与试样101的表面呈平面内对准的一或多个旋转轴线而旋转。在一些实施例中,试样定位系统140经配置以使试样101在至少90度的范围内绕与试样101的表面呈平面内对准的一或多个旋转轴线而旋转。在一些实施例中,试样定位系统经配置以在至少60度的范围内绕与试样101的表面呈平面内对准的一或多个旋转轴线而旋转。在一些其它实施例中,试样定位系统经配置以在至少1度的范围内绕与试样101的表面呈平面内对准的一或多个旋转轴线而旋转。以此方式,通过计量系统100来收集在试样101的表面上的任何数目个位置上对试样101的角解析测量。在一实例中,计算系统130将指示试样101的所要位置的命令信号传达到试样定位系统140的运动控制器145。作为响应,运动控制器145产生到试样定位系统140的各种致动器的命令信号以达成试样101的所要定位。
通过非限制性实例,如图2中所说明,试样定位系统140包含边缘套爪卡盘141,以将试样101固定地附接到试样定位系统140。旋转致动器142经配置以使边缘套爪卡盘141及所附接的试样101相对于周边框架143而旋转。在所描绘的实施例中,旋转致动器142经配置以使试样101绕图2中所说明的坐标系统146的x轴而旋转。如图2中所描绘,试样101绕z轴的旋转为试样101的平面内旋转。绕x轴及y轴(未图示)的旋转为试样101的平面外旋转,其使试样的表面相对于计量系统100的计量元件而有效地倾斜。虽然未予以说明,但第二旋转致动器经配置以使试样101绕y轴旋转。线性致动器144经配置以使周边框架143在x方向上平移。另一线性致动器(未图示)经配置以使周边框架143在y方向上平移。以此方式,试样101的表面上的每一位置均可用于在平面外角位置的范围中进行测量。举例来说,在一实施例中,在相对于试样101的正常定向的-45度到+45度的范围内的若干角增量的范围中测量试样101的位置。
典型光学散射测量系统不使用能够在大的平面外角位置范围中(例如,大于+/-1度)来定向试样的试样定位系统。结果,由此些系统所收集的测量信息常常缺乏对某些参数的敏感性或不能够缩减参数之间的相关。然而,试样定位系统140的大的平面外角定位能力扩大了测量敏感性且缩减了参数之间的相关。举例来说,在正常定向中,SAXS能够解析特征的临界尺寸,但主要地对特征的侧壁角及高度不敏感。然而,通过收集在宽广的平面外角位置范围中的测量数据,可解析特征的侧壁角及高度。
应认识到,可通过单一计算机系统130或替代地多重计算机系统130来进行贯穿本发明所描述的各种步骤。此外,系统100的不同子系统(例如,试样定位系统140)可包含适于进行本文中所描述的步骤的至少一部分的计算机系统。因此,上述描述不应解释为对本发明的限制而是仅为说明。另外,所述一或多个计算系统130可经配置以执行本文中所描述的方法实施例中的任一者的任何其它步骤。
另外,计算机系统130可以所属领域中已知的任何方式通信地耦合到光学检测器123、X-射线检测器116、光学照射光学器件122及X-射线照射光学器件115。举例来说,所述一或多个计算系统130可耦合到分别与光学检测器123、X-射线检测器116、光学照射光学器件122及X-射线照射光学器件115相关联的计算系统。在另一实例中,可直接通过耦合到计算机系统130的单一计算机系统来控制光学检测器123、X-射线检测器116、光学照射光学器件122及X-射线照射光学器件115中的任一者。
组合式计量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体而从所述系统的子系统(例如,光学检测器123、X-射线检测器116、光学照射光学器件122及X-射线照射光学器件115,及其类似者)接收及/或获取数据或信息。以此方式,传输媒体可充当计算机系统130与系统100的其它子系统之间的数据链路。
组合式计量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体而从其它系统接收及/或获取数据或信息(例如,测量结果、模型化输入、模型化结果等)。以此方式,传输媒体可充当计算机系统130与其它系统(例如,存储器机载计量系统100、外部存储器或外部系统)之间的数据链路。举例来说,计算系统130可经配置以经由数据链路而从存储媒体(即,存储器132或存储器180)接收测量数据(例如,信号124及126)。举例来说,可将使用X-射线检测器116及光学检测器123中的任一者的光谱仪所获得的光谱结果存储于永久性或半永久性存储器装置(例如,存储器132或180)中。就此而言,可从机载存储器或从外部存储器系统汇入光谱结果。此外,计算机系统130可经由传输媒体将数据发送到其它系统。举例来说,可将通过计算机系统130所确定的试样参数值170存储于永久性或半永久性存储器装置(例如,存储器180)中。就此而言,可将测量结果汇出到另一系统。
计算系统130可包含(但不限于)个人计算机系统、主机计算机系统、工作站、图像计算机、并行处理器或所属领域中已知的任何其它装置。一般来说,可将术语“计算系统”广泛地定义为涵盖具有一或多个处理器的任何装置,所述一或多个处理器执行来自存储器媒体的指令。
可经由传输媒体(例如,导线、线缆或无线传输链路)来传输实施例如本文中所描述的那些方法等方法的程序指令134。举例来说,如图2中所说明,存储于存储器132中的程序指令经由总线133而传输到处理器131。程序指令134存储于计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘,或磁带。
如参看图2所描述,组合式计量系统包含SAXS系统及光学散射计系统。然而,一般来说,可在本专利文件的范围内预期基于以下两者的组合的组合分析:不同的光学计量技术及不同的基于X-射线的计量技术。此外,可在一或多个个别计量工具、一或多个组合式计量工具或其任何组合(无限制)上执行基于参与如本文中所描述的组合分析的任何光学及X-射线计量技术的测量。
通过非限制性实例,在本专利文件的范围内,以下光学计量技术中的任一者可与以下X-射线计量技术中的任一者组合以用于进行如本文中所描述的分析,所述光学计量技术包含:光谱椭偏测量(包含缪勒矩阵椭偏测量)、缪勒矩阵光谱椭偏测量、光谱反射测量、光谱散射测量、散射测量叠对、射束剖面反射测量(角及偏振解析型)、射束剖面椭偏测量、单一或多重离散波长椭偏测量、多重入射角椭偏测量及光谱偏振测量,所述X-射线计量技术包含传输小角X-射线散射(TSAXS)、掠入射小角X-射线散射(GISAXS)、广角X-射线散射(WAXS)、X-射线反射率(XRR)、X-射线衍射(XRD)、掠入射X-射线衍射(GIXRD)、高分辨率X-射线衍射(HRXRD)、X-射线光电子光谱学(XPS)、X-射线荧光(XRF)、掠入射X-射线荧光(GIXRF)、X-射线断层摄影法及X-射线椭偏测量。
如本文中所描述的以组合方式加以应用的X-射线计量技术及光学计量技术可用以确定半导体结构的特性。示范性结构包含(但不限于)鳍片式FET、低维度结构(例如,纳米导线或石墨烯)、子10nm结构、薄膜、微影结构、硅穿孔(TSV)、存储器结构(例如,DRAM、DRAM4F2、快闪存储器及高纵横比存储器结构)。示范性结构特性包含(但不限于):几何参数,例如线边缘粗糙度、线宽度粗糙度、微孔大小、微孔密度、侧壁角、剖面、膜厚度、临界尺寸、间距;及材料参数,例如电子密度、裸片结构、形态学、定向、应力及应变。
通过非限制性实例,小角X-射线散射(SAXS)能够测量小于10纳米的结构的几何参数(例如,间距、临界尺寸(CD)、侧壁角(SWA)、线宽度粗糙度(LWR)及线边缘粗糙度(LER))。另外,具有高能性质的X-射线辐射穿透光学不透明薄膜、内埋结构、高纵横比结构及包含许多薄膜层的装置。通过非限制性实例,光学散射测量技术能够测量许多不同结构的间距、CD、薄膜厚度、组合物及色散。
在图5中所说明的另一非限制性实例中,高k金属栅极晶体管160的所关注参数是基于X-射线及光学测量的组合分析来测量。在所描绘的实例中,使用XRF来测量硅衬底161的源极162及漏极163的SiGe浓度,同时可通过光学技术(例如,光谱椭偏测量)来测量金属栅极电极165的临界尺寸及栅极介电质164的厚度。
在又一非限制性实例中,使用XRF来测量膜(例如,HfO2、极厚膜及电子密度非常类似于邻近膜的膜)的厚度。将此些厚度前馈以打破在光学测量中的参数相关。
在图5中所说明的又一非限制性实例中,使用XRF来测量先进结构(例如,鳍片式FET)中的Ge剂量。对所述结构的体积进行光学测量。基于剂量及体积测量来计算Ge浓度。
在又一非限制性实例中,存储器结构包含TiN/Al2O3/TiN层布置。使用XRR来测量TiN层的厚度,但所述XRR对Al2O3层是相对不敏感的。光谱椭偏测量在区分顶部TiN层与底部TiN层方面具有难度。组合的XRR及光谱椭偏测量可测量所有三个层的厚度。
在又一非限制性实例中,磁性多层(例如,自旋阀)具有标称SiO2/NiO/Co/Cu/Co分层结构。使用XRR来测量NiO层的厚度,但XRR归因于Co及Cu的类似电子密度而不能区别Co/Cu/Co层。GIXRD清楚地解析Co、Ni及Cu,且元素峰值的相对累积强度确定Cu及Co层的厚度。由于Co/Cu/Co层的总厚度从XRR已知,所以可将Cu厚度确定为好于0.1nm精度。还可使用组合的XRR、GIXRF及光学技术(例如,光谱椭偏测量)来测量结构的所有厚度及临界尺寸。
在又一非限制性实例中,线边缘粗糙度(LER)及线宽度粗糙度(LWR)不能通过光学技术来容易地测量,但可使用小角X-射线散射来测量。可通过光谱椭偏测量来测量结构(例如,光阻格栅及嵌段共聚物)的侧壁角。
在又一非限制性实例中,使用XRD来测量III-V材料的组合物及应力。在光学测量(例如,光谱椭偏测量)的分析中前馈此些参数,以确定厚度及临界尺寸。
在一些实施例中,将如本文中所描述的组合的X-射线及光学分析实施为制造过程工具的一部分。制造过程工具的实例包含(但不限于)微影曝光工具、膜沉积工具、植入工具及蚀刻工具。以此方式,使用组合的X-射线及光学分析的结果来控制制造过程。在一实例中,将从一或多个目标收集的X-射线及光学测量数据发送到过程制造工具。如本文中所描述来分析X-射线及光学测量数据且使用结果来调整制造过程工具的操作。
如本文中所描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中部临界尺寸、顶部临界尺寸、侧壁角、格栅高度等)、在任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离),及在两个或两个以上结构之间的位移(例如,在叠对的格栅结构之间的叠对位移,等)。结构可包含三维结构、经图案化的结构、叠对结构等。
如本文中所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中所描述,术语“计量系统”包含至少部分地用以在任何方面(包含临界尺寸应用及叠对计量应用)中将试样特征化的任何系统。然而,此些专门术语并不限制如本文中所描述的术语“计量系统”的范围。另外,计量系统100可经配置以用于测量经图案化的晶片及/或未经图案化的晶片。计量系统可配置为LED检测工具、太阳能检测工具、边缘检测工具、背面检测工具、宏检测工具或多模检测工具(同时涉及来自一或多个平台的数据)及受益于基于临界尺寸数据对系统参数的校准的任何其它计量或检测工具。
本文中针对可用于处理试样的半导体处理系统(例如,检测系统或微影系统)而描述了各种实施例。术语“试样”在本文中用以指代晶片、比例光罩或可通过所属领域中已知的手段加以处理(例如,针对缺陷而加以印刷或检测)的任何其它试样。
如本文中所使用,术语“晶片”通常指代由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。可通常在半导体制造设施中找到及/或处理此些衬底。在一些状况下,晶片可仅包含衬底(即,裸晶片)。或者,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可“经图案化”或“未经图案化”。举例来说,晶片可包含具有可重复的图案特征的多个裸片。
“比例光罩”可为在比例光罩制造过程的任何阶段的比例光罩,或为可被释放或可未被释放以供在半导体制造设施中使用的成品比例光罩。通常将比例光罩或“光罩”定义为其上形成有实质上不透明区且以一图案配置的实质上透明衬底。所述衬底可包含(例如)例如非晶SiO2等玻璃材料。可在微影制程的曝光步骤期间将比例光罩安置于覆盖有抗蚀剂的晶片上方使得比例光罩上的图案可转印到抗蚀剂。
形成于晶片上的一或多个层可经图案化或未经图案化。举例来说,晶片可包含多个裸片,其中每一裸片具有可重复的图案特征。此些材料层的形成及处理可最终产生成品装置。可将许多不同类型的装置形成于晶片上,且如本文中所使用的术语晶片意欲涵盖其上正制造有所属领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可以硬件、软件、固件或其任何组合来实施。如果以软件实施,那么所述功能可作为一或多个指令或代码存储于计算机可读媒体上或经由计算机可读媒体传输。计算机可读媒体包含计算机存储媒体与通信媒体两者,通信媒体包含促进计算机程序从一处转移到另一处的任何媒体。存储媒体可为可由通用或特殊目的计算机存取的任何可用媒体。通过实例且非限制,此些计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储器、磁盘存储器或其它磁性存储装置,或可用以载送或存储呈指令或数据结构的形式的所要程序代码构件且可由通用或特殊目的计算机或通用或特殊目的处理器存取的任何其它媒体。而且,将任何连接恰当地称为计算机可读媒体。举例来说,如果使用同轴电缆、光纤缆线、双绞线、数字订户线(DSL)或无线技术(例如,红外线、无线电及微波)而从网站、服务器或其它远程源传输指令,那么同轴电缆、光纤缆线、双绞线、DSL或无线技术(例如,红外线、无线电及微波)包含于媒体的定义中。如本文中所使用,磁盘及光盘包含压缩光盘(CD)、激光光盘、光学光盘、数字多功能光盘(DVD)、软性磁盘及蓝光光盘,其中磁盘通常以磁性方式复制数据,而光盘通过激光以光学方式复制数据。以上各物的组合还应包含于计算机可读媒体的范围内。
虽然上文已出于指导目的而描述了某些特定实施例,但本专利文件的教示具有一般适用性且并不限于上文所描述的特定实施例。因此,可在不脱离如权利要求书中所阐述的本发明的范围的情况下实践所描述的实施例的各种特征的各种修改、调适及组合。

Claims (16)

1.一种模型建立及分析引擎,其包括:
几何模型建立模块,其经配置以产生试样的结构的几何模型;
光学响应函数建立模块,其经配置以至少部分地基于所述几何模型而产生所述试样的所述结构对入射的光学辐射的响应的光学响应模型;
X-射线响应函数建立模块,其经配置以至少部分地基于所述几何模型而产生所述试样的所述结构对入射的X-射线辐射的响应的X-射线响应模型,其中所述光学响应模型与所述X-射线响应模型两者包含来自所述几何模型的至少一共同几何参数;及
拟合分析模块,其经配置以进行以下步骤:
接收第一量的测量数据,所述测量数据指示响应于入射于所述试样上的光学照射束而从所述试样检测的光学辐射的量;
接收第二量的测量数据,所述测量数据指示响应于入射于所述试样上的X-射线照射束而从所述试样检测的X-射线辐射的量;
基于所述光学响应模型与所述第一量的测量数据的拟合及所述X-射线响应模型与所述第二量的测量数据的拟合来确定至少一试样参数值;及
输出所述至少一试样参数值以供存储于存储器中。
2.根据权利要求1所述的模型建立及分析引擎,其中所述至少一共同几何参数的值是基于所述X-射线响应模型与所述第二量的测量数据的所述拟合而确定,且所述所确定的值在所述光学响应模型与所述第一量的测量数据的所述拟合中被视为常量以确定所述至少一试样参数值。
3.根据权利要求1所述的模型建立及分析引擎,
其中所述至少一共同几何参数在并行拟合分析中被视为全局参数,所述并行拟合分析包含所述光学响应模型与所述第一量的测量数据的所述拟合及所述X-射线响应模型与所述第二量的测量数据的所述拟合两者。
4.根据权利要求2所述的模型建立及分析引擎,其中所述光学响应模型与所述第一量的测量数据的所述拟合涉及最小化成本函数。
5.根据权利要求3所述的模型建立及分析引擎,其中所述并行拟合分析涉及最小化组合的成本函数。
6.根据权利要求1所述的模型建立及分析引擎,其中所述拟合分析模块经进一步配置以进行以下操作:
确定成本函数的残值,所述成本函数相关联于所述第一量的测量数据与所述光学响应模型的所述拟合及所述第二量的测量数据与所述X-射线响应模型的所述拟合;
确定所述残值是否超过预定阈值;
如果所述残值超过所述预定阈值,那么重新建构所述试样的所述结构的所述几何模型;及
如果所述残值未超过所述预定阈值,那么存储所述试样的所述结构的所述几何模型。
7.根据权利要求1所述的模型建立及分析引擎,其中所述光学响应模型及所述X-射线响应模型共享所述几何模型的所有所述几何参数。
8.根据权利要求1所述的模型建立及分析引擎,其中所述至少一共同几何参数为以下各者中的任一者:线边缘粗糙度、线宽度粗糙度、微孔大小、微孔密度、侧壁角、剖面、膜厚度、临界尺寸及间距。
9.根据权利要求1所述的模型建立及分析引擎,其中所述模型建立及分析引擎为组合式X-射线/光学计量工具的计算系统。
10.根据权利要求9所述的模型建立及分析引擎,其中所述组合式X-射线/光学计量工具包括:
基于液体金属的X-射线照射系统,其包含液体金属X-射线照射源及X-射线照射光学器件,所述X-射线照射光学器件经配置以使入射的X-射线束塑形并将所述入射的X-射线束导引到试样的检验区域;
X-射线检测器,其经配置以响应于所述入射的X-射线束而检测来自所述试样的X-射线辐射的所述量;
光学照射系统,其包含光学照射源及光学照射光学器件,所述光学照射光学器件经配置以与所述入射的X-射线束同时地进行使入射的光学照射束塑形并将所述入射的光学照射束导引到所述试样的所述检验区域,其中所述入射的光学照射束及所述入射的X-射线束在空间上重叠于所述试样的所述检验区域处;及
光学检测器,其经配置以响应于所述入射的光学照射束而检测来自所述试样的光学辐射的所述量。
11.一种模型建立及分析引擎的方法,其包括:
产生试样的结构的几何模型;
至少部分地基于所述几何模型而产生光学响应模型及X-射线响应模型,其中所述光学响应模型与所述X-射线响应模型两者包含来自所述几何模型的至少一共同几何参数;
接收第一量的测量数据,所述第一量的测量数据是基于响应于入射于所述试样上的光学照射束而从所述试样检测的光学辐射的量;
接收第二量的测量数据,所述第二量的测量数据是基于响应于入射于所述试样上的X-射线照射束而从所述试样检测的X-射线辐射的量;
基于所述第一量的测量数据与所述光学响应模型的拟合分析及所述第二量的测量数据与所述X-射线响应模型的拟合分析来确定至少一试样参数值;及
存储所述至少一试样参数值。
12.根据权利要求11所述的模型建立及分析引擎的方法,其进一步包括:
基于所述第二量的测量数据的所述拟合分析来确定所述至少共同几何参数的值,且其中所述至少一共同几何参数的所述所确定的值在所述第一量的测量数据的所述拟合分析中被视为常量。
13.根据权利要求11所述的模型建立及分析引擎的方法,其进一步包括:
基于所述第一量的测量数据与所述光学响应模型及所述第二量的测量数据与所述X-射线响应模型的并行拟合分析来确定所述至少一共同几何参数的值。
14.根据权利要求11所述的模型建立及分析引擎的方法,其进一步包括:
确定成本函数的残值,所述成本函数相关联于所述第一量的测量数据与所述光学响应模型的所述拟合分析及所述第二量的测量数据与所述X-射线响应模型的所述拟合分析;
确定所述残值是否超过预定阈值;
如果所述残值超过所述预定阈值,那么重新建构所述试样的所述结构的所述几何模型;及
如果所述残值未超过所述预定阈值,那么存储所述试样的所述结构的所述几何模型。
15.根据权利要求11所述的模型建立及分析引擎的方法,其中所述至少一共同几何参数为以下各者中的任一者:线边缘粗糙度、线宽度粗糙度、微孔大小、微孔密度、侧壁角、剖面、膜厚度、临界尺寸及间距。
16.根据权利要求13所述的模型建立及分析引擎的方法,其中所述并行拟合分析涉及最小化组合的成本函数。
CN201380044542.2A 2012-07-10 2013-07-08 用于组合式x‑射线及光学计量的模型建立及分析引擎 Active CN104583872B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261669901P 2012-07-10 2012-07-10
US61/669,901 2012-07-10
US13/935,275 US10013518B2 (en) 2012-07-10 2013-07-03 Model building and analysis engine for combined X-ray and optical metrology
US13/935,275 2013-07-03
PCT/US2013/049602 WO2014011565A1 (en) 2012-07-10 2013-07-08 Model building and analysis engine for combined x-ray and optical metrology

Publications (2)

Publication Number Publication Date
CN104583872A CN104583872A (zh) 2015-04-29
CN104583872B true CN104583872B (zh) 2017-04-26

Family

ID=49914703

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380044542.2A Active CN104583872B (zh) 2012-07-10 2013-07-08 用于组合式x‑射线及光学计量的模型建立及分析引擎

Country Status (8)

Country Link
US (1) US10013518B2 (zh)
JP (1) JP6184490B2 (zh)
KR (1) KR102055966B1 (zh)
CN (1) CN104583872B (zh)
DE (1) DE112013003491T5 (zh)
IL (1) IL236571B (zh)
TW (1) TWI611161B (zh)
WO (1) WO2014011565A1 (zh)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
WO2015090773A1 (en) 2013-12-19 2015-06-25 Asml Netherlands B.V. Inspection method and apparatus and lithographic apparatus
WO2015120070A1 (en) 2014-02-05 2015-08-13 Kla-Tencor Corporation Grazing order metrology
DE102014102684A1 (de) * 2014-02-28 2015-09-03 Helmut Fischer GmbH Institut für Elektronik und Messtechnik Verfahren zur Messung eines Messobjektes mittels Röntgenfluoreszenz
US9594035B2 (en) * 2014-04-25 2017-03-14 Revera, Incorporated Silicon germanium thickness and composition determination using combined XPS and XRF technologies
KR101901770B1 (ko) * 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
WO2015200112A1 (en) * 2014-06-24 2015-12-30 Revera, Incorporated Feed-forward of multi-layer and multi-process information using xps and xrf technologies
US10012606B1 (en) 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10324050B2 (en) * 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10030965B2 (en) 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
NL2017505A (en) 2015-10-09 2017-04-11 Asml Netherlands Bv Method and apparatus for inspection and metrology
KR102152301B1 (ko) 2015-12-04 2020-09-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 데이터로부터의 통계적 계층 재구성
US20200025554A1 (en) * 2015-12-08 2020-01-23 Kla-Tencor Corporation System, method and computer program product for fast automatic determination of signals for efficient metrology
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
KR102190305B1 (ko) * 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
JP6999268B2 (ja) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
CN109073902B (zh) 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝
CN107589135B (zh) * 2016-07-08 2019-10-01 中国科学院化学研究所 一种描述散射体形状的方法和系统
US10458912B2 (en) * 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
CN110100174B (zh) * 2016-10-20 2022-01-18 科磊股份有限公司 用于图案化晶片特性化的混合度量
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
CN110325921B (zh) * 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
EP3361315A1 (en) * 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
CN106950237B (zh) * 2017-03-10 2019-10-15 西安交通大学 一种基于峰间夹角比对的扫描式劳厄衍射图谱分析方法
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
CN110799903B (zh) 2017-06-20 2021-11-16 Asml荷兰有限公司 确定边缘粗糙度参数
US11333621B2 (en) * 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
CN111066096A (zh) 2017-09-01 2020-04-24 Asml荷兰有限公司 光学系统、量测装置及相关联的方法
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
WO2019129465A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
US10895541B2 (en) * 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191169A (ja) 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
WO2020008420A2 (en) 2018-07-05 2020-01-09 Bruker Jv Israel Ltd. Small-angle x-ray scatterometry
US10677586B2 (en) * 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
EP3614813A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. High harmonic generation radiation source
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US11328964B2 (en) * 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
WO2020126248A1 (en) 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
EP3699688A1 (en) 2019-02-19 2020-08-26 ASML Netherlands B.V. Methods and apparatus for metrology
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
WO2021043516A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Assembly for collimating broadband radiation
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
US20220326152A1 (en) 2019-09-05 2022-10-13 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP4045973A1 (en) 2019-10-17 2022-08-24 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
US20220397834A1 (en) 2019-11-05 2022-12-15 Asml Netherlands B.V. Measuring method and measuring apparatus
WO2021104631A1 (de) * 2019-11-28 2021-06-03 Ev Group E. Thallner Gmbh Vorrichtung und verfahren zur vermessung eines substrats
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
KR20220103772A (ko) 2019-12-18 2022-07-22 에이에스엠엘 네델란즈 비.브이. 통합 회로 및 관련 장치의 제조 시에 측정값을 보정하기 위한 방법
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) * 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
CN111783292B (zh) * 2020-06-23 2023-12-12 上海联影医疗科技股份有限公司 X射线成像设备的建模方法、装置、设备及存储介质
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
CN116134972A (zh) 2020-07-21 2023-05-16 Asml荷兰有限公司 照射源和相关联的量测设备
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
US20240004312A1 (en) 2020-11-30 2024-01-04 Asml Netherlands B.V. Metrology apparatus based on high harmonic generation and associated method
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
WO2022174991A1 (en) 2021-02-17 2022-08-25 Asml Netherlands B.V. Assembly for separating radiation in the far field
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
EP4330768A1 (en) 2021-04-26 2024-03-06 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
KR20240016285A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 계측 측정 방법 및 장치
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
KR20240007276A (ko) 2021-06-14 2024-01-16 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 연관된 방법, 장치
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
JP2023012227A (ja) 2021-07-13 2023-01-25 キオクシア株式会社 形状計測方法、形状計測装置、及びプログラム
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
DE102021210869A1 (de) 2021-09-29 2022-09-15 Carl Zeiss Smt Gmbh Verfahren zur Überprüfung von Masken für die Projektionslithographie
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
CN114295073B (zh) * 2021-12-09 2023-08-08 江苏互旦网络科技有限公司 一种搜索引擎自动优化的系统
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
CN116300696B (zh) * 2023-05-17 2023-11-14 天津岳东天合科技有限公司 一种基于镀锌工艺优化的机加工控制方法及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1550214A (zh) * 2003-04-17 2004-12-01 GEҽ��ϵͳ���������޹�˾ X-射线计算机断层系统和束硬化后处理方法
CN1947062A (zh) * 2004-02-23 2007-04-11 Asml荷兰有限公司 基于散射测量数据确定工艺参数值的方法
CN101206112A (zh) * 2007-12-20 2008-06-25 中国科学院长春光学精密机械与物理研究所 一种纳米级多层膜结构的测量方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6031892A (en) 1989-12-05 2000-02-29 University Of Massachusetts Medical Center System for quantitative radiographic imaging
JP3259373B2 (ja) 1992-11-27 2002-02-25 株式会社日立製作所 露光方法及び露光装置
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5740226A (en) * 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
US5923720A (en) * 1997-06-17 1999-07-13 Molecular Metrology, Inc. Angle dispersive x-ray spectrometer
DE19746096A1 (de) 1997-10-17 1999-05-06 Siemens Ag Röntgeneinrichtung
US6192103B1 (en) * 1999-06-03 2001-02-20 Bede Scientific, Inc. Fitting of X-ray scattering data using evolutionary algorithms
FR2798551B1 (fr) 1999-09-14 2001-11-30 Eppra Dispositif de radiologie comportant des moyens d'agrandissement d'images perfectionnees
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6512814B2 (en) * 2001-04-12 2003-01-28 Jordan Valley Applied Radiation X-ray reflectometer
US7089075B2 (en) * 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
GB0116825D0 (en) * 2001-07-10 2001-08-29 Koninl Philips Electronics Nv Determination of material parameters
US6978040B2 (en) 2001-12-19 2005-12-20 Canon Kabushiki Kaisha Optical recovery of radiographic geometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) * 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
EP1495312A4 (en) 2002-04-17 2008-12-17 Ebara Corp APPARATUS AND METHOD FOR SAMPLE SURFACE INSPECTION
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
JP2004151045A (ja) 2002-11-01 2004-05-27 Hitachi High-Technologies Corp 電子顕微鏡またはx線分析装置及び試料の分析方法
JP4388270B2 (ja) 2002-11-18 2009-12-24 株式会社日立ハイテクノロジーズ 表面検査方法及び表面検査装置
US7072442B1 (en) * 2002-11-20 2006-07-04 Kla-Tencor Technologies Corporation X-ray metrology using a transmissive x-ray optical element
DE102005003001B4 (de) 2005-01-21 2009-10-08 Qimonda Ag Verfahren zur Korrektur des optischen Proximity-Effektes
US7478019B2 (en) * 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7103142B1 (en) * 2005-02-24 2006-09-05 Jordan Valley Applied Radiation Ltd. Material analysis using multiple X-ray reflectometry models
US7456399B1 (en) 2006-03-30 2008-11-25 Revera Incorporated Calibrating multiple photoelectron spectroscopy systems
US8139232B2 (en) * 2006-07-27 2012-03-20 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
TWI416096B (zh) 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8705694B2 (en) 2009-11-11 2014-04-22 Physical Optics Corporation X-ray imaging system and method
US20130124141A1 (en) * 2010-02-25 2013-05-16 Nova Measuring Instruments Ltd. Method and system for measuring in patterned structures
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1550214A (zh) * 2003-04-17 2004-12-01 GEҽ��ϵͳ���������޹�˾ X-射线计算机断层系统和束硬化后处理方法
CN1947062A (zh) * 2004-02-23 2007-04-11 Asml荷兰有限公司 基于散射测量数据确定工艺参数值的方法
CN101206112A (zh) * 2007-12-20 2008-06-25 中国科学院长春光学精密机械与物理研究所 一种纳米级多层膜结构的测量方法

Also Published As

Publication number Publication date
IL236571B (en) 2020-11-30
TWI611161B (zh) 2018-01-11
CN104583872A (zh) 2015-04-29
DE112013003491T5 (de) 2015-03-26
IL236571A0 (en) 2015-02-26
US10013518B2 (en) 2018-07-03
US20140019097A1 (en) 2014-01-16
KR20150036355A (ko) 2015-04-07
KR102055966B1 (ko) 2019-12-13
WO2014011565A1 (en) 2014-01-16
TW201411089A (zh) 2014-03-16
JP6184490B2 (ja) 2017-08-23
JP2015531056A (ja) 2015-10-29

Similar Documents

Publication Publication Date Title
CN104583872B (zh) 用于组合式x‑射线及光学计量的模型建立及分析引擎
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
US9535018B2 (en) Combined x-ray and optical metrology
CN108401437B (zh) 用于高高宽比结构的x光散射测量计量
US9494535B2 (en) Scatterometry-based imaging and critical dimension metrology
US10801975B2 (en) Metrology tool with combined X-ray and optical scatterometers
KR102512180B1 (ko) 계산 효율적인 x 선 기반의 오버레이 측정
US9778213B2 (en) Metrology tool with combined XRF and SAXS capabilities
KR20190131129A (ko) 투과 소각 x 선 산란 계측 시스템
CN113677983A (zh) 结合x射线计量数据集合以改进参数评估的方法及系统
TW201350839A (zh) 具有組合x光及光學散射計之計量工具

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant