KR102055966B1 - 조합된 x-레이 및 광학 계측을 위한 모델 구축 및 분석 엔진, 방법, 그리고 컴퓨터 판독 가능한 매체 - Google Patents

조합된 x-레이 및 광학 계측을 위한 모델 구축 및 분석 엔진, 방법, 그리고 컴퓨터 판독 가능한 매체 Download PDF

Info

Publication number
KR102055966B1
KR102055966B1 KR1020157002902A KR20157002902A KR102055966B1 KR 102055966 B1 KR102055966 B1 KR 102055966B1 KR 1020157002902 A KR1020157002902 A KR 1020157002902A KR 20157002902 A KR20157002902 A KR 20157002902A KR 102055966 B1 KR102055966 B1 KR 102055966B1
Authority
KR
South Korea
Prior art keywords
model
ray
optical
sample
measurement data
Prior art date
Application number
KR1020157002902A
Other languages
English (en)
Other versions
KR20150036355A (ko
Inventor
마이클 베이크만
안드레이 쉬쉐그로브
키앙 자오
키앙 자오
젱쿠안 탄
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20150036355A publication Critical patent/KR20150036355A/ko
Application granted granted Critical
Publication of KR102055966B1 publication Critical patent/KR102055966B1/ko

Links

Images

Classifications

    • G06F17/5068
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

견본의 구조적 파라미터는 조합된 분석에서 서로 다른 측정 기술에 의해 수집되는 측정결과를 견본의 응답 모델에 피팅함으로써 결정된다. 적어도 2개의 서로 다른 측정 기술에 대한 견본 응답의 모델은 적어도 하나의 공통 기하학 파라미터를 공유한다. 일부 실시예들에서, 모델 구축 및 분석 엔진은 적어도 하나의 공통 파라미터가 분석 동안 커플링되는 x-레이 및 광학 분석을 수행한다. 측정 데이터를 이용한 응답 모델의 피팅은 순차적으로, 병렬로 또는 순차적 및 병렬 분석의 조합에 의해 이루어질 수 있다. 추가적인 양상에서, 응답 모델의 구조는 모델과 대응하는 측정 데이터 간의 피트의 품질에 기초하여 변경된다. 예를 들어, 견본의 기하학 모델은 응답 모델과 대응하는 측정 데이터 간의 피트에 기초하여 재구성된다.

Description

조합된 x-레이 및 광학 계측을 위한 모델 구축 및 분석 엔진, 방법, 그리고 컴퓨터 판독 가능한 매체{MODEL BUILDING AND ANALYSIS ENGINE, METHOD, AND COMPUTER-READABLE MEDIUM FOR COMBINED X-RAY AND OPTICAL METROLOGY}
본 특허출원은 2012년 7월 10일에 출원된 "Method Building And Analysis Engine For Measuring Structure And Film Parameters Using Optical And X-ray Metrology Technologies"란 명칭의 미국 가 특허출원 일련번호 제61/669,901호로부터 35 U.S.C. §119 하에서의 우선권을 주장하며, 이 출원의 청구 대상은 그 전체가 인용에 의해 본원에 포함된다.
설명하는 실시예들은 계측 시스템 및 방법에 관한 것이며, 더 구체적으로 개선된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
논리 및 메모리 디바이스와 같은 반도체 디바이스는 전형적으로 견본(specimen)에 적용되는 일련의 처리 단계들에서 제조된다. 반도체 디바이스의 다양한 피처(feature) 및 다수의 구조 레벨은 이들 처리 단계에 의해 형성된다. 예를 들어, 그 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예들은 화학-기계적 연마(chemical-mechanical polishing), 에칭, 적층 및 이온 주입(ion implantation)을 포함하지만 그에 제한되는 것은 아니다. 다수의 반도체 디바이스가 단일 반도체 웨이퍼 상에 제조될 수 있으며 그 후에 각각의 반도체 디바이스로 분리될 수 있다.
계측 프로세스는 웨이퍼 상의 결함을 검출하여 수율(yield)을 향상시키기 위해 반도체 제조 프로세스 동안 다양한 단계들에서 이용된다. 광학 계측 기술은 샘플 파괴(destruction)의 위험 없이 높은 스루풋(throughput)의 가능성을 제공한다. 스캐터로미터법(scatterometry) 및 반사 측정법(reflectometry) 구현 및 관련된 분석 알고리즘을 포함하는 다수의 광학 계측 기반 기술은 나노스케일(nanoscale) 구조의 임계 치수(critical dimensions), 막 두께(film thicknesses), 조성 및 기타 파라미터를 특성화하기 위해 공통으로 이용된다.
전통적으로, 스캐터로미터법 측정은 박막 및/또는 반복된 규칙적 구조로 이루어지는 타겟 상에서 수행된다. 디바이스 제조 동안, 이들 막 및 규치적 구조는 전형적으로 실제 디바이스 기하학 및 재료 구조 또는 중간 설계를 나타낸다. 디바이스(예를 들어, 논리 및 메모리 디바이스)가 더 작은 나노미터 스케일(nanometer-scale) 치수로 이동함에 따라, 특성화가 더 어려워진다. 다양한 물리적 특성(physical properties)을 가지는 복잡한 3-차원 기하학 및 재료들을 통합하는 디바이스는 특성화 어려움에 기여한다. 예를 들어, 현대의 메모리 구조들은 종종 광학 방사선이 바닥 층들로 관통하기 어렵게 하는, 고-애스펙트(high-aspect)비인 3차원 구조이다. 추가로, 복잡한 구조(예를 들어, FinFET)를 특성화하기 위해 요구되는 파라미터의 수가 증가하는 것은, 파라미터 상관관계를 증가시키게 된다. 그 결과, 타겟을 특성화하는 파라미터는 종종 이용 가능한 측정결과와 신뢰성있게 디커플링될(decoupled) 수 없다. 다른 예에서, 불투명한 하이-k 재료는 현대의 반도체 구조에 점진적으로 사용되고 있다. 광학 방사선은 종종 이들 재료로 구성되는 층을 관통할 수 없다. 그 결과, 엘립소미터(ellipsometers) 또는 반사율계(reflectometers)과 같은 박막 스캐터로미터법 툴에 의한 측정이 점진적으로 도전과제가 되고 있다.
이들 도전과제에 응답하여, 더 복잡한 광학 툴이 개발되어왔다. 예를 들어, 조명 각도가 다수이고, 조명 파장의 범위가 더 좁고 넓으며, 반사 신호로부터 보다 완전한 정보를 획득하는(예를 들어, 보다 전통적인 반사율 또는 타원해석 신호에 더하여 다수의 뮐러 행렬 요소를 측정하는) 툴이 개발되어왔다. 그러나, 이들 방법은 많은 진보된 타겟의 측정(예를 들어, 복잡한 3D 구조, 10 nm 미만의 구조, 불투명 재료를 사용하는 구조) 및 측정 적용(예를 들어, 라인 엣지 거칠기 및 라인 폭 거칠기 측정결과)과 관련되는 근본적인 도전과제를 신뢰성있게 극복하지 못했다.
이들 도전과제에 대한 다른 응답은 다수의 측정 기술들을 통합하는 계측 툴의 개발이었다. 일 예에서, 그레이징 입사 x-레이 반사 측정법을 x-레이 형광성(x-ray fluorescence: XRF)과 조합하는 박막 분석 시스템과 함께, 전자 마이크로프로브(microprobe) 분석이, 2004년 11월 9일에 허여되어 KLA-Tencor Corporation에 양도된 미국 특허번호 제6,816,570호[발명의 명칭: "Multi-technique thin film analysis tool"]에 개시되어 있으며, 이 특허의 청구 대상은 본원에 전체가 인용에 의해 포함된다.
차후의 계측 적용은 점진적으로 소형의 분해능(resolution) 요건들, 멀티-파라미터(multi-parameter) 상관관계, 점진적으로 복잡한 기하학 구조, 및 불투명 재료의 증가하는 이용으로 인한 계측에 대한 도전과제를 제시한다. 견본을 특성화하기 위한 다수의 측정 기술들의 이용이 유망하다. 그러나, 다수의 측정 기술들에 의해 생성되는 측정 데이터의 분석에서의 개선이 요망된다.
서로 다른 반도체 제조 프로세스와 관련되는 구조 및 재료 특성(예를 들어, 구조 및 막의 재료 조성, 치수 특성 등)의 측정을 행하기 위한 방법 및 시스템이 제시된다. 반도체 견본의 하나 이상의 구조적 파라미터는 조합 분석에서 서로 다른 측정 기술에 의해 수집된 측정결과를 견본의 응답 모델에 피팅함(fitting)으로써 결정된다.
일부 실시예들에서, 견본의 광학 측정결과 및 x-레이 측정결과 둘 다의 조합 분석은 x-레이 및 광학 기술의 상호보완적 특성(nature)으로 인해 측정 민감도 및 스루풋을 상승시키게 할 수 있다. 측정 정밀도 및 정확도는 x-레이 및 광학 측정결과로부터 도출되는 데이터 세트를 이용하여 수학적으로 결정된 공유 모델 파라미터를 식별함으로써 개선될 수 있다. 다양한 측정 기술로 공유 파라미터를 측정하는 것은 파라미터 사이의 상관관계를 감소시키며 측정 정확도를 개선한다.
일 양상에서, 적어도 2개의 서로 다른 측정 기술에 대한 견본의 응답 모델은 적어도 하나의 공통 기하학 파라미터를 공유한다. 일부 실시예들에서, 모델 구축 및 분석 엔진은 공통 또는 다수의 타겟의 x-레이 및 광학 분석을 수행하며, 여기서 적어도 하나의 공통 파라미터가 분석 동안 커플링된다. 추가적인 양상에서, 적어도 2개의 서로 다른 측정 기술에 대한 견본의 응답 모델은 동일한 기하학 모델에 기초한다.
다른 추가적인 양상에서, 광학 측정 데이터의 양을 이용한 광학 응답 모델의 피팅 및 x-레이 측정 데이터의 양을 이용한 x-레이 응답 모델의 피팅은 순차적으로, 병렬로, 또는 순차적 및 병렬 분석의 조합에 의해 이루어질 수 있다.
또 다른 추가적인 양상에서, 광학 모델의 플로팅(floating) 타겟(target) 파라미터의 수 및 x-레이 모델의 플로팅 타겟 파라미터의 수가 파라미터 중 일부를 링크함으로써 감소된다. 일부 예에서, 공통 기하학 파라미터는 단일 파라미터로서 취급된다. 일부 다른 예에서, 서로 다른 계측법과 관련되는 교정 및 모델 바이어스를 설명하기 위해 스케일링 팩터(scaling factors) 및 오프셋 값을 도입하는 것이 필요할 수 있다.
또 다른 추가적인 양상에서, 모델 구축 및 분석 엔진은 파라미터 값의 하나 이상의 세트를, 상관관계가 감소된 파라미터 값의 하나 이상의 세트로 변환하기 위한 주성분 분석(principal component analysis: PCA)을 수행한다. PCA는 가능하게 상관되는 파라미터의 세트를 선형 좌표 변환(linear coordinate transformation)에 의해 선형으로 비상관되는 파라미터의 세트로 변환하는 것을 포함한다.
또 다른 추가적인 양상에서, 검사(inspection)중인 견본은 x-레이 및 광학 계측법에 대한 파라미터 민감도를 증가시키기 위해 필드 강화 엘리먼트(field enhancement elements)를 포함한다. 필드 강화 엘리먼트는 관계있는 파라미터와 관련되는 측정 민감도를 강화할 뿐만 아니라 파라미터 상관관계를 깨기 위해 채용되는 구조이다.
또 다른 추가적인 양상에서, 적어도 2개의 서로 다른 측정 기술에 대한 견본의 응답 모델의 구조는 모델과 대응하는 측정 데이터 간의 피트(fit)의 품질에 기초하여 변경된다. 일부 예들에서, 기하학 모델의 구조는 응답 모델과 대응하는 측정 데이터 간의 피트의 품질에 기초하여 변경된다.
전술한 바는 개요이며 따라서, 필요에 따라, 간소화, 일반화 및 세부사항의 생략을 포함하며, 결과적으로, 당업자들은 그 개요가 단지 예시적인 것이며 어떠한 방식으로도 제한하는 것이 아님을 인식할 것이다. 본원에 개시하는 디바이스 및/또는 프로세스의 다른 양상, 발명적 특징 및 장점은 본원에 개시하는 비제한적인 상세한 설명으로부터 명백해질 것이다.
도 1은 본원에 개시하는 모델 구축 및 분석 기능을 구현하도록 구성되는 예시적인 모델 구축 및 분석 엔진(150)의 예시적인 도면이다.
도 2는 서로 다른 측정 기술로 견본의 특성을 측정하기 위한 조합된 계측 툴(100)의 예시적인 도면이다. 조합된 계측 툴(100)은 본원에 개시하는 모델 구축 및 분석 기능을 구현하도록 구성되는 모델 구축 및 분석 엔진으로서 구성되는 컴퓨팅 시스템(130)을 포함한다.
도 3은 본 발명의 모델 구축 및 분석 엔진(150)에 의한 구현에 적합한 방법(200)을 예시하는 흐름도이다.
도 4는 본 발명의 모델 구축 및 분석 엔진(150)에 의한 구현에 적합한 방법(300)을 예시하는 흐름도이다.
도 5는 본원에 개시하는 방법 및 시스템에 의한 측정 대상인 하이-k(high-k) 금속 게이트 트랜지스터(160)를 예시하는 도면이다.
서로 다른 반도체 제조 프로세스와 관련되는 구조 및 재료 특성(예를 들어, 재료 조성, 구조 및 막의 치수적 특성 등)의 측정을 행하기 위한 방법 및 시스템이 제시된다. 본원에 개시하는 바와 같이, 반도체 견본의 하나 이상의 구조적 파라미터는 견본 응답의 모델에, 조합 분석으로 서로 다른 측정 기술에 의해 수집되는 측정결과를 피팅(fitting)함으로써 결정된다. 이제 본 발명의 배경 예들 및 일부 실시예들에 대한 참조가 상세하게 이루어질 것이며, 그 예들은 첨부하는 도면들에 예시된다.
일 양상에서, 적어도 2개의 서로 다른 측정 기술에 대한 견본의 응답 모델은 적어도 하나의 공통 기하학 파라미터를 공유한다. 추가적인 양상에서, 적어도 2개의 서로 다른 측정 기술에 대한 견본의 응답 모델은 동일한 기하학 모델에 기초한다.
일부 실시예들에서, 견본의 광학 측정결과 및 x-레이 측정결과 둘 다의 조합 분석은 x-레이 및 광학 기술의 상호보완적 특성에 의해 측정 민감도 및 스루풋을 상승시키게 할 수 있다. 측정 정밀도 및 정확도는 x-레이 및 광학 측정결과로부터 도출되는 데이터 세트를 이용하여 순차적으로 또는 병렬로 수학적으로 결정된 공유 모델 파라미터를 식별함으로써 개선될 수 있다. 다양한 측정 기술로 공유 파라미터를 측정하는 것은 파라미터 간의 상관관계를 감소시키며 측정 정확도를 개선한다.
일반적으로, 본원에 개시하는 x-레이 및 광학 측정 기술은 검사중인 견본의 일부 물리적 특성을 측정하는 간접적인 방법이다. 대부분의 경우에서, 측정 값은 견본의 물리적 특성을 직접 결정하는데 이용될 수 없다. 공칭 측정 프로세스는 구조(예를 들어, 막 두께, 임계 치수, 굴절률 등) 및 머신(machine)(예를 들어, 파장, 입사각, 편광각 등)의 파라미터화로 이루어진다. 측정 값을 예측하려 시도하는 모델이 생성된다. 모델은 머신(Pmachine) 및 견본(Pspecimen)과 관련되는 파라미터를 포함한다.
머신 파라미터는 계측 툴 자체를 특성화하는데 이용되는 파라미터이다. 예시적인 머신 파라미터는 입사 각(AOI), 분석기 각(A0), 편광기 각(P0), 조명 파장, 개구수(numerical aperture: NA) 등을 포함한다. 견본 파라미터는 견본을 특성화하는데 이용되는 파라미터이다. 박막 견본에 대해, 예시적인 견본 파라미터는 굴절률, 유전 함수 텐서(dielctric function tensor), 모든 층들의 공칭 층 두께, 층 시퀀스 등을 포함한다. 측정 목적을 위해, 머신 파라미터는 기지수로 취급되며, 고정 파라미터 및 견본 파라미터는 미지수, 플로팅 파라미터로서 취급된다. 플로팅 파라미터는 이론적 예측과 경험적 데이터 간의 최적의 피트를 생성하는 피팅 프로세스(예를 들어, 회귀(regression), 라이브러리 매칭(library matching) 등)에 의해 결정된다. 모델 출력 값과 경험적 측정 값 간의 근접한 매치를 발생시키는 견본 파라미터 값의 세트가 결정될 때까지 미지의 견본 파라미터(Pspecimen)가 변화하며 모델 출력 값이 계산된다.
도 1은 본원에 개시하는 모델 구축 및 분석 기능을 구현하도록 구성되는 예시적인 모델 구축 및 분석 엔진(150)을 예시하는 도면이다. 일 예에서, 모델 구축 및 분석 엔진(150)은 컴퓨팅 시스템(예를 들어, 도 2에 예시되는 컴퓨팅 시스템(130))에 의해 구현된다.
모델 구축 및 분석 엔진(150)은 견본의 측정 구조의 기하학 모델(152)을 생성하도록 구성되는 기하학 모델 구축 모듈(151)을 포함한다. 모델 구축 및 분석 엔진(150)은 또한 각각 기하학 모델로부터 적어도 하나의 공유 기하학 파라미터를 포함하는, x-레이 응답 모델(155) 및 광학 응답 모델(156)을 생성하기 위해 x-레이 응답 함수 구축 모듈(153) 및 광학 응답 함수 구축 모듈(154)을 포함한다. 모델 구축 및 분석 엔진(150)은 또한 조합 분석으로, 광학 측정 데이터(124)의 양을 광학 응답 모델(156)에 피팅하고 x-레이 측정 데이터(126)의 양을 x-레이 응답 모델(155)에 피팅함으로써 적어도 하나의 견본 파라미터 값(170)을 결정하도록 구성되는 피팅 분석 모듈(157)을 포함한다.
도 3은 본 발명의 모델 구축 및 분석 엔진(150)에 의한 구현에 적합한 방법(200)을 예시한다. 일 양상에서, 방법(200)의 데이터 처리 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된(pre-programmed) 알고리즘을 통해 실행될 수 있다고 생각된다. 모델 구축 및 분석 엔진(150)의 다음의 설명은 조합된 계측 시스템(100)의 상황에서 제시되는 한편, 조합된 계측 시스템(100)의 특정 구조적 양상이 제한을 나타내지 않으며 단지 예시적인 것으로 해석되어야 함이 본원에서 인식된다.
블록(201)에서, 모델 구축 및 분석 엔진(150)의 기하학 모델 구축 모듈(151)은 견본의 적어도 하나의 구조의 기하학 모델(152)을 생성한다. 일부 실시예들에서, 기하학 모델(152)은 또한 견본의 재료 특성을 포함한다.
블록(202)에서, x-레이 응답 함수 구축 모듈(153)은 기하학 모델(152)에 적어도 부분적으로 기초하여 x-레이 응답 모델(155)을 생성하다. 마찬가지로, 광학 응답 함수 구축 모듈(154)은 기하학 모델(152)에 적어도 부분적으로 기초하여 광학 응답 모델(156)을 생성한다. 광학 응답 모델(156) 및 x-레이 응답 모델(155) 둘 다는 기하학 모델(152)로부터 적어도 하나의 공통 기하학 파라미터를 포함한다.
도 1에 도시된 바와 같이, 기하학 모델(152)은 x-레이 응답 함수 구축 모듈(153) 및 광학 응답 함수 구축 모듈(154)에 대한 입력으로서 수신된다. x-레이 응답 함수 구축 모듈(153)은 기하학 모델(152)에 적어도 부분적으로 기초하여 x-레이 응답 함수 모델(155)을 생성한다. 일부 예들에서, x-레이 응답 함수 모델(155)은 x-레이 형상 계수(form factors),
Figure 112015011461957-pct00001
에 기초하며, 여기서 F는 형상 계수이며, q는 산란 벡터(scattering vector)이며,
Figure 112015011461957-pct00002
은 구면 좌표(spherical coordinates)에서의 견본의 전자 밀도(electron density)이다. 그리고 x-레이 산란 강도는 다음과 같이 주어진다.
Figure 112015011461957-pct00003
마찬가지로, 광학 응답 함수 구축 모듈(154)은 기하학 모델(152)에 적어도 부분적으로 기초하여 광학 응답 함수 모델(156)을 생성한다. 일부 예들에서, 광학 응답 함수 모델(156)은 견본 모델로부터 광학 산란을 예측하기 위해 맥스웰(Maxwell) 방정식을 푸는 엄격한 결합파 분석(rigorous coupled-wave analysis: RCWA)에 기초한다.
일반적으로, 견본과의 x-레이 및 광학 빔 상호작용을 기술하는 적절한 모델을 이용한다면, 견본 파라미터는 결정성(예를 들어, 막 두께, CD, SWA 등), 통계적(예를 들어, 측벽 거칠기의 rms 높이, 거칠기 상관 길이 등)일 수 있거나, 또는 결정성 및 통계적 파라미터의 임의의 조합일 수 있다.
블록(203)에서, 광학 계측 시스템에 의한 견본의 측정결과와 관련되는 광학 측정 데이터가 피팅 분석 모듈(157)에 의해 수신된다. 광학 측정 데이터는 견본 상에 입사하는 광학 조명에 응답하여 견본으로부터 검출되는 광학 방사선의 양에 기초한다. 도 1에 도시된 바와 같이, 피팅 분석 모듈(157)은 도 2에 예시되는 광학 검출기(123)에 의해 생성되는 광학 측정 데이터(124)를 수신한다.
블록(204)에서, x-레이 계측 시스템에 의한 견본의 측정결과와 관련되는 x-레이 측정 데이터는 피팅 분석 모듈(157)에 의해 수신된다. x-레이 측정 데이터는 견본 상에 입사하는 x-레이 조명에 응답하여 견본으로부터 검출되는 x-레이 방사선의 양에 기초한다. 도 1에 도시된 바와 같이, 피팅 분석 모듈(157)은 도 2에 예시되는 x-레이 검출기(116)에 의해 생성되는 x-레이 측정 데이터(126)를 수신한다.
블록(205)에서, 적어도 하나의 견본 파라미터 값(170)은 x-레이 응답 모델(155)을 이용한 x-레이 측정 데이터(126)의 피팅 분석 및 광학 응답 모델(156)을 이용한 광학 측정 데이터(124)의 피팅 분석에 기초하여 결정된다. x-레이 응답 함수 모델(155) 및 광학 응답 함수 모델(156)은 피팅 분석 모듈(157)에 대한 입력으로서 수신된다. 피팅 분석 모듈(157)은 견본의 기하학과 재료 특성을 결정하기 위해 모델링된 x-레이 및 광학 산란을 대응하는 측정 데이터와 비교한다.
일부 예들에서, 경험 데이터에 대한 모델링 데이터의 피팅은 카이-제곱(chi-squared) 값을 최소화함으로써 달성된다. 예를 들어, 광학 계측을 위해, 카이-제곱 값은 다음과 같이 정의될 수 있다.
Figure 112015011461957-pct00004
여기서
Figure 112015011461957-pct00005
는 "채널" i에서 경험적으로 측정되는 측정 광학 신호(124)이며, 여기서 인덱스 i는 파장, 각도 좌표, 분극 등과 같은 시스템 파라미터의 세트를 기술한다.
Figure 112015011461957-pct00006
은 구조 (타겟) 파라미터
Figure 112015011461957-pct00007
의 세트에 대해 평가되는, "채널" i에 대한 모델링 광학 신호이며, 여기서 이들 파라미터는 기하학(막 두께, CD, 측벽 각, 오버레이(overlay) 등) 및 재료(굴절률, 흡수 계수, 분산(dispersion) 모델 파라미터) 등을 기술한다.
Figure 112015011461957-pct00008
는 "채널" i와 관련되는 불확실성이다.
Figure 112015011461957-pct00009
는 광학 계측에서의 채널의 총 수이다. M은 계측 타겟을 특성화하는 파라미터의 수이다. 광학 분광 측정 데이터의 모델 기반 분석을 위한 예시적인 방법 및 시스템은, 그 전체가 본원에 인용에 의해 포함되는, KLA-Tencor Corp.에 2009년 1월 13일자로 허여된 미국 특허번호 제7,478,019호에 개시되어 있다.
마찬가지로, x-레이 측정결과에 대한(예를 들어, CD-SAXS에 대한) 카이-제곱 값은 다음과 같이 정의될 수 있다.
Figure 112015011461957-pct00010
여기서,
Figure 112015011461957-pct00011
는 "채널" j에서 측정된 x-레이 신호(126)이며, 여기서 인덱스 j는 에너지, 각도 좌표 등과 같은 시스템 파라미터의 세트를 기술한다.
Figure 112015011461957-pct00012
은 구조 (타겟) 파라미터
Figure 112015011461957-pct00013
의 세트에 대해 평가되는, "채널" j에 대한 모델링 x-레이 신호
Figure 112015011461957-pct00014
이며, 여기서 이들 파라미터는 기하학(막 두께, CD, 측벽 각, 오버레이 등) 및 재료(전자 밀도 등)를 기술한다.
Figure 112015011461957-pct00015
는 j번째 채널과 관련되는 불확실성이다.
Figure 112015011461957-pct00016
는 x-레이 계측에서의 채널의 총 수이다. L은 계측 타겟을 특성화하는 파라미터의 수이다.
수식 (3) 및 (4)는 서로 다른 채널과 관련되는 불확실성이 비상관인 것으로 가정한다. 서로 다른 채널과 관련되는 불확실성이 상관되는 경우의 예들에서, 불확실성 사이의 공분산이 계산될 수 있다. 이들 예에서 광학 측정결과에 대한 카이-제곱 값은 다음과 같이 표현될 수 있다.
Figure 112015011461957-pct00017
여기서,
Figure 112015011461957-pct00018
는 광학 채널 불확실성의 공분산 행렬이며, T는 치환(transpose)을 표시한다. x-레이 측정결과에 대한 카이-제곱 값은 동일한 방식으로 계산될 수 있다.
광학 모델(즉,
Figure 112015011461957-pct00019
) 및 x-레이 모델(즉,
Figure 112015011461957-pct00020
)에 대한 타겟 파라미터의 세트는 일반적으로 동일하지 않다. 광학 및 x-레이 상호작용 프로세스를 기술하는데 필요한 재료 상수 및 함수에서의 차이는 서로 다른 타겟 파라미터를 생기게 한다. 그러나, x-레이 응답 함수 모델(155)과 광학 응답 함수 모델(156) 사이에서 적어도 하나의 파라미터는 공통이다. 공통 파라미터는 분명한 대수 변환(algebraic transformation)에 의해 서로 동일하거나 아니면 관련된다. 일부 예들에서, 막 두께, CD, 오버레이 등과 같은 타겟 파라미터는 x-레이 응답 함수 모델(155)과 광학 응답 함수 모델(156) 양쪽 사이에서 공통이다.
하나의 추가적인 양상에서, 광학 측정 데이터의 양을 이용한 광학 응답 모델의 피팅 및 x-레이 측정 데이터의 양을 이용한 x-레이 응답 모델의 피팅은 순차적으로, 병렬로, 또는 순차적 및 병렬 분석의 조합에 의해 이루어질 수 있다. 일부 예들에서, 모델 구축 및 분석 엔진(150)은 피드 사이드웨이(feed sideways) 분석, 피드 포워드(feed forward) 분석 및 병렬 분석의 임의의 조합에 의해 측정 파라미터의 정확도를 개선시킨다. 피드 사이드웨이 분석은 동일한 견본의 서로 다른 영역에 대해 다수의 데이터 세트를 취하고 하나의 데이터 세트로부터 결정된 공통 파라미터를 분석을 위해 후속 데이터 세트에 전달하는 것을 지칭한다. 피드 포워드 분석은 서로 다른 견본에 대해 데이터 세트를 취하며 단계적(stepwise) 카피(copy) 정확 파라미터 피드 포워드 방식을 이용하여 후속 분석에 공통 파라미터를 전달하는 것을 지칭한다. 병렬 분석은 적어도 하나의 공통 파라미터가 피팅 동안 커플링되는 다수의 데이터 세트에 비선형 피팅 방법론의 병렬 또는 동시 적용을 지칭한다.
일부 예들에서, 모델 구축 및 분석 엔진(150)은 다중 툴 및 구조 분석을 수행함으로써 측정 파라미터의 정확도를 개선시킨다. 다중 툴 및 구조 분석은 회귀, 룩-업 테이블(look-up table)(즉, "라이브러리" 매칭), 또는 다수의 데이터 세트의 다른 피팅 절차에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 지칭한다. 다중 툴 및 구조 분석을 위한 예시적인 방법 및 시스템은 그 전체가 인용에 의해 본원에 통합되는, KLA-Tencor Corp.에 2009년 1월 13일자로 허여된 미국 특허번호 제7,478,019호에 개시되어 있다.
일부 예들에서, 피팅 분석 모듈(157)은 x-레이 측정 데이터(126)를 이용한 x-레이 응답 모델(155)의 피팅 분석 및 광학 측정 데이터(124)를 이용한 광학 응답 모델(156)의 피팅 분석을 순차적으로 수행함으로써 적어도 하나의 견본 파라미터 값을 결정한다. 일부 예들에서,
Figure 112015011461957-pct00021
가 먼저 최적화되며, 임의의 결정된, 공통 견본 파라미터 값은
Figure 112015011461957-pct00022
의 후속 최적화에서 상수로서 취급된다. 마찬가지로, 일부 다른 예들에서,
Figure 112015011461957-pct00023
가 먼저 최적화되며, 임의의 결정된, 공통 견본 파라미터 값은
Figure 112015011461957-pct00024
의 후속 최적화에서 상수로서 취급된다.
일부 다른 예들에서, 피팅 분석 모듈(157)은 x-레이 측정 데이터(126)를 이용한 x-레이 응답 모델(155) 및 광학 측정 데이터(124)를 이용한 광학 응답 모델(156)의 병렬 피팅 분석을 수행함으로써 적어도 하나의 견본 파라미터 값을 결정한다. 비제한 예로서, 병렬 분석을 위해 적합한 카이-제곱 함수는 다음과 같이 정의될 수 있다.
Figure 112015011461957-pct00025
여기서
Figure 112015011461957-pct00026
Figure 112015011461957-pct00027
는 광학 및 x-레이 계측법에 지정된 가중 계수이다. 가장 간단한 경우에 있어서,
Figure 112015011461957-pct00028
이다. 그러나, 서로 다른 가중치를 지정하는 것은 종종 더 관련되는 계측을 강화한다. 적절한 가중치의 선택은 대개 경험 데이터 대 기준 계측의 분석에 의해 및/또는 특수 DOE(design of experiment) 타겟에 관한 사전 프로그램된 DOC 파라미터 편차를 측정함으로써 이루어진다.
광학 및 x-레이 계측법은 카이-제곱 값을 계산할 때 하나 이상의 각각의 기술을 포함할 수 있다. 예를 들어,
Figure 112015011461957-pct00029
는 각 기술에 제공되는 가중 계수를 이용한 그레이징(grazing) 입사 SAXS 및 전송 SAXS의 조합 이용을 위해 계산될 수 있다. 마찬가지로,
Figure 112015011461957-pct00030
는 각 기술에 지정된 가중 계수를 이용한 분광 편광해석법(spectroscopic ellipsometry), 빔 프로파일 반사측정법 및 분광 반사측정법의 조합된 이용을 위해 계산될 수 있다.
다른 추가적인 양상에서, 광학 모델의 플로팅 타겟 파라미터
Figure 112015011461957-pct00031
의 수 및 x-레이 모델의 플로팅 타겟 파라미터
Figure 112015011461957-pct00032
의 수는 파라미터 중 일부를 링크함으로써 감소된다. 일부 예들에서, 공통 기하학 파라미터는 단일 파라미터로서 취급된다. 예시로서, u1 및 v1 둘 다가 특정 막의 두께를 나타낸다면, u1 및 v1의 값은 동일한 값이 되도록 제약된다. 일부 다른 예들에서, 광학 및 x-레이 계측의 교정 및 모델 바이어스를 설명하기 위해 스케일링 팩터 및 오프셋 값을 도입하는 것이 필요할 수 있다. 예시로서, u1 및 v1 둘 다가 특정 막의 두께를 나타낸다면, u1의 값은 v1의 함수이도록 제약된다(예를 들어, u1 = f1*v1 + d1이며, 여기서 f1 및 d1은 상수이다). 스케일링 팩터(예를 들어, f1) 및 오프셋 값(예를 들어, d1)은 플로팅 파라미터가 아니며 글로벌 최적화가 수행되기 전에 결정된다. 스케일링 팩터 및 오프셋 값의 교정은 투과형 전자 현미경(transmission electron microscopy: TEM), 원자력 현미경(atomic force microscopy: AFM) 또는 다른 방법의 도움으로 수행될 수 있다. 더욱이, 구조 파라미터를 링크하기 위한 더 복잡한 방식이 또한 가능하다.
광학 계측 데이터 및 x-레이 계측 데이터의 조합된 피팅은 관련된 기하학 및/또는 재료 파라미터에 대한 보완적인 민감도를 제공하는 임의의 타입의 x-레이 및 광학 기술에 효과적이다. 이것은 구체적으로 x-레이와 광학 모델 사이에 적어도 하나의 기하학 파라미터가 공유되거나 링크되는 경우이다.
이전에 설명된 바와 같이, 측정 데이터를 이용한 x-레이 및 광학 모델의 피팅은 카이-제곱 값의 최소 제곱법 최소화에 의해 달성된다. 그러나, 일반적으로, x-레이 및 광학 데이터의 피팅은 다른 함수에 의해 달성될 수 있다. 이것은 예를 들어, 이상치(outliers)가 존재하는 경우에 효과적일 수 있다. 예시적인 함수는 그 주제가 본원에 인용에 의해 포함되는 P.J. Huber에 의한 "Robust Statistics" John Wiley and Sons(2004)에 의해 제시되어 있다.
블록(206)에서, 블록(205)에서 결정되는 적어도 하나의 견본 파라미터 값이 저장된다. 도 1에 예시된 바와 같이, 피팅 분석 모듈(157)은 메모리(180)에 저장하기 위해 메모리(180)에 견본 파라미터 값(170)을 전달한다.
추가적인 양상에서, 모델 구축 및 분석 엔진(150)은 파라미터 값의 하나 이상의 세트(예를 들어,
Figure 112015011461957-pct00033
Figure 112015011461957-pct00034
)을, 상관관계가 감소된 파라미터 값의 하나 이상의 세트(예를 들어,
Figure 112015011461957-pct00035
Figure 112015011461957-pct00036
)로 변환하기 위해 주성분 분석(PCA)을 수행한다. PCA는 선형 좌표 변환에 의해 가능하게 상관된 파라미터를 선형으로 비상관된 파라미터의 세트로 변환하는 것을 포함한다.
다른 추가적인 양상에서, 검사중인 견본은 x-레이 및 광학 계측법에 대한 파라미터 민감도를 증가시키기 위해 필드 강화 엘리먼트(field enhancement elements)를 포함한다. 필드 강화 엘리먼트는 관련된 파라미터와 관련되는 측정 민감도를 강화할 뿐 아니라 파라미터 상관관계를 깨기 위해 사용되는 구조이다.
또 다른 추가적인 양상에서, 모델 구축 및 분석 엔진(150)은 적어도 하나의 공통 파라미터가 분석 동안 커플링되는 공통 또는 다수의 타겟의 x-레이 및 광학 분석을 수행한다.
또 다른 추가적인 양상에서, 적어도 2개의 서로 다른 측정 기술에 대한 견본의 응답 모델의 구조는 그 모델과 대응하는 측정 데이터 간의 피트의 품질에 기초하여 변경된다. 일부 예들에서, 기하학 모델의 구조는 응답 모델과 대응하는 측정 데이터 간의 피트의 품질에 기초하여 변경된다.
도 4는 본 발명의 모델 구축 및 분석 엔진(150)에 의한 구현에 적합한 방법(300)을 예시한다. 일 양상에서, 방법(300)의 데이터 처리 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된 알고리즘을 통해 실행될 수 있다고 생각된다. 본원에 있어서 모델 구축 및 분석 엔진(150)의 다음의 설명은 조합된 계측 시스템(100)의 상황에서 제시되는 한편, 조합된 계측 시스템(100)의 특정 구조적 양상은 제한을 나타내는 것이 아니며 단지 예시적인 것으로 해석되어야 한다고 생각된다.
블록(301)에서, 피팅 분석 모듈(157)은 방법(200)의 블록(205)의 피팅 분석과 관련되는 비용 함수의 나머지 값을 결정한다. 일 예에서, 피팅 분석 모듈(157)은 반복 최적화가 완료된 후에 최적화 비용 함수(또는 함수)의 크기(magnitude)에 기초하여 비용 함수의 나머지 값을 결정한다.
블록(302)에서, 피팅 분석 모듈(157)은 나머지 값이 미리 결정된 임계 값을 초과하는지 여부를 결정한다. 이러한 방식으로, 피팅 분석 모듈(157)은 x-레이 및 광학 응답 모델과 대응하는 측정 데이터 간의 피트의 품질을 평가한다.
블록(303)에서, 나머지 값이 미리 결정된 임계 값을 초과한다면 기하학 모델이 재구성된다. 예를 들어
Figure 112015011461957-pct00037
의 값이 미리 결정된 임계 값을 초과한다면, 모델 구축 및 분석 엔진(150)은 피트 품질이 낮으며 측정 결과를 개선하기 위해 하부의 측정 모델이 재구성되어야 한다고 결정한다. 이러한 방식으로, 데이터 피트의 품질은 구조를 설명하기 위해 이용되는 파라미터 모델의 타당성(validity)을 검사하는데 이용된다. 일 예에서, 모델 구축 및 분석 엔진(150)은 기하학 모델 파라미터와 관련되는 야코비안(Jacobian) 행렬을 계산함으로써 기하학 모델을 재구성하며 그 후에 야코비안 행렬의 최대 값을 최소화하기 위해 모델 파라미터을 변환함으로써 모델을 재구성한다. 전술한 방식은 비제한 예로서 제공된다. 모델 재구성에 대한 많은 다른 방법이 고려될 수 있다.
예를 들어, 일부 측정 시나리오에서, 타겟 구조는 프로파일, 막 두께 및 재료 특성에 의해 각 주기에서 파라미터화된 주기적 격자이다. 광학 데이터를 단독으로 광학 모델에 피팅하는 것에 기초하여 적절한 피트가 달성될 수 있다. 그러나, 광학 및 x-레이 모델에 광학 및 x-레이 측정 데이터를 동시에 피팅하는 것은 수용 불가능하게 열악한 피트를 드러낸다(즉, 최적화 비용 함수의 나머지 값이 너무 높다). 이에 응답하여, 구조적 모델은 양호한 피트가 결정될 때까지 변경될 수 있다. 모델을 변경하는 것은 타겟 구조의 파라미터화(예를 들어, 푸터(footers), 라인 엣지 거칠기, 개선(refining) 유전체 특성 등과 같은 특징을 추가하거나 변경하는 것)를 변경하는 것을 포함하는 것에 주목해야 한다. 이러한 방식으로 모델 구축 및 분석 엔진(150)에 의해 제공되는 최적화 결과는 충분히 정확할 때까지 구조적 모델을 개선하는데 이용될 수 있다.
다른 예에서, 일부 측정 시나리오에서, 광학 데이터를 이용한 광학 모델의 적절한 피트는 모델 파라미터 및 머신 파라미터(예를 들어, 파장, 경사 각, 잡음 등)을 조정함으로써 달성된다. 이것은 소형 프로세스 윈도우 내에서 잘 작용할 수 있는 한편, 이러한 방식으로 동작하는 계측 시스템은 실제적인 프로세스 변화를 추적하지 못할 수 있음이 종종 발견된다. 광학적으로 기반된 계측법에 더하여, x-레이 기반 계측 능력(예컨대, CD-SAXS, XPF 등)은 종종 모델의 결함을 드러낸다. 이러한 시나리오에서, 모델 구축 및 분석 엔진(150)은 모델 결함을 식별하고 구조 모델의 파라미터화를 변경하는데 이용된다. 예를 들어, 간단한 사다리꼴 모델은 분광 편광해석법(SE) 데이터에 피트하기에 완전히 적합할 수 있다. 그러나, SE 및 CD-SAXS 데이터 둘 다에 대한 적절한 피트를 달성하기 위해 추가적인 형상 파라미터가 추가되어야 한다. 개선된 구조적 모델은 차례로, 더 대표적인 구조적 모델의 이용으로 인해 SE 측정의 능력을 개선시킨다. 일부 예들에서, 최적화된 구조적 모델을 개발하기 위해 광학 및 x-레이 측정 데이터 둘 다를 포함하는 조합 피팅 분석이 이용되며, 그 후에 측정 기술 중 하나만(예를 들어, 더 높은 스루풋 광학 계측 기술)이 생산 측정에 이용된다.
블록(304)에서, 나머지 값이 미리 결정된 임계 값을 초과하지 않는다면 기하학 모델이 저장된다.
도 4에 예시된 바와 같이, 블록들(301-303)은 만족스러운 결과가 달성될 때까지(즉, 나머지 값이 미리 결정된 임계 값을 초과하지 않을 때까지) 반복적으로 수행될 수 있으며, 이 지점에서 모델이 추후 이용을 위해 저장된다.
도 2는 2개의 서로 다른 측정 기술을 이용하여 견본의 특성을 측정하기 위한 조합된 계측 툴(100)을 예시한다. 도 2에 도시된 실시예에서, 컴퓨팅 시스템(130)은 본원에 설명된 바와 같은 모델 구축 및 분석 기능을 구현하기 위해 구성되는 모델 구축 및 분석 엔진으로서 구성된다. 도 2에 도시된 바와 같이, 시스템(100)은 견본 포지셔닝(positioning) 시스템(140) 상에 배치되는 견본(101)의 검사 영역(102) 위에 조합된 광학 스캐터로미터법 측정 및 SAXS 측정을 수행하는데 이용될 수 있다. 일부 실시예들에서, 검사 영역(102)은 50 마이크로미터 이하의 스폿(spot) 크기를 가진다.
도시된 실시예에서, 계측 툴(100)은 액체 금속(liquid metal) 기반 x-레이 조명 시스템(100) 및 x-레이 검출기(116)를 포함한다. x-레이 조명 시스템(110)은 고휘도 액체 금속 x-레이 조명 소스를 포함한다. 액체 금속(119)의 제트(jet)는 액체 금속 컨테이너(container)(111)로부터 생산되며 액체 금속 콜렉터(collector)(112)에서 수집된다. 액체 금속 순환 시스템(도시되지 않음)은 콜렉터(112)에 의해 수집된 액체 금속을 액체 금속 컨테이너(111)에 반환한다. 액체 금속(119)의 제트는 하나 이상의 원소를 포함한다. 비제한 예로서, 액체 금속(119)의 제트는 알루미늄, 갈륨(Gallium), 인듐(Indium), 주석(Tin), 탈륨(Thallium) 및 비스무트(Bismuth) 중 임의의 것을 포함한다. 이러한 방식으로, 액체 금속(119)의 제트는 그 구성 원소에 대응하는 x-레이 라인을 생산한다. 일부 실시예들에서, x-레이 조명 시스템(110)은 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. 고휘도의 액체 금속 x-레이 조명을 생성하기 위한 예시적인 방법 및 시스템은 그 전체가 본원에 인용에 의해 포함되는, KLA-Tencor Corp.에 2011년 4월 19일에 허여된 미국 특허번호 제7,929,667호에 개시되어 있다.
전자 빔 소스(113)(예를 들어, 전자 건)는 전자 광학부(electron optics)(114)에 의해 액체 금속(119)의 제트에 지향되는 전자의 스트림(118)을 생산한다. 적합한 전자 광학부(114)는 전자석, 영구 자석 또는 전자 빔을 포커싱하고 액체 금속 제트에 빔을 지향시키기 위한 전자석과 영구 자석의 조합을 포함한다. 액체 금속(119)의 제트와 전자의 스트림(118)의 동시발생은 견본(101)의 검사 영역(102) 상에 입사하는 x-레이 빔(117)을 생산한다. x-레이 광학부(115)는 견본(101)에 대해 입사 x-레이 빔(117)을 성형하여 지향시킨다. 일부 예들에서, x-레이 광학부(115)는 견본(101) 상에 입사하는 X-레이 빔을 단색화(monochromatize)한다. 일부 예들에서, x-레이 광학부(115)는 견본(101)의 검사 영역(102) 상에 x-레이 빔(117)을 콜리메이트(collimate)하거나 포커싱한다. 일부 실시예들에서, x-레이 광학부(115)는 하나 이상의 x-레이 콜리메이팅 미러, x-레이 개구, x-레이 모노크로메이터(monochromators), 및 x-레이 빔 조리개(stops), 다층(multilayer) 광학부, 굴절 광학부, 존 플레이트(zone plates)와 같은 회절 광학부, 또는 그 임의의 조합을 포함한다.
x-레이 검출기(116)는 견본(101)으로부터 산란되는 x-레이 방사선(125)을 수집하며 입사 x-레이 방사선에 민감한 견본(101)의 특성을 나타내는 출력 신호(126)를 생성한다. 견본 포지셔닝 시스템(140)이 각도 분해된 산란 x-레이를 생산하기 위해 견본(101)을 위치시켜 지향시키는 동안 산란된 x-레이(125)가 x-레이 검출기(116)에 의해 수집된다. x-레이 검출기(116)는 하나 이상의 x-레이 광자 에너지(photon energies)를 분해할 수 있으며, 각 x-레이 에너지 성분마다 견본의 특성을 나타내는 신호를 생성한다. 일부 실시예들에서, x-레이 검출기(116)는 CCD 어레이, 마이크로채널 플레이트(microchannel plate), 포토다이오드 어레이(photodiode array), 마이크로스트립 비례 카운터(microstrip proportional counter), 가스 충전 비례 카운터(gas filled proportional counter) 및 신틸레이터(scintillator) 중 임의의 것을 포함한다.
조합된 계측 툴(100)은 또한 광학 조명 시스템(120) 및 광학 검출기(123)를 포함한다. 광학 조명 시스템(120)은 광학 조명 소스(121)로부터 견본(101)의 검사 영역(102)에 입사 광학 조명 빔(127)을 성형하여 지향시키도록 구성되는 광학 조명 소스(121) 및 광학 조명 광학부(122)를 포함한다. 일부 예들에서, 입사 광학 조명 빔(127) 및 입사 x-레이 조명 빔(117)은 견본(101)의 검사 영역(102)에서 공간적으로 중복된다.
비제한 예로서, 광학 조명 소스(121)는 하나 이상의 아크 램프(arc lamps), 레이저, 발광 다이오드(light emitting diodes), 레이저 구동 플라즈마 소스 및 레이저 구동 초연속체(supercontinuum) 소스 또는 이들의 임의의 조합을 포함한다. 일반적으로, 임의의 적합한 광학 조명 소스가 고려될 수 있다. 일부 실시예들에서, 광학 조명 소스(121)는 120 나노미터 내지 2000 나노미터 사이의 파장 성분을 가진 조명 광을 생성하도록 구성된다.
조명 광학부(122)는 견본(101)의 검사 영역(102)에 입사 광학 조명 빔(127)을 콜리메이트하거나 포커싱하도록 구성된다. 일부 예들에서, 조명 광학부(122)는 입사 광학 조명 빔(127)을 단색화하도록 구성된다. 일부 실시예들에서, 조명 광학부(122)는 하나 이상의 광학 미러, 포커싱 또는 디포커싱(defocusing) 광학부, 광학 파장판(waveplates), 광학 개구, 광학 모노크로메이터(monochromators), 및 광학 빔 조리개 또는 이들의 임의의 조합을 포함한다.
광학 검출기(123)는 견본(101)으로부터 산란되는 광학 방사선(128)을 수집하며 입사 광학 방사선에 민감한 견본(101)의 특성을 나타내는 출력 신호(124)를 생성한다. 견본 포지셔닝 시스템(140)이 각도 분해된 산란 광학 방사선을 생산하기 위해 견본(101)을 위치시켜 지향시키는 동안 산란된 광학 방사선(128)이 광학 검출기(123)에 의해 수집된다. 광학 검출기(123)는 하나 이상의 광학 광자 에너지를 분해할 수 있으며, 각 광학 에너지 성분마다 견본의 특성을 나타내는 신호를 생성한다. 일부 실시예들에서, 광학 검출기(123)는 CCD 어레이, 포토다이오드 어레이, CMOS 검출기 및 광전 증배관(phtomultiplier tube) 중 임의의 것을 포함한다.
조합된 계측 툴(100)은 또한 광학 검출기(123) 및 x-레이 검출기(116) 각각에 의해 생성되는 신호(124 및 126)를 획득하기 위해, 그리고 획득된 신호에 적어도 부분적으로 기초하여 견본의 특성을 결정하기 위해 사용되는 컴퓨팅 시스템(130)을 포함한다. 도 2에 예시된 바와 같이, 컴퓨팅 시스템(130)은 광학 검출기(123) 및 x-레이 검출기(116)에 통신적으로 커플링된다. 일 양상에서, 컴퓨팅 시스템(130)은 x-레이 빔(117) 및 광학 조명 빔(127) 둘 다에 의해 조명되는 검사 영역(102) 위에 견본(101)의 동시적 임계 치수 측정과 관련되는 측정 데이터(124 및 126)를 수신한다.
일 예에서, 광학 검출기(123)는 광학 분광기(spectrometer)이며 측정 데이터(124)는 광학 분광기에 의해 구현되는 하나 이상의 샘플링 프로세스에 기초하여 견본의 측정된 스펙트럼 응답의 표시를 포함한다. 마찬가지로, 일 예에서, x-레이 검출기(116)는 x-레이 분광기이며, 측정 데이터(126)는 x-레이 분광기에 의해 구현되는 하나 이상의 샘플링 프로세스에 기초한 견본의 측정된 스펙트럼 응답의 표시를 포함한다.
추가적인 실시예에서, 컴퓨팅 시스템(130)은 실시간 임계 치수화(Real Time Critical Dimensioning: RTCD)를 사용하여, 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 견본(101)과 관련되는 적어도 하나의 견본 파라미터 값을 결정하기 위한 사전 컴퓨팅된 모델의 라이브러리에 액세스할 수 있다. 일반적으로, CD-엔진의 일부 형태는 견본의 지정된 CD 파라미터와, 측정 견본과 관련되는 CD 파라미터 사이의 차이를 평가하는데 이용될 수 있다. 견본 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은 그 전체가 본원에 인용에 의해 포함되는 KLA-Tencor Corp.에 2010년 11월 2일자로 허여된 미국 특허번호 제7,826,071호에 개시되어 있다.
하나의 추가적인 양상에서, 조합된 계측 툴(100)은 본원에서 설명하는 빔 제어 기능을 구현하도록 구성되는 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(130))을 포함한다. 도 2에 도시된 실시예에서, 컴퓨팅 시스템(130)은 입사 x-레이 빔(117) 및 입사 광학 조명 빔(127)이 임의의 시점에 견본(101)의 원하는 검사 영역(102)에서 공간적으로 중복하도록 입사 x-레이 빔(117) 및 입사 광학 조명 빔(127)의 포지셔닝 및 스폿 크기를 제어하기 위해 동작 가능한 빔 제어기로서 구성된다.
도 2에 예시된 바와 같이, 컴퓨팅 시스템(130)은 x-레이 검출기(116) 및 광학 검출기(123)에 통신적으로 커플링된다. 컴퓨팅 시스템(130)은 광학 검출기(123)로부터 측정 데이터(124)를 그리고 x-레이 검출기(116)로부터 측정 데이터(126)를 수신하도록 구성된다. 일 예에서, 측정 데이터(124)는 견본의 측정 광학 응답의 표시를 포함한다. 검출기(123)의 표면 상의 측정 광학 응답의 분포에 기초하여, 광학 조명 빔(127)의 입사 위치 및 영역이 빔 제어기(130)에 의해 결정된다. 일 예에서, 패턴 인식 기술은 측정 데이터(124)에 기초하여 견본(101) 상의 광학 조명 빔(127)의 입사 위치 및 영역을 결정하기 위해 컴퓨팅 시스템(130)에 의해 적용된다. 마찬가지로, 측정 데이터(126)는 견본의 측정 x-레이 응답의 표시를 포함한다. 검출기(116)의 표면 상의 측정 x-레이 응답의 분포에 기초하여, 견본(101) 상의 x-레이 빔(117)의 입사 위치 및 영역은 빔 제어기(130)에 의해 결정된다. 일 예에서, 패턴 인식 기술은 측정 데이터(126)에 기초하여 견본(101) 상의 x-레이 빔(117)의 입사 위치 및 영역을 결정하기 위해 컴퓨팅 시스템(130)에 의해 적용된다. 이에 응답하여 컴퓨팅 시스템(130)은 입사 광학 조명 빔(127)이 견본(101)의 원하는 검사 영역(102)에서 입사 x-레이 빔(117)을 공간적으로 중복하도록 입사 광학 조명 빔(127)을 재성형하여 재지향시키기 위해 조명 광학부(122)에 전달되는 커맨드 신호(137)를 생성한다. 마찬가지로, 빔 제어기(130)는 입사 x-레이 빔(117)이 견본(101)의 원하는 검사 영역(102)에서 입사 광학 조명 빔(127)을 공간적으로 중복하도록 입사 x-레이 빔(117)을 재성형하여 재지향시키기 위해 전자 광학부(114) 및 x-레이 광학부(115) 중 임의의 것에 전달되는 커맨드 신호(136)를 생성한다.
다른 양상에서, 특정 검사 영역의 동시적 SAXS 및 광학 스캐터로미터법 측정은 다수의 서로 다른 면외 배향에서 수행된다. 이는 측정 파라미터의 정밀도 및 정확도를 증가시키며 다양한 큰 각도의 면외 배향을 포함하기 위해 분석에 이용 가능한 데이터 세트의 수 및 다양성을 확장함으로써 파라미터 간의 상관관계를 감소시킨다. 더 깊고, 더 다양한 데이터 세트를 이용하여 견본 파라미터를 측정하는 것은 또한 파라미터 간의 상관관계를 감소시키며 측정 정확도를 개선한다.
도 2에 예시된 바와 같이, 조합된 계측 툴(100)은 광학 스캐터로미터 및 작은 각도 x-레이 스캐터로미터에 관하여 큰 범위의 면외 각도 배향에 걸쳐 견본(101)을 정렬하고 견본(101)을 지향시키도록 구성되는 견본 포지셔닝 시스템(140)을 포함한다. 다시 말해, 견본 포지셔닝 시스템(140)은 견본(101)의 표면과 면내 정렬되는 하나 이상의 회전 축에 관해 큰 각도 범위에 걸쳐 견본(101)을 회전시키도록 구성된다. 일부 실시예들에서, 견본 포지셔닝 시스템(140)은 견본(101)의 표면과 면내 정렬되는 하나 이상의 회전 축에 관하여 적어도 90도의 범위 내에 견본(101)을 회전시키도록 구성된다. 일부 실시예들에서, 견본 포지셔닝 시스템은 견본(101)의 표면과 면내 정렬되는 하나 이상의 회전 축에 관해 적어도 60도의 범위 내에 견본(101)을 회전시키도록 구성된다. 일부 다른 실시예들에서, 견본 포지셔닝 시스템은 견본(101)의 표면과 면내 정렬되는 하나 이상의 회전 축에 관하여 적어도 하나의 각도의 범위 내에 견본(101)을 회전시키도록 구성된다. 이러한 방식으로, 견본(101)의 각도 분해 측정결과가 견본(101)의 표면 상의 임의 개의 위치에 걸쳐 계측 시스템(100)에 의해 수집된다. 일 예에서, 컴퓨팅 시스템(130)은 견본(101)의 원하는 위치를 표시하는 견본 포지셔닝 시스템(140)의 모션 제어기(motion controller)(145)에 커맨드 신호를 전달한다. 이에 응답하여, 모션 제어기(145)는 견본(101)의 원하는 포지셔닝을 달성하기 위해 견본 포지셔닝 시스템(140)의 다양한 액추에이터(actuators)에 대한 커맨드 신호를 생성한다.
비제한 예로서, 도 2에 예시된 바와 같이, 견본 포지셔닝 시스템(140)은 견본 포지셔닝 시스템(140)에 견본(101)을 고정으로 부착하기 위한 엣지 그립 척(edge grip chuck)(141)을 포함한다. 회전 액추에이터(142)는 주변 프레임(perimeter frame)(143)에 관하여 엣지 그립 척(141) 및 부착 견본(101)을 회전시키도록 구성된다. 도시된 실시예에서, 회전 액추에이터(142)는 도 2에 예시되는 좌표 시스템(146)의 x-축에 관하여 견본(101)을 회전시키도록 구성된다. 도 2에 도시된 바와 같이, z-축에 관한 견본(101)의 회전은 견본(101)의 면내 회전이다. x-축 및 y-축(도시되지 않음)에 관한 회전은 계측 시스템(100)의 계측 엘리먼트에 관하여 견본의 표면을 효과적으로 경사지게 하는, 견본(101)의 면외 회전이다. 예시되지 않더라도, 제2 회전 액추에이터는 y-축에 관하여 견본(101)을 회전시키도록 구성된다. 선형 액추에이터(144)는 x-방향으로 주변 프레임(143)을 이동시키도록 구성된다. 다른 선형 액추에이터(도시되지 않음)는 y-방향으로 주변 프레임(143)을 이동시키도록 구성된다. 이러한 방식으로, 견본(101)의 표면 상의 모든 위치는 면외 각도 위치의 범위에 걸친 측정에 이용 가능하다. 예를 들어, 일 실시예에서, 견본(101)의 위치는 견본(101)의 정규 배향(normal orientation)에 관하여 -45도 내지 +45도의 범위 내에서 여러 각도 증분(increments)에 걸쳐 측정된다.
전형적인 광학 스캐터로미터법 시스템은 큰 범위의 면외 각도 위치(예를 들어, +/- 1도보다 더 큼)에 걸쳐 견본을 지향시킬 수 있는 견본 포지셔닝 시스템을 사용하지 않는다. 그 결과, 이들 시스템에 의해 수집되는 측정 정보는 종종 특정 파라미터에 대한 민감도가 부족하거나 파라미터 간의 상관관계를 감소시킬 수 없다. 그러나, 견본 포지셔닝 시스템(140)의 큰 면외 각도 포지셔닝 능력은 측정 민감도를 확장하며 파라미터 간의 상관관계를 감소시킨다. 예를 들어, 정규 배향에서, SAXS는 피처의 임계 치수를 결정할 수 있지만, 피처의 측벽 각도 및 높이에 대해 크게 둔감하다. 그러나, 넓은 범위의 면외 각도 위치에 걸쳐 측정 데이터를 수집함으로써 피처의 측벽 각도 및 높이가 결정될 수 있다.
본 개시물 전반에 설명되는 다양한 단계들은 단일 컴퓨터 시스템(130) 또는 대안적으로, 다중 컴퓨터 시스템(130)에 의해 실행될 수 있다고 생각되어야 한다.. 더욱이, 견본 포지셔닝 시스템(140)과 같은 서로 다른 서브시스템은 본원에 개시하는 단계들 중 적어도 일부를 실행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 전술한 설명은 본 발명의 제한으로서 해석되어서는 안 되며 단지 예시일 뿐이다. 추가로, 하나 이상의 컴퓨팅 시스템(130)은 본원에 설명된 방법 실시예들 중 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
추가로, 컴퓨터 시스템(130)은 기술분야에 알려진 임의의 방식으로 광학 검출기(123), x-레이 검출기(116), 광학 조명 광학부(122) 및 x-레이 조명 광학부(115)에 통신적으로 커플링될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템들(130)은 각각 광학 검출기(123), x-레이 검출기(116), 광학 조명 광학부(122) 및 x-레이 조명 광학부(115)와 관련되는 컴퓨팅 시스템에 커플링될 수 있다. 다른 예에서, 광학 검출기(123), x-레이 검출기(116), 광학 조명 광학부(122) 및 x-레이 조명 광학부(115) 중 임의의 것은 컴퓨터 시스템(130)에 커플링되는 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
조합된 계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템(예를 들어, 광학 검출기(123), x-레이 검출기(116), 광학 조명 광학부(122) 및 x-레이 조명 광학부(115) 등)로부터 데이터 또는 정보를 수신하고/하거나 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수 있다.
조합된 계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 시스템의 다른 시스템으로부터 데이터 또는 정보(예를 들어, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신하고/하거나 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 기타 시스템(예를 들어, 메모리 온-보드(on-board) 계측 시스템(100), 외부 메모리, 또는 외부 시스템) 사이의 데이터 링크로서 기능할 수 있다. 예를 들어, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132) 또는 메모리(180))로부터 측정 데이터(예를 들어, 신호(124 및 126))를 수신하도록 구성될 수 있다. 예를 들어, x-레이 검출기(116) 및 광학 검출기(123) 중 임의의 것의 분광기를 이용하여 획득되는 스펙트럼 결과는 영구 또는 반영구 메모리 디바이스(예를 들어, 메모리(132 또는 180))에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과는 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 반입될 수 있다. 더욱이, 컴퓨터 시스템(130)은 전송 매체를 통해 다른 시스템에 데이터를 송신할 수 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정되는 견본 파라미터 값(170)은 영구 또는 반영구 메모리 디바이스(예를 들어, 메모리(180))에 저장될 수 있다. 이와 관련하여, 측정 결과는 다른 시스템에 반출될 수 있다.
컴퓨팅 시스템(130)은 퍼스널 컴퓨터 시스템, 메인프레임(mainframe) 컴퓨터 시스템, 워크스테이션(workstation), 이미지 컴퓨터, 병렬 프로세서, 또는 기술분야에 알려진 임의의 다른 디바이스를 포함할 수 있지만, 이들로 제한되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 가지는 임의의 디바이스를 망라하기 위해 넓게 정의될 수 있다.
본원에 설명하는 바와 같은 방법들을 구현하는 프로그램 명령어(134)는 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 2에 예시된 바와 같이, 메모리(132)에 저장되는 프로그램 명령어는 버스(133)를 통해 프로세서(131)에 전송된다. 프로그램 명령어(134)는 컴퓨터 판독 가능한 매체(예를 들어, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능한 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 2를 참조하여 설명한 바와 같이, 조합된 계측 시스템은 SAXS 시스템 및 광학 스캐터로미터 시스템을 포함한다. 그러나, 일반적으로, 서로 다른 광학 계측 기술 및 서로 다른 x-레이 기반 계측 기술의 조합에 기초한 조합 분석은 본 특허문서의 범위 내에서 고려될 수 있다. 더욱이, 본원에 설명된 바와 같은 조합 분석에 참여하는 임의의 광학 및 x-레이 계측 기술에 기초한 측정은 제한없이, 하나 이상의 각각의 계측 툴 상에, 하나 이상의 조합된 계측 툴 상에, 또는 그 임의의 조합 상에 수행될 수 있다.
비제한 예로서, (뮐러(Mueller) 행렬 편광해석법을 포함하는)분광 편광해석법, 뮐러 행렬 분광 편광해석법, 분광 반사측정법, 분광 스캐터로미터법, 스캐터로미터법 오버레이, 빔 프로파일 반사측정법, (각도 및 편광 분해), 빔 프로파일 편광해석법, 단일 또는 다중 이산 파장 편광해석법(single or multiple discrete wavelength ellipsometry), 입사 편광해석법의 다중 각도 및 분광 편광측정법을 포함하는 후속하는 광학 계측 기술 중 임의의 것은, 본 특허문서의 범위 내에서 전송 소형 각도 x-레이 산란(transmission small angle x-ray scattering: TSAXS), 그레이징 입사 소형 각도 x-레이 산란(grazing incidence small angle x-ray scattering: GISAXS), 광각 x-레이 산란(wide angle x-ray scattering: WAXS), x-레이 반사성(x-ray reflectivity: XRR), x-레이 회절성(x-ray diffraction: XRD), 그레이징 입사 x-레이 회절성(grazing incidence x-ray diffraction: GIXRD), 고분해능 x-레이 회절성(high resolution x-ray diffraction: GIXRF), x-레이 단층촬영법(tomography) 및 x-레이 편광해석법을 포함하는 후속하는 x-레이 계측 기술 중 임의의 것과 본원에 설명된 바와 같은 분석을 위해 조합될 수 있다.
본원에 설명된 바와 같은 조합으로 적용되는 x-레이 및 광학 계측 기술은 반도체 구조의 특성을 결정하는데 이용될 수 있다. 예시적인 구조는 FinFET, 나노와이어(nanowires) 또는 그라핀(graphene)과 같은 저차원 구조, 서브 10 nm 구조, 박막, 리소그래픽(lithographic) 구조, 스루 실리콘 비아(through silicon vias: TSVs), DRAM, DRAM 4F2, FLASH 및 고애스펙트(high aspect)비 메모리 구조를 포함하지만 이들로 제한되는 것은 아니다. 예시적인 구조적 특성은 라인 엣지 거칠기(line edge roughness), 라인 폭 거칠기(line width roughness), 공극 크기(pore size), 공극 밀도(pore density), 측벽 각(side wall angle), 프로파일(profile), 막 두께, 임계 치수(critical dimension) 및 피치(pitch)와 같은 기하학 파라미터들, 및 전자 밀도, 결정질 그레인(crystalline grain) 구조, 형태론(morphology), 배향, 응력(stress) 및 변형(strain)와 같은 재료 파라미터를 포함하지만, 이들에 제한되는 것은 아니다.
비제한 예로서, 소형 각도 x-레이 산란법(SAXS)은 10 나노미터 미만의 작은 구조의 기하학 파라미터(예를 들어, 피치, 임계 치수(CD), 측벽 각도(side wall angle: SWA), 라인 폭 거칠기(LWR), 및 라인 엣지 거칠기(LER))를 측정할 수 있다. 추가로, x-레이 방사선의 고에너지 특성은 광학적으로 불투명한 박막, 매립 구조, 고애스펙트비 구조 및 많은 박막 층을 포함하는 디바이스를 관통한다. 비제한 예로서, 광학 스캐터로미터법 기술은 피치, CD, 박막 두께, 조성, 및 다수의 상이한 구조의 분산을 측정할 수 있다.
도 5에 예시되는 다른 비제한 예에서, 하이-k 금속 게이트 트랜지스터(160)와 관계있는 파라미터는 x-레이 및 광학 측정결과의 조합 분석에 기초하여 측정된다. 도시된 예에서, 실리콘 기판(161)의 소스(162) 및 드레인(163)의 SiGe 농도는 XRF를 이용하여 측정되는 한편, 금속-게이트 전극(165)의 임계 치수 및 게이트 유전체(164)의 두께는 분광 편광해석법과 같은 광학 기술로 측정될 수 있다.
또 다른 비제한 예에서, XRF는 HfO2로 된 막, 매우 두꺼운 막 및 전자 밀도가 이웃하는 막과 매우 유사한 막의 두께를 측정하는데 이용된다. 이들 두께는 광학 측정결과 내의 파라미터 상관관계를 깨기 위해 피드 포워딩(feed forward)된다.
도 5에 예시되는 또 다른 비제한 예에서, XRF는 FinFET과 같은 진보된 구조에서의 Ge 선량(dose)을 측정하는데 이용된다. 광학 측정은 구조의 체적으로 이루어진다. Ge 농도는 선량 및 체적 측정에 기초하여 계산된다.
또 다른 비제한 예에서, 메모리 구조는 TiN/Al2O3/TiN 층 배열을 포함한다. XRR은 TiN 층의 두께를 측정하는데 이용되지만, Al2O3 층에는 비교적 둔감하다. 분광 편광해석법 측정은 최상부 및 바닥부 TiN 층을 구별하는데 어려움이 있다. 조합된 XRR 및 분광 편광해석법 측정은 총 3개 층의 두께를 측정할 수 있다.
또 다른 비제한 예에서, 스핀 밸브(spin valves)과 같은 자기 다층(multilayers)은 공칭 SiO2/NiO/Co/Cu/Co 층 구조를 가진다. XRR은 NiO 층의 두께를 측정하는데 사용되지만, Co 및 Cu의 유사한 전자 밀도로 인해 Co/Cu/Co 층들 사이를 구별할 수 없다. GIXRD는 Co, Ni 및 Cu를 명확하게 분해하며, 원소의 피크의 상대적인 집적 강도가 Cu 및 Co 층의 두께를 결정한다. Co/Cu/Co 층의 총 두께가 XRR로부터 알려지기 때문에, Cu 두께는 0.1 nm 정밀도보다 양호하도록 결정될 수 있다. 조합된 XRR, GIXRF 및 분광 편광해석법과 같은 광학 기술은 또한 모든 두께 및 구조의 임계 치수를 측정하는데 이용될 수 있다.
또 다른 비제한 예에서, 라인 엣지 거칠기(LER) 및 라인 폭 거칠기(LWR)는 광학 기술로 용이하게 측정될 수 없지만 소형 각도 x-레이 산란을 이용하여 측정될 수 있다. 포토레지스트 격자 및 블록 코폴리머(copolymers)와 같은 구조의 측벽 각도는 분광 편광해석법으로 측정될 수 있다.
또 다른 비제한 예에서, XRD는 III-V 재료의 조성 및 응력을 측정하는데 사용된다. 이들 파라미터는 두께 및 임계 치수를 결정하기 위해 분광 편광해석법와 같은 광학 측정결과의 분석으로 피드 포워딩된다.
일부 실시예들에서, 본원에 설명한 바와 같은 조합 x-레이 및 광학 분석은 제조 프로세스 툴의 일부로서 구현된다. 제조 프로세스 툴의 예는 리소그래픽 노출 툴, 막 적층 툴, 주입 툴 및 에칭 툴을 포함하지만 이들에 제한되는 것은 아니다. 이러한 방식으로, 조합된 x-레이 및 광학 분석의 결과는 제조 프로세스를 제어하는데 이용된다. 일 예에서, 하나 이상의 타겟으로부터 수집되는 x-레이 및 광학 측정 데이터는 제조 프로세스 툴에 송신된다. x-레이 및 광학 측정 데이터는 본원에서 설명된 바와 같이 이용되고, 그 결과는 제조 프로세스 툴의 작동을 조정하는데 이용된다.
본원에 설명된 바와 같이, 용어 "임계 치수"는 구조의 임의의 임계 치수(예를 들어, 바닥 임계 치수, 중간 임계 치수, 최상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조 간의 임계 치수(예를 들어, 2개의 구조 간의 거리) 및 2개 이상의 구조 간의 변위(displacement)(예를 들어, 상부 격자 구조 간의 오버레이 변위 등)를 포함한다. 구조는 3차원 구조, 패터닝(patterned) 구조, 오버레이 구조 등을 포함할 수 있다.
본원에 설명된 바와 같이, 용어 "임계 치수 적용" 또는 "임계 치수 측정 적용"은 임의의 임계 치수 측정을 포함한다.
본원에 설명된 바와 같이, 용어 "계측 시스템"은 임계 치수 적용 및 오버레이 계측 적용을 포함하는 임의의 양상에서의 견본을 특성화하기 위해 적어도 부분적으로 사용되는 임의의 시스템을 포함한다. 그러나, 그와 같은 기술분야의 용어들은 본원에 설명된 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 추가로, 계측 시스템(100)은 패터닝 웨이퍼 및/또는 비패터닝 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 태양 검사 툴(solar inspection tool), 엣지 검사 툴, 후면 검사 툴(backside inspection tool), 매크로-검사 툴(macro-inspection tool), 또는 (동시에 하나 이상의 플랫폼(platforms)으로부터의 데이터를 포함하는)멀티-모드 검사 툴(multi-mode inspection tool), 및 임계 치수 데이터에 기초한 시스템 파라미터의 교정으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
견본을 처리하기 위해 이용될 수 있는 반도체 처리 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)을 위한 다양한 실시예들을 본원에 개시한다. 용어 "견본"은 웨이퍼, 레티클(reticle), 또는 기술분야에 알려진 수단에 의해 처리될 수 있는(예를 들어, 결함을 위해 프린트되거나 검사되는) 임의의 다른 샘플을 지칭하기 위해 본원에서 이용된다.
본원에 이용된 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비반도체 재료로 형성되는 기판을 지칭한다. 예들은 단결정 실리콘(monocrystalline silicon), 갈륨 비화물(gallium arsenide) 및 인듐 인화물(indium phosphide)을 포함하지만, 이들에 제한되는 것은 아니다. 그와 같은 기판은 반도체 제조 설비에서 공통으로 발견될 수 있고/있거나 처리될 수 있다. 일부 경우에 있어서, 웨이퍼는 기판만(즉, 베어 웨이퍼(bare wafer))을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 서로 다른 재료의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패터닝"되거나 "비패터닝"될 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 가진 복수의 다이(dies)를 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수 있거나, 반도체 제조 설비에서의 이용을 위해 방출되거나 방출되지 않을 수 있는 완성 레티클일 수 있다. 레티클 또는 "마스크"는 그 위에 형성되며 패턴으로 구성되는 실질적으로 불투명한 영역(regions)을 가진 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어, 비정질(amorphous) SiO2와 같은 유리 재료를 포함할 수 있다. 레티클은 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 프로세스의 노출 단계 동안 레지스트 피복된(resist-coverd) 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성되는 하나 이상의 층이 패터닝될 수 있거나 비패터닝될 수 있다. 예를 들어, 웨이퍼는 각각 반복 가능한 패턴 피처를 가진 복수의 다이를 포함할 수 있다. 그와 같은 재료 층의 형성 및 처리로 궁극적으로 완성 디바이스가 될 수 있다. 다수의 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수 있으며, 본원에 이용된 바와 같은 용어 웨이퍼는 기술분야에 알려진 임의의 타입의 디바이스가 제조되는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시예에 있어서, 설명하는 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현된다면, 기능은 컴퓨터 판독 가능한 매체 상에 하나 이상의 명령어 또는 코드로서 저장될 수 있거나 전송될 수 있다. 컴퓨터 판독 가능한 매체는 어느 한 장소로부터 다른 장소로의 컴퓨터 프로그램의 반송을 용이하게 하는 임의의 매체를 포함하는 통신 매체 및 컴퓨터 저장 매체 둘 다를 포함한다. 저장 매체는 범용 또는 전문용 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수 있다. 제한이 아닌 예시로서, 그와 같은 컴퓨터 판독 가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장, 자기 디스크 저장 또는 다른 자기 저장 장치, 또는 명령어나 데이터 구조의 형태로 원하는 프로그램 코드 수단을 전송하거나 저장하기 위해 이용될 수 있으며 범용 또는 전문용 컴퓨터, 또는 범용 또는 전문용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속수단은 적절하게 컴퓨터 판독 가능한 매체로 칭해진다. 예를 들어, 소프트웨어가 웹사이트, 서버, 또는 다른 원격 소스로부터 동축 케이블, 광섬유 케이블, 연선, DSL(Digital Subscriber Line), 또는 적외선, 라디오, 및 마이크로웨이브와 같은 무선 기술을 이용하여 전송된다면, 이러한 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 라디오, 및 마이크로웨이브와 같은 무선 기술이 매체의 정의 내에 포함될 수 있다. 본원에 이용되는 디스크(disk) 및 디스크(disc)는 컴팩트 disc(CD), 레이저 disc, 광학 disc, 디지털 다용도 disc 디스크(DVD), 플로피 disk 및 블루-레이 disc를 포함하며, 여기서 disk는 대개 데이터를 자기적으로 재생하는 한편, disc는 레이저에 의해 광학적으로 데이터를 재생한다. 상기의 조합들 역시 컴퓨터 판독 가능한 매체의 범위 내에 포함되어야 한다.
어떤 특정 실시예들이 교수용(instructional purposes)으로 이상에서 설명되더라도, 본 특허문서의 교시는 일반적 적용 가능성을 가지며 전술한 특정 실시예들에 제한되지 않는다. 따라서, 설명한 실시예들의 다양한 특징의 다양한 수정, 적응 및 조합은 청구범위에 기재하는 본 발명의 범위로부터 이탈하지 않고서 실시될 수 있다.

Claims (20)

  1. 모델 구축(model building) 및 분석 엔진에 있어서,
    견본(specimen) 구조의 기하학 모델을 생성하도록 구성되는 기하학 모델 구축 모듈과,
    상기 기하학 모델에 적어도 부분적으로 기초하여 입사 광학 방사선(optical radiation)에 대해 상기 견본 구조의 응답의 광학 응답 모델을 생성하도록 구성되는 광학 응답 함수 구축 모듈과,
    상기 기하학 모델에 적어도 부분적으로 기초하여 입사 x-레이 방사선(x-ray radiation)에 대해 상기 견본 구조의 응답의 x-레이 응답 모델을 생성하도록 구성되는 x-레이 응답 함수 구축 모듈로서, 상기 광학 응답 모델 및 상기 x-레이 응답 모델 둘 다는 상기 기하학 모델로부터 적어도 하나의 공통 기하학 파라미터를 포함하는 것인 상기 x-레이 응답 함수 구축 모듈과,
    피팅(fitting) 분석 모듈
    을 포함하며,
    상기 피팅 분석 모듈은,
    상기 견본 상에 입사하는 광학 조명 빔(optical illumination beam)에 응답하여 상기 견본으로부터 검출되는 광학 방사선의 양을 나타내는 측정 데이터의 제1 양을 수신하고,
    상기 견본 상에 입사하는 x-레이 조명 빔에 응답하여 상기 견본으로부터 검출되는 x-레이 방사선의 양을 나타내는 측정 데이터의 제2 양을 수신하며,
    상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅에 기초하여 적어도 하나의 견본 파라미터 값을 결정하고,
    메모리에 저장하기 위해 상기 적어도 하나의 견본 파라미터 값을 출력하도록
    구성되는 것인, 모델 구축 및 분석 엔진.
  2. 제1항에 있어서, 상기 적어도 하나의 공통 기하학 파라미터의 값은, 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅에 기초하여 결정되며,
    상기 결정된 값은 상기 적어도 하나의 견본 파라미터 값을 결정하기 위해 상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅에서 상수(constant)로서 취급되는 것인, 모델 구축 및 분석 엔진.
  3. 제1항에 있어서, 상기 적어도 하나의 공통 기하학 파라미터는, 상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅 둘 다를 포함하는 병렬 피팅 분석에서 글로벌(global) 파라미터로서 취급되는 것인, 모델 구축 및 분석 엔진.
  4. 제2항에 있어서, 상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅은 비용 함수를 최소화하는 것을 포함하는 것인, 모델 구축 및 분석 엔진.
  5. 제3항에 있어서, 상기 병렬 피팅 분석은 조합된 비용 함수를 최소화하는 것을 포함하는 것인, 모델 구축 및 분석 엔진.
  6. 제1항에 있어서, 상기 피팅 분석 모듈은 또한,
    상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅과 연관되는 비용 함수의 나머지 값을 결정하고,
    상기 나머지 값이 미리 결정된 임계 값을 초과하는지 여부를 결정하며,
    상기 나머지 값이 상기 미리 결정된 임계 값을 초과한다면 상기 견본 구조의 상기 기하학 모델을 재구성하고,
    상기 나머지 값이 상기 미리 결정된 임계 값을 초과하지 않는다면 상기 견본 구조의 상기 기하학 모델을 저장하도록
    구성되는 것인, 모델 구축 및 분석 엔진.
  7. 제1항에 있어서, 상기 광학 응답 모델 및 상기 x-레이 응답 모델은 상기 기하학 모델의 기하학 파라미터를 전부 공유하는 것인, 모델 구축 및 분석 엔진.
  8. 제1항에 있어서, 상기 적어도 하나의 공통 기하학 파라미터는, 라인 엣지 거칠기(line edge roughness), 라인 폭 거칠기(line width roughness), 공극 크기(pore size), 공극 밀도(pore density), 측벽 각(side wall angle), 프로파일(profile), 막 두께, 임계 치수(critical dimension) 및 피치(pitch) 중 임의의 것인, 모델 구축 및 분석 엔진.
  9. 제1항에 있어서, 상기 모델 구축 및 분석 엔진은 조합된 x-레이/광학 계측 툴의 컴퓨팅 시스템인 것인, 모델 구축 및 분석 엔진.
  10. 제9항에 있어서, 상기 조합된 x-레이/광학 계측 툴은,
    견본의 검사(inspection) 영역에 대해 입사 x-레이 빔을 성형하여 지향시키도록 구성되는 액체 금속(liquid metal) x-레이 조명 소스 및 x-레이 조명 광학부(optics)를 포함하는 액체 금속 기반 x-레이 조명 시스템과,
    상기 입사 x-레이 빔에 응답하여 상기 견본으로부터 상기 x-레이 방사선의 양을 검출하도록 구성되는 x-레이 검출기와,
    상기 입사 x-레이 빔과 동시에 상기 견본의 상기 검사 영역에 대해 입사 광학 조명 빔을 성형하여 지향시키도록 구성되는 광학 조명 소스 및 광학 조명 광학부를 포함하는 광학 조명 시스템으로서, 상기 입사 광학 조명 빔 및 상기 입사 x-레이 빔은 상기 견본의 상기 검사 영역에서 공간적으로 중복되는 것인 상기 광학 조명 시스템과,
    상기 입사 광학 조명 빔에 응답하여 상기 견본으로부터 상기 광학 방사선의 양을 검출하도록 구성되는 광학 검출기
    를 포함하는 것인, 모델 구축 및 분석 엔진.
  11. 모델 구축 및 분석 엔진을 위한 방법에 있어서,
    견본(specimen) 구조의 기하학 모델을 생성하는 단계와,
    상기 기하학 모델에 적어도 부분적으로 기초하여 광학 응답 모델 및 x-레이(x-ray) 응답 모델을 생성하는 단계로서, 상기 광학 응답 모델 및 상기 x-레이 응답 모델 둘 다는 상기 기하학 모델로부터 적어도 하나의 공통 기하학 파라미터를 포함하는 것인 상기 광학 응답 모델 및 x-레이 응답 모델 생성 단계와,
    상기 견본 상에 입사하는 광학 조명 빔(optical illumination beam)에 응답해서 상기 견본으로부터 검출되는 광학 방사선의 양에 기초하여 측정 데이터의 제1 양을 수신하는 단계와,
    상기 견본 상에 입사하는 x-레이 조명 빔에 응답해서 상기 견본으로부터 검출되는 x-레이 방사선의 양에 기초하여 측정 데이터의 제2 양을 수신하는 단계와,
    상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅(fitting) 분석 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅 분석에 기초하여 적어도 하나의 견본 파라미터 값을 결정하는 단계와,
    상기 적어도 하나의 견본 파라미터 값을 저장하는 단계
    를 포함하는 모델 구축 및 분석 엔진을 위한 방법.
  12. 제11항에 있어서, 상기 측정 데이터의 제2 양의 피팅 분석에 기초하여 상기 적어도 하나의 공통 기하학 파라미터의 값을 결정하는 단계를 더 포함하며, 상기 적어도 하나의 공통 기하학 파라미터의 결정된 값은 상기 측정 데이터의 제1 양의 피팅 분석에서 상수(constant)로서 취급되는 것인 방법.
  13. 제11항에 있어서, 상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 병렬 피팅 분석에 기초하여 상기 적어도 하나의 공통 기하학 파라미터의 값을 결정하는 단계를 더 포함하는 방법.
  14. 제11항에 있어서,
    상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅 분석 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅 분석과 연관되는 비용 함수의 나머지 값을 결정하는 단계와,
    상기 나머지 값이 미리 결정된 임계 값을 초과하는지 여부를 결정하는 단계와,
    상기 나머지 값이 상기 미리 결정된 임계 값을 초과한다면 상기 견본 구조의 상기 기하학 모델을 재구성하는 단계와,
    상기 나머지 값이 상기 미리 결정된 임계 값을 초과하지 않는다면 상기 견본 구조의 상기 기하학 모델을 저장하는 단계
    를 더 포함하는 방법.
  15. 제11항에 있어서, 상기 적어도 하나의 공통 기하학 파라미터는, 라인 엣지 거칠기(line edge roughness), 라인 폭 거칠기(line width roughness), 공극 크기(pore size), 공극 밀도(pore density), 측벽 각(side wall angle), 프로파일(profile), 막 두께, 임계 치수(critical dimension) 및 피치(pitch) 중 임의의 것인 방법.
  16. 제13항에 있어서, 상기 병렬 피팅 분석은 조합된 비용 함수를 최소화하는 것을 포함하는 것인 방법.
  17. 비일시적 컴퓨터 판독 가능한 매체에 있어서,
    컴퓨터로 하여금 견본(specimen) 구조의 기하학 모델을 생성하게 하기 위한 코드와,
    상기 컴퓨터로 하여금, 상기 기하학 모델에 적어도 부분적으로 기초하여 광학 응답 모델 및 x-레이(x-ray) 응답 모델을 생성하게 하기 위한 코드로서, 상기 광학 응답 모델 및 상기 x-레이 응답 모델 둘 다는 상기 기하학 모델로부터 적어도 하나의 공통 기하학 파라미터를 포함하는 것인 상기 광학 응답 모델 및 x-레이 응답 모델을 생성하기 위한 코드와,
    상기 컴퓨터로 하여금, 상기 견본 상에 입사하는 광학 조명 빔(optical illumination beam)에 응답해서, 상기 견본으로부터 검출되는 광학 방사선의 양에 기초하여 측정 데이터의 제1 양을 수신하게 하기 위한 코드와,
    상기 컴퓨터로 하여금, 상기 견본 상에 입사하는 x-레이 조명 빔에 응답해서, 상기 견본으로부터 검출되는 x-레이 방사선의 양에 기초하여 측정 데이터의 제2 양을 수신하게 하기 위한 코드와,
    상기 컴퓨터로 하여금, 상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅(fitting) 분석 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅 분석에 기초하여 적어도 하나의 견본 파라미터 값을 결정하게 하기 위한 코드와,
    상기 컴퓨터로 하여금 상기 적어도 하나의 견본 파라미터 값을 저장하게 하기 위한 코드
    를 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  18. 제17항에 있어서, 상기 컴퓨터로 하여금, 상기 측정 데이터의 제2 양의 피팅 분석에 기초하여 상기 적어도 하나의 공통 기하학 파라미터의 값을 결정하게 하기 위한 코드를 더 포함하며, 상기 적어도 하나의 공통 기하학 파라미터의 결정된 값은 상기 측정 데이터의 제1 양의 피팅 분석에서 상수(constant)로서 취급되는 것인, 비일시적 컴퓨터 판독 가능한 매체.
  19. 제17항에 있어서, 상기 컴퓨터로 하여금, 상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 병렬 피팅 분석에 기초하여 상기 적어도 하나의 공통 기하학 파라미터의 값을 결정하게 하기 위한 코드를 더 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
  20. 제17항에 있어서,
    상기 컴퓨터로 하여금, 상기 광학 응답 모델을 이용한 상기 측정 데이터의 제1 양의 피팅 분석 및 상기 x-레이 응답 모델을 이용한 상기 측정 데이터의 제2 양의 피팅 분석과 연관되는 비용 함수의 나머지 값을 결정하게 하기 위한 코드와,
    상기 컴퓨터로 하여금, 상기 나머지 값이 미리 결정된 임계 값을 초과하는지 여부를 결정하게 하기 위한 코드와,
    상기 컴퓨터로 하여금, 상기 나머지 값이 상기 미리 결정된 임계 값을 초과한다면 상기 견본 구조의 상기 기하학 모델을 재구성하게 하기 위한 코드와,
    상기 컴퓨터로 하여금, 상기 나머지 값이 상기 미리 결정된 임계 값을 초과하지 않는다면 상기 견본 구조의 상기 기하학 모델을 저장하게 하기 위한 코드
    를 더 포함하는, 비일시적 컴퓨터 판독 가능한 매체.
KR1020157002902A 2012-07-10 2013-07-08 조합된 x-레이 및 광학 계측을 위한 모델 구축 및 분석 엔진, 방법, 그리고 컴퓨터 판독 가능한 매체 KR102055966B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261669901P 2012-07-10 2012-07-10
US61/669,901 2012-07-10
US13/935,275 US10013518B2 (en) 2012-07-10 2013-07-03 Model building and analysis engine for combined X-ray and optical metrology
US13/935,275 2013-07-03
PCT/US2013/049602 WO2014011565A1 (en) 2012-07-10 2013-07-08 Model building and analysis engine for combined x-ray and optical metrology

Publications (2)

Publication Number Publication Date
KR20150036355A KR20150036355A (ko) 2015-04-07
KR102055966B1 true KR102055966B1 (ko) 2019-12-13

Family

ID=49914703

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157002902A KR102055966B1 (ko) 2012-07-10 2013-07-08 조합된 x-레이 및 광학 계측을 위한 모델 구축 및 분석 엔진, 방법, 그리고 컴퓨터 판독 가능한 매체

Country Status (8)

Country Link
US (1) US10013518B2 (ko)
JP (1) JP6184490B2 (ko)
KR (1) KR102055966B1 (ko)
CN (1) CN104583872B (ko)
DE (1) DE112013003491T5 (ko)
IL (1) IL236571B (ko)
TW (1) TWI611161B (ko)
WO (1) WO2014011565A1 (ko)

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
KR101890784B1 (ko) 2013-12-19 2018-08-22 에이에스엠엘 네델란즈 비.브이. 검사 방법과 장치 및 리소그래피 장치
WO2015120070A1 (en) 2014-02-05 2015-08-13 Kla-Tencor Corporation Grazing order metrology
DE102014102684A1 (de) * 2014-02-28 2015-09-03 Helmut Fischer GmbH Institut für Elektronik und Messtechnik Verfahren zur Messung eines Messobjektes mittels Röntgenfluoreszenz
US9594035B2 (en) * 2014-04-25 2017-03-14 Revera, Incorporated Silicon germanium thickness and composition determination using combined XPS and XRF technologies
NL2014647A (en) * 2014-05-13 2016-03-31 Asml Netherlands Bv Substrate and Patterning Device for use in Metrology, Metrology Method and Device Manufacturing Method.
WO2015200112A1 (en) * 2014-06-24 2015-12-30 Revera, Incorporated Feed-forward of multi-layer and multi-process information using xps and xrf technologies
US10012606B1 (en) 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10324050B2 (en) * 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10030965B2 (en) 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
KR102133320B1 (ko) 2015-10-09 2020-07-14 에이에스엠엘 네델란즈 비.브이. 검사 및 계측을 위한 방법 및 장치
CN108369381B (zh) 2015-12-04 2021-09-21 Asml荷兰有限公司 由量测数据的统计分层重建
US20200025554A1 (en) * 2015-12-08 2020-01-23 Kla-Tencor Corporation System, method and computer program product for fast automatic determination of signals for efficient metrology
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
CN113376975A (zh) * 2015-12-23 2021-09-10 Asml荷兰有限公司 量测方法、量测设备、器件制造方法和计算机程序产品
US10684238B2 (en) 2016-01-11 2020-06-16 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
US10453758B2 (en) 2016-03-01 2019-10-22 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter using an asymmetric optical characteristic distribution portion
US10359377B2 (en) 2016-04-22 2019-07-23 Kla-Tencor Corporation Beam shaping slit for small spot size transmission small angle X-ray scatterometry
CN107589135B (zh) * 2016-07-08 2019-10-01 中国科学院化学研究所 一种描述散射体形状的方法和系统
US10458912B2 (en) * 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10712145B2 (en) * 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
CN114415478A (zh) 2017-01-26 2022-04-29 Asml荷兰有限公司 微调过程模型的方法
EP3361315A1 (en) * 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
CN106950237B (zh) * 2017-03-10 2019-10-15 西安交通大学 一种基于峰间夹角比对的扫描式劳厄衍射图谱分析方法
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
WO2018233947A1 (en) 2017-06-20 2018-12-27 Asml Netherlands B.V. DETERMINATION OF EDGE ROUGHNESS PARAMETERS
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
US11333621B2 (en) * 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
WO2019042809A1 (en) 2017-09-01 2019-03-07 Asml Netherlands B.V. OPTICAL SYSTEMS, METROLOGY APPARATUS AND ASSOCIATED METHODS
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
WO2019129465A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
US10895541B2 (en) * 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) * 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191168A (ja) 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
WO2020008420A2 (en) 2018-07-05 2020-01-09 Bruker Jv Israel Ltd. Small-angle x-ray scatterometry
US10677586B2 (en) * 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
EP3614813A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. High harmonic generation radiation source
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US11328964B2 (en) * 2018-12-13 2022-05-10 Applied Materials, Inc. Prescriptive analytics in highly collinear response space
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
EP3699688A1 (en) 2019-02-19 2020-08-26 ASML Netherlands B.V. Methods and apparatus for metrology
CN113196176A (zh) 2018-12-21 2021-07-30 Asml荷兰有限公司 用于计量的方法和装置
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
EP3789809A1 (en) 2019-09-03 2021-03-10 ASML Netherlands B.V. Assembly for collimating broadband radiation
CN114342564A (zh) 2019-09-05 2022-04-12 Asml荷兰有限公司 改进的高次谐波生成装置
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
KR20220057590A (ko) 2019-10-17 2022-05-09 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 관련 계측 장치
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
CN114651214A (zh) 2019-11-05 2022-06-21 Asml荷兰有限公司 测量方法和测量设备
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
CN114616455A (zh) * 2019-11-28 2022-06-10 Ev 集团 E·索尔纳有限责任公司 用于测量基底的装置和方法
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US20230040124A1 (en) 2019-12-18 2023-02-09 Asml Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
CN111783292B (zh) * 2020-06-23 2023-12-12 上海联影医疗科技股份有限公司 X射线成像设备的建模方法、装置、设备及存储介质
CN116134972A (zh) 2020-07-21 2023-05-16 Asml荷兰有限公司 照射源和相关联的量测设备
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
KR20230110738A (ko) 2020-11-30 2023-07-25 에이에스엠엘 네델란즈 비.브이. 고차 고조파 생성에 기반한 계측 장치 및 관련 방법
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
EP4295187A1 (en) 2021-02-17 2023-12-27 ASML Netherlands B.V. Assembly for separating radiation in the far field
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
WO2022228820A1 (en) 2021-04-26 2022-11-03 Asml Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
WO2022253526A1 (en) 2021-05-31 2022-12-08 Asml Netherlands B.V. Metrology measurement method and apparatus
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
EP4356194A1 (en) 2021-06-14 2024-04-24 ASML Netherlands B.V. An illumination source and associated method apparatus
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
JP2023012227A (ja) 2021-07-13 2023-01-25 キオクシア株式会社 形状計測方法、形状計測装置、及びプログラム
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
DE102021210869A1 (de) 2021-09-29 2022-09-15 Carl Zeiss Smt Gmbh Verfahren zur Überprüfung von Masken für die Projektionslithographie
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
CN114295073B (zh) * 2021-12-09 2023-08-08 江苏互旦网络科技有限公司 一种搜索引擎自动优化的系统
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
CN116300696B (zh) * 2023-05-17 2023-11-14 天津岳东天合科技有限公司 一种基于镀锌工艺优化的机加工控制方法及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030113006A1 (en) 2001-12-19 2003-06-19 Berestov Alexander L. Optical recovery of radiographic geometry
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
JP2010533376A (ja) 2007-07-11 2010-10-21 ノヴァ・メジャーリング・インストゥルメンツ・リミテッド パターン化構造の特性をモニタリングする際に使用される方法及びシステム

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6031892A (en) 1989-12-05 2000-02-29 University Of Massachusetts Medical Center System for quantitative radiographic imaging
JP3259373B2 (ja) 1992-11-27 2002-02-25 株式会社日立製作所 露光方法及び露光装置
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5740226A (en) * 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
US5923720A (en) * 1997-06-17 1999-07-13 Molecular Metrology, Inc. Angle dispersive x-ray spectrometer
DE19746096A1 (de) 1997-10-17 1999-05-06 Siemens Ag Röntgeneinrichtung
US6192103B1 (en) * 1999-06-03 2001-02-20 Bede Scientific, Inc. Fitting of X-ray scattering data using evolutionary algorithms
FR2798551B1 (fr) 1999-09-14 2001-11-30 Eppra Dispositif de radiologie comportant des moyens d'agrandissement d'images perfectionnees
US6891610B2 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6512814B2 (en) * 2001-04-12 2003-01-28 Jordan Valley Applied Radiation X-ray reflectometer
WO2002091248A1 (en) * 2001-05-04 2002-11-14 Therma-Wave, Inc. Systems and methods for metrology recipe and model generation
GB0116825D0 (en) * 2001-07-10 2001-08-29 Koninl Philips Electronics Nv Determination of material parameters
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
WO2003087797A1 (en) 2002-04-17 2003-10-23 Ebara Corporation Sample surface inspection apparatus and method
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
JP2004151045A (ja) 2002-11-01 2004-05-27 Hitachi High-Technologies Corp 電子顕微鏡またはx線分析装置及び試料の分析方法
JP4388270B2 (ja) 2002-11-18 2009-12-24 株式会社日立ハイテクノロジーズ 表面検査方法及び表面検査装置
US7072442B1 (en) * 2002-11-20 2006-07-04 Kla-Tencor Technologies Corporation X-ray metrology using a transmissive x-ray optical element
JP3950811B2 (ja) 2003-04-17 2007-08-01 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X線ct装置およびビームハードニング後処理方法
CN1947062A (zh) 2004-02-23 2007-04-11 Asml荷兰有限公司 基于散射测量数据确定工艺参数值的方法
DE102005003001B4 (de) 2005-01-21 2009-10-08 Qimonda Ag Verfahren zur Korrektur des optischen Proximity-Effektes
US7478019B2 (en) * 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7103142B1 (en) * 2005-02-24 2006-09-05 Jordan Valley Applied Radiation Ltd. Material analysis using multiple X-ray reflectometry models
US7456399B1 (en) 2006-03-30 2008-11-25 Revera Incorporated Calibrating multiple photoelectron spectroscopy systems
WO2008013909A2 (en) * 2006-07-27 2008-01-31 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
CN101206112A (zh) 2007-12-20 2008-06-25 中国科学院长春光学精密机械与物理研究所 一种纳米级多层膜结构的测量方法
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8705694B2 (en) 2009-11-11 2014-04-22 Physical Optics Corporation X-ray imaging system and method
CN102884396B (zh) * 2010-02-25 2015-12-16 诺威量测设备股份有限公司 在图案化结构中进行测量的方法和系统
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030113006A1 (en) 2001-12-19 2003-06-19 Berestov Alexander L. Optical recovery of radiographic geometry
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
JP2010533376A (ja) 2007-07-11 2010-10-21 ノヴァ・メジャーリング・インストゥルメンツ・リミテッド パターン化構造の特性をモニタリングする際に使用される方法及びシステム

Also Published As

Publication number Publication date
IL236571A0 (en) 2015-02-26
CN104583872B (zh) 2017-04-26
JP6184490B2 (ja) 2017-08-23
US10013518B2 (en) 2018-07-03
IL236571B (en) 2020-11-30
TWI611161B (zh) 2018-01-11
CN104583872A (zh) 2015-04-29
KR20150036355A (ko) 2015-04-07
JP2015531056A (ja) 2015-10-29
US20140019097A1 (en) 2014-01-16
TW201411089A (zh) 2014-03-16
DE112013003491T5 (de) 2015-03-26
WO2014011565A1 (en) 2014-01-16

Similar Documents

Publication Publication Date Title
KR102055966B1 (ko) 조합된 x-레이 및 광학 계측을 위한 모델 구축 및 분석 엔진, 방법, 그리고 컴퓨터 판독 가능한 매체
KR102046216B1 (ko) 조합된 x­선 및 광학적 계측
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
CN108401437B (zh) 用于高高宽比结构的x光散射测量计量
US10801975B2 (en) Metrology tool with combined X-ray and optical scatterometers
US9778213B2 (en) Metrology tool with combined XRF and SAXS capabilities
CN111819675B (zh) 用于实时测量控制的方法及系统
CN113677983B (zh) 结合x射线计量数据集合以改进参数评估的方法及系统
WO2017185101A1 (en) Beam shaping slit for small spot size transmission small angle x-ray scatterometry
KR20190131129A (ko) 투과 소각 x 선 산란 계측 시스템
TW201350839A (zh) 具有組合x光及光學散射計之計量工具

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant