TWI791735B - 具有經寬帶雷射產生之電漿照明器之x射線計量系統 - Google Patents

具有經寬帶雷射產生之電漿照明器之x射線計量系統 Download PDF

Info

Publication number
TWI791735B
TWI791735B TW108100628A TW108100628A TWI791735B TW I791735 B TWI791735 B TW I791735B TW 108100628 A TW108100628 A TW 108100628A TW 108100628 A TW108100628 A TW 108100628A TW I791735 B TWI791735 B TW I791735B
Authority
TW
Taiwan
Prior art keywords
light
plasma
sample
laser
feed
Prior art date
Application number
TW108100628A
Other languages
English (en)
Other versions
TW201940013A (zh
Inventor
歐雷格 可哈達金
亞歷山德爾 畢卡諾維
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201940013A publication Critical patent/TW201940013A/zh
Application granted granted Critical
Publication of TWI791735B publication Critical patent/TWI791735B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/0014Measuring characteristics or properties thereof
    • H01S5/0035Simulations of laser characteristics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Lasers (AREA)

Abstract

本文中描述用於利用一寬帶、軟X射線照明源之基於x射線之半導體計量之方法及系統。一經雷射產生之電漿(LPP)光源產生高亮度、寬帶、軟x射線照明。該LPP光源將一高度聚焦、短持續時間雷射源引導至處於一液態或固態之一非金屬液滴目標。在一項實例中,一液滴產生器按50千赫與400千赫之間的一速率分配饋料之一系列標稱50微米液滴。在一個態樣中,各激勵光脈衝之持續時間小於1奈秒。在一些實施例中,各激勵光脈衝之持續時間小於0.5奈秒。在一些實施例中,該LPP光源包含一氣體分離系統,該氣體分離系統將未消耗饋料與該電漿室中之其他氣體分離且將該經分離饋料提供回至該液滴產生器。

Description

具有經寬帶雷射產生之電漿照明器之X射線計量系統
所描述實施例係關於用於x射線計量及檢測之經x射線雷射產生之電漿輻射源及系統。
通常藉由應用於一基板或晶圓之一系列處理步驟製造半導體裝置,諸如邏輯及記憶體裝置。藉由此等處理步驟形成半導體裝置之各種特徵及多個結構層級。例如,微影尤其係一種涉及在一半導體晶圓上產生一圖案之半導體製程。半導體製程之額外實例包含但不限於化學機械拋光、蝕刻、沈積及離子植入。可在單個半導體晶圓上製造多個半導體裝置且接著將其等分離成個別半導體裝置。
在一半導體製程期間之各個步驟使用計量程序來偵測晶圓上之缺陷以促成較高良率。通常使用數種基於計量之技術(包含散射測量及反射量測實施方案以及相關聯分析演算法)來特性化臨界尺寸、疊對、膜厚度、組合物及奈米級結構之其他參數。
傳統上,對由薄膜及/或重複週期性結構組成之目標執行量測。在裝置製造期間,此等膜及週期性結構通常表示實際裝置幾何形狀及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)邁向更小奈 米級尺寸,特性化變得更加困難。併入複雜三維幾何形狀及具有多樣化物理性質之材料之裝置貢獻於特性化難度。例如,現代記憶體結構通常係使光學輻射難以穿透至底層之高縱橫比、三維結構。利用紅外光至可見光之光學計量工具可穿透諸多半透明材料層,但提供良好穿透深度之較長波長不能提供對小異常之足夠敏感度。另外,特性化複雜結構(例如,FinFET)所要之參數數目增加導致參數相關性增大。因此,特性化目標之參數通常無法與可用量測可靠地脫離。
在一項實例中,不透明、高k材料越來越多地用於現代半導體結構中。光學輻射通常不能穿透由此等材料構成之層。因此,利用薄膜散射測量工具(諸如橢偏儀或反射計)之量測變得越來越具有挑戰性。
回應於此等挑戰,已開發更複雜光學計量工具。例如,已開發具有多個照明角、較短照明波長、較寬照明波長範圍及自經反射信號之更完整資訊擷取之工具(例如,除更習知反射性或橢偏信號以外,亦量測多個穆勒矩陣元素)。然而,此等方法尚未可靠地克服與諸多先進目標(例如,複雜3D結構、小於10nm之結構、採用不透明材料之結構)之量測及量測應用(例如,線邊緣粗糙度及線寬度粗糙度量測)相關聯之基本挑戰。
基於x射線之計量系統已表明有望解決具有挑戰性之量測應用。然而,適於基於x射線之計量技術(諸如反射小角度x射線散射測量(SAXS)、同調繞射成像(CDI)、及其他基於x射線之成像及基於疊對之技術)之可靠軟X射線照明源仍在開發中。
在一些其他實例中,可由一雷射直接提供照明光。一種方法係將較長波長源諧波升頻轉換為較短波長。然而,此方法尚未產生一實 用軟x射線照明源。
在一些實例中,可由藉由一連續波長雷射(例如,雷射持續電漿)泵浦之一光源提供照明光。在由一工作氣體環繞之高壓燈泡中在低於雷射電漿之溫度下產生雷射持續電漿。雖然利用雷射持續電漿獲得大輻射率改良,但此等電漿之溫度通常受此等燈內之光物理及動力學程序限制。此等電漿中之純原子及離子發射通常限於長於200nm之波長。準分子發射可經配置於雷射持續電漿中以進行171nm之波長發射(例如,氙準分子發射),但此等源通常係窄帶,功率受限且輻射率受限。171奈米之準分子發射在低壓(例如,3巴及以下)下最佳化,且171nm發射之功率在高輻射率所需之較高壓力下大幅減小。因此,一高壓燈泡中之一簡單氣體混合物僅能夠以足夠輻射率及平均功率承受200nm以上之波長覆蓋以支援高處理量、高解析度計量。在一些實例中,採用固體電極目標,但低重複率、電極腐蝕及大電漿大小導致低亮度及短壽命,從而限制其等對基於x射線之半導體計量之有效性。
極紫外(EUV)微影領域之開發努力集中於在高功率位準(例如,在照明器之中間焦點處係210瓦之平均功率)下發射以13奈米為中心之窄帶輻射(例如,+/-0.1nm)之光源。已使用一雷射液滴電漿架構開發用於EUV微影之光源。例如,由CO2同調源泵浦在近似100kHz之脈衝重複頻率下操作之氙、錫及鋰液滴目標。經實現光係高功率的(例如,在照明器之中間焦點處210瓦之平均功率係在13奈米下之微影工具目標)。然而,包括一半導體晶圓之材料對13奈米之窄帶光幾乎不展現反射性。
已執行實驗以自一基於氣體射流之經雷射產生之電漿提供寬帶、軟x射線照明。額外細節藉由Muller等人之「Emission properties of ns and ps laser-induced soft x-ray sources using pulsed gas jets」,Opt.Express 21,第12831頁至第12842頁(2013年)描述,該文件之全部內容以引用方式併入本文中。一氣體射流之使用導致一大電漿(例如,幾百微米)。此一大電漿光斑大小嚴重限制此一照明源對實際半導體計量應用之有效性。
期望具有計量應用所要之輻射率及平均功率之寬帶、軟X射線照明源。
本文中描述用於利用一寬帶、軟X射線照明源之基於x射線之半導體計量之方法及系統。一經雷射產生之電漿(LPP)光源產生高亮度、寬帶、軟x射線照明。該LPP光源將一高度聚焦、短持續時間雷射源引導至處於液態或固態之一非金屬液滴目標。一激勵光脈衝與饋料之一或多個液滴之相互作用致使該(等)液滴離子化以形成發射具有非常高亮度之一照明光之一電漿。在一較佳實施例中,該電漿亮度大於1014光子/(秒).(mm2).(mrad2)。該照明光包括自約1奈米至約20奈米之一光譜區域中之寬帶光。由收集光學件收集來自該電漿之輻射且將該輻射引導至一被測樣品。
在一些實施例中,非金屬饋料之各液滴之直徑小於200微米。在一較佳實施例中,非金屬饋料之各液滴之直徑小於40微米。在一些實施例中,液滴產生器係基於市售噴墨技術之一高頻流體分配器。在一項實例中,液滴產生器按50千赫與400千赫之間的一速率分配饋料之一系列標稱50微米液滴。
在一進一步態樣中,各激勵光脈衝之持續時間小於1奈 秒。在一些實施例中,各激勵光脈衝之持續時間小於0.5奈秒。
在另一進一步態樣中,LPP光源之電漿室填充有一緩衝氣體。緩衝氣體吸收由電漿產生之非常少軟X射線輻射,但使由電漿產生之快速離子熱化。因此,緩衝氣體保護LPP光源之光學元件免受由電漿產生之材料污染。在一些實施例中,電漿與LPP光源之光學元件之間的距離係至少十厘米。在較佳實施例中,在相對低壓力下(例如,在0.1托與100托之間)維持穿過電漿室之緩衝氣體流。
在另一進一步態樣中,LPP光源包含一氣體分離系統,該氣體分離系統將饋料與緩衝氣體分離且將經分離饋料提供回至液滴產生器。
前述內容係概要且因此必然含有細節之簡化、概括及省略;因此,熟習此項技術者將明白,該概要僅係闡釋性的且不以任何方式進行限制。如由發明申請專利範圍單獨定義之本文中所描述之裝置及/或程序之其他態樣、發明特徵及優點將在本文中所闡述之非限制性詳細描述中變得顯而易見。
100:基於x射線之計量系統/計量工具/記憶體板上系統
101:模型建立及分析引擎
102:結構模型建立模組
103:結構模型
104:x射線散射測量回應函數建立模組
105:全光束x射線散射測量回應函數模型
106:擬合分析模組
110:經雷射產生之電漿(LPP)光源
111:液滴產生器
112:非金屬饋料
113:脈衝雷射照明源/脈衝雷射
114:照明光學件
115:電漿
116:雷射照明窗
117:x射線濾光器
118:收集器
119:緩衝氣體流/氣流
120:氣體分離系統
121:經凝結饋料
122:經回收緩衝氣體
123:緩衝氣體
124:照明光/照明光束
125:鏡子
126:照明光學件
127:偵測器
128:經散射光
129:照明區域
130:運算系統
131:處理器
132:記憶體
133:匯流排
134:程式指令
135:命令信號
136:命令信號
137:命令信號
138:命令信號
139:命令信號
140:晶圓定位系統
141:邊緣抓握卡盤
142:旋轉致動器
143:周邊框架
144:線性致動器
145:運動控制器
146:座標系
150:樣品
151:信號/x射線散射測量資料
152:樣品參數值
153:電漿室
160:低溫室
161:閥
162:閥
163:經分離饋料
164:經分離緩衝氣體
165:精煉系統
170:蒸餾塔
171:殘留緩衝氣體
190:記憶體
200:方法
201:步驟
202:步驟
203:步驟
204:步驟
圖1係繪示至少一個新穎態樣中之包含一經雷射產生之電漿(LPP)光源之一計量系統之一簡化圖。
圖2係繪示一項實施例中之一氣體分離系統之一簡化圖。
圖3係繪示一實例性模型建立及分析引擎之一簡化圖。
圖4係向一被測半導體樣品產生寬帶、軟x射線照明光之一方法之一流程圖。
現將詳細參考本發明之背景實例及一些實施例,其等實例係在隨附圖式中繪示。
本文中描述用於利用一寬帶、軟X射線照明源之基於x射線之半導體計量之方法及系統。更具體而言,一經雷射產生之電漿(LPP)光源產生高亮度(即,大於1013光子/(sec.mm2.mrad2))、寬帶軟x射線照明(即,包含一1奈米至20奈米範圍內之波長)。為了達成此高亮度,LPP光源將一高度聚焦、短持續時間雷射源引導至處於液態或固態之一非金屬液滴目標。經聚焦雷射脈衝與液滴目標之相互作用點燃一電漿。由收集光學件收集來自該電漿之輻射且將該輻射引導至一被測樣品。
圖1描繪至少一個新穎態樣中之包含一經雷射產生之電漿(LPP)光源110之一基於x射線之計量系統100。LPP光源110包含一電漿室153、液滴產生器111及一脈衝雷射照明源113。電漿室153包含一或多個壁,該一或多個壁將緩衝氣體123流容納於該電漿室內。液滴產生器111將一非金屬饋料112之一系列液滴分配至電漿室153中。
在一個態樣中,由液滴產生器111產生之液滴在電漿室153中處於固態或液態。在一些實施例中,非金屬饋料係氙、氪、氬、氖、氮或其等之任何組合。在一些實施例中,非金屬饋料112之各液滴之直徑小於200微米。在一較佳實施例中,非金屬饋料112之各液滴之直徑小於40微米。在一些實施例中,液滴產生器111係基於市售噴墨技術之一高頻流體分配器。在一項實例中,液滴產生器111按50千赫與400千赫之間的一速率分配饋料112之一系列標稱50微米液滴。
脈衝雷射113產生一系列激勵光脈衝。各激勵光脈衝經引導至饋料112之一液滴。由照明光學件114將激勵光以一非常小光斑大小 聚焦至液滴上。在一些實施例中,將激勵光以小於100微米之一光斑大小聚焦至液滴上。在一些實施例中,將激勵光以小於10微米之一光斑大小聚焦至液滴上。在一較佳實施例中,將激勵光以小於5微米之一光斑大小聚焦至液滴上。隨著激勵光之光斑大小減小,經誘發電漿之光斑大小減小。在一較佳實施例中,電漿115之光斑大小小於10微米。在一些實施例中,脈衝雷射113係一基於鏡(Yb)之固態雷射。在一些其他實施例中,脈衝雷射113係一基於釹(Nb)之固態雷射。
在一進一步態樣中,各激勵光脈衝之持續時間小於1奈秒。在一些實施例中,各激勵光脈衝之持續時間小於0.5奈秒。
一激勵光脈衝與饋料之一或多個液滴之相互作用致使(若干)液滴離子化以形成發射具有非常高亮度之一照明光124之一電漿115。在一較佳實施例中,電漿115之亮度大於1014光子/(秒).(mm2).(mrad2)。照明光包括自約1奈米至約20奈米之一光譜區域中之寬帶光。
由收集器118收集照明光124且將照明光124聚焦至被測樣品150上。在圖1中所描繪之實施例中,收集器118集中由電漿115發射之照明光124且引導照明光124穿過窗117。在一些實施例中,窗117係一x射線濾光器,其經組態以對一所關注波長範圍內(例如,在1奈米與20奈米之間)之x射線輻射透明,且實質上吸收該所關注波長範圍外之輻射。
收集器120可為任何合適形狀以集中自電漿115產生之照明光。合適實例包含橢圓形收集器及具有多個表面輪廓之收集器。在2010年4月27日授予KLA-Tencor Technologies Corp.之美國專利7,705,331中描述用於收集自一電漿發射之光之實例性技術,該專利之全部內容以引用方式併入本文中。
在圖1中所描繪之實施例中,照明光124經由窗117離開電漿室153且藉由鏡子125重定向朝向樣品150。另外,採用照明光學件126以使在照明區域129內入射於樣品150上之照明光124進一步塑形。照明光學件126可包含一中空光學均化器或一反射光管以有效地將照明光傳輸至一樣品。在一些其他實施例中,可採用一照明及收集物鏡。在此等實施例中,照明光學件126將照明光傳輸至該物鏡。
量測區域129內對樣品150之照明致使光自樣品150散射。由偵測器127偵測經散射光128。偵測器127產生指示入射於偵測器之(若干)作用區域上之經散射光之信號151。偵測器127將信號151傳達至運算系統130以進行分析。運算系統130至少部分地基於經獲取信號151而判定樣品150之性質。
在另一進一步態樣中,運算系統130經組態以產生一樣品之一經量測結構之一結構模型(例如,幾何模型、材料模型或經組合之幾何及材料模型),產生包含來自該結構模型之至少一個幾何參數之一x射線散射測量回應模型,且藉由利用該x射線散射測量回應模型執行x射線散射測量量測資料之一擬合分析來解析至少一個樣品參數值。該分析引擎用來比較經模擬之x射線散射測量信號與經量測資料,由此允許判定幾何以及材料性質,諸如樣本之電子密度。在圖1中所描繪之實施例中,運算系統130經組態為一模型建立及分析引擎,其經組態以實施如本文中所描述之模型建立及分析功能。
圖3係繪示由運算系統130實施之一實例性模型建立及分析引擎101之一圖。如圖3中所描繪,模型建立及分析引擎101包含產生一樣品之一經量測結構之一結構模型103之一結構模型建立模組102。在一些 實施例中,結構模型103亦包含該樣品之材料性質。結構模型103經接收為x射線散射測量回應函數建立模組104之輸入。x射線散射測量回應函數建立模組104至少部分地基於結構模型103而產生一全光束x射線散射測量回應函數模型105。在一些實例中,x射線散射測量回應函數模型105係基於x射線形狀因子,
Figure 108100628-A0305-02-0013-2
其中F係形狀因子,q係散射向量,且ρ(r)係如方程式(1)中所描述之球形座標中之樣品之電子密度。接著藉由方程式(2)給出x射線散射強度。
Figure 108100628-A0305-02-0013-1
x射線散射測量回應函數模型105經接收為擬合分析模組106之輸入。擬合分析模組106比較經模型化之x射線散射測量回應與對應之經量測資料以判定樣品之幾何以及材料性質。
在一些實例中,藉由最小化一卡方值來達成將經模型化資料擬合至實驗資料。例如,針對x射線散射測量量測,可將一卡方值定義為
Figure 108100628-A0305-02-0013-3
其中,
Figure 108100628-A0305-02-0013-5
係「通道」j中之經量測之x射線散射測量信號151,其中索引j描述一組系統參數,諸如繞射階、能量、角座標等。
Figure 108100628-A0305-02-0013-6
(v1 ,...,vL)係針對一組結構(目標)參數v1 ,...,vL評估之「通道」j之經模型化之x射線散射測量信號Sj,其中此等參數描述幾何(CD、側壁角、疊對等)及材料(電子密度等)。σSAXS,j係與第j通道相關聯之不確定性。NSAXS係x射線計量中之通道之總數目。L係特性化計量目標之參數之數目。
方程式(3)假定與不同通道相關聯之不確定性係不相關的。在其中與不同通道相關聯之不確定性相關之實例中,可計算不確定性之間 的一協方差。在此等實例中,可將全光束x射線散射測量量測之一卡方值表達為
Figure 108100628-A0305-02-0014-4
其中,VSAXS係SAXS通道不確定性之協方差矩陣,且T表示轉置。
在一些實例中,擬合分析模組106藉由利用x射線散射測量回應模型105對x射線散射測量量測資料151執行一擬合分析來解析至少一個樣品參數值152。在一些實例中,最佳化
Figure 108100628-A0305-02-0014-7
。在圖1中所描繪之實施例中,將經判定值152儲存於記憶體190中。
如前文中所描述,藉由最小化卡方值來達成x射線散射測量資料之擬合。然而,一般而言,可藉由其他函數達成全光束x射線散射測量資料之擬合。
x射線散射測量計量資料之擬合對於提供對所關注之幾何及/或材料參數之敏感度之任何類型之x射線散射測量技術皆係有利的。樣品參數可為確定性的(例如,CD、SWA、疊對等)或統計性的(例如,側壁粗糙度之均方根高度、粗糙度相關長度等),只要使用描述x射線散射測量光束與樣品之相互作用之恰當模型即可。
一般而言,運算系統130經組態以採用即時關鍵尺寸標註(RTCD)即時存取模型參數,或其可存取預先計算之模型庫以判定與樣品150相關聯之至少一個樣品參數值之一值。一般而言,某種形式之CD引擎可用來評估一樣品之指定CD參數與相關聯於經量測樣品之CD參數之間的 差異。在2010年11月2日授予KLA-Tencor Corp.之美國專利第7,826,071號中描述用於計算樣品參數值之實例性方法及系統,該專利之全部內容以引用方式併入本文中。
在一些實例中,模型建立及分析引擎101藉由側饋分析、前饋分析及並行分析之任何組合改良經量測參數之準確度。側饋分析指代採取同一樣品之不同區域上之多個資料集且將自第一資料集判定之共同參數傳遞至第二資料集上以進行分析。前饋分析指代採用不同樣品上之資料集且使用一逐步複製確切參數前饋方法將共同參數前向傳遞給後續分析。並行分析指代將一非線性擬合方法並行或同時應用於多個資料集,其中在擬合期間耦合至少一個共同參數。
多工具及結構分析指代基於多個資料集之回歸、一查找表(即,「庫」匹配)或另一擬合程序之一前饋、側饋或並行分析。在2009年1月13日授予KLA-Tencor Corp.之美國專利第7,478,019號中描述用於多工具及結構分析之實例性方法及系統,該專利之全部內容以引用方式併入本文中。
在一個進一步態樣中,計量工具100包含經組態以實施如本文中所描述之光束控制功能之一運算系統(例如,運算系統130)。在圖1中所描繪之實施例中,運算系統130經組態為一光束控制器,其可操作以控制照明性質之任一者,諸如入射照明光束124之強度、發散、光斑大小、偏振、光譜及定位。
如圖1中所繪示,運算系統130經通信耦合至偵測器127。運算系統130經組態以自偵測器127接收量測資料151。在一項實例中,量測資料151包含樣品之經量測回應之一指示(即,繞射階之強度)。基於偵 測器127之表面上之經量測回應之分佈,由運算系統130判定照明光束124入射於樣品150上之位置及區域。在一項實例中,由運算系統130應用圖案辨識技術以基於量測資料151判定照明光束124入射於樣品150上之位置及區域。在一些實例中,運算系統130將一命令信號(未展示)傳達至照明光學件126以選擇所期望照明波長且重定向及重塑形照明光束124,使得入射照明光束124到達相對於樣品150之所期望位置及角度定向。在一些其他實例中,運算系統130將一命令信號137傳達至晶圓定位系統140以定位及定向樣品150,使得入射照明光束124到達相對於樣品150之所期望位置及角度定向。在一些其他實例中,運算系統130將命令信號135及136傳達至LPP光源110以選擇所期望照明波長且重定向及重塑形照明光束124,使得入射照明光束124到達相對於樣品150之所期望位置及角度定向。
在一些實施例中,可期望以不同定向執行量測。此增加經量測參數之精度及準確度,且藉由擴展可用於分析之資料集之數目及多樣性以包含各種大角度、平面外定向來降低參數當中之相關性。利用一更深、更多樣化之資料集量測樣品參數亦可降低參數當中之相關性且改良量測準確度。例如,在一垂直定向中,x射線散射測量能夠解析一特徵之臨界尺寸,但很大程度上對一特徵之側壁角及高度不敏感。然而,藉由在一寬範圍之平面外角度位置內收集量測資料,可解析一特徵之側壁角及高度。
如圖1中所繪示,計量工具100包含一樣品定位系統140,該樣品定位系統140經組態以在相對於散射儀之一大範圍之平面外角度定向內既對準樣品150又定向樣品150。換言之,樣品定位系統140經組態以在一大角度範圍內繞與樣品150之表面在平面內對準之一或多個旋轉軸旋 轉樣品150。在一些實施例中,樣品定位系統140經組態以在至少90度之一範圍內繞與樣品150之表面在平面內對準之一或多個旋轉軸旋轉樣品150。在一些實施例中,樣品定位系統經組態以在至少60度之一範圍內繞與樣品150之表面在平面內對準之一或多個旋轉軸旋轉樣品150。在一些其他實施例中,樣品定位系統140經組態以在至少1度之一範圍內繞與樣品150之表面在平面內對準之一或多個旋轉軸旋轉樣品150。以此方式,由計量系統100在樣品150之表面上之任何數目個位置內收集樣品150之經角度解析之量測值。在一項實例中,運算系統130將指示樣品150之所期望位置之命令信號137傳達至樣品定位系統140之運動控制器145。作為回應,運動控制器145向樣品定位系統140之各種致動器產生命令信號以達成樣品150之所期望定位。
藉由非限制性實例,如圖1中所繪示,樣品定位系統140包含一邊緣抓握卡盤141以將樣品150固定地附接至樣品定位系統140。一旋轉致動器142經組態以相對於一周邊框架143旋轉邊緣抓握卡盤141及經附接樣品150。在所描繪實施例中,旋轉致動器142經組態以繞圖1中所繪示之座標系146之x軸旋轉樣品150。如圖1中所描繪,樣品150繞z軸之一旋轉係樣品150之一平面內旋轉。繞x軸及y軸(未展示)之旋轉係樣品150之平面外旋轉,其等有效地使樣品之表面相對於計量系統100之計量元件傾斜。雖然未繪示,但一第二旋轉致動器經組態以繞y軸旋轉樣品150。一線性致動器144經組態以在x方向上平移周邊框架143。另一線性致動器(未展示)經組態以在y方向上平移周邊框架143。以此方式,樣品150之表面上之每個位置可用於在一範圍之平面外角度位置內進行量測。例如,在一項實施例中,在相對於樣品150之垂直定向之-45度至+45度之一範圍內以若 干角度增量量測樣品150之一位置。
一般而言,樣品定位系統140可包含機械元件之任何合適組合以達成所期望之線性及角度定位效能,包含但不限於測角器台、六腳架台(hexapod stage)、角度台及線性台。
在一進一步態樣中,電漿室153填充有一緩衝氣體123。光學元件(諸如雷射照明窗116、收集器118及x射線濾光器117)對來自電漿115之材料沈積敏感。緩衝氣體123吸收由電漿產生之非常少之軟X射線輻射,但使由電漿115產生之快速離子熱化。以此方式,緩衝氣體123保護照明窗116、收集器118及x射線濾光器117免受由電漿115產生之材料污染。在一些實施例中,緩衝氣體123係氦、氫或其等組合。氦及氫兩者對所關注波長(即,1奈米與20奈米之間的波長)之軟x射線輻射係透明的。在一較佳實施例中,氦用作緩衝氣體,此係因為其係惰性的,且因此固有地比氫更安全。在一些實施例中,電漿115與電漿室153之光學元件(例如,窗116及117及收集器118)之間的距離係至少十厘米。在較佳實施例中,在相對低壓力下(例如,在0.1托與100托之間)維持穿過電漿室153之緩衝氣體123流。
在另一進一步態樣中,LPP光源110包含一氣體分離系統120,該氣體分離系統120將饋料(例如,氙)與緩衝氣體(例如,氦)分離且將經分離饋料提供回至液滴產生器。如圖1中所描繪,氣體分離系統120接收來自電漿室153之一緩衝氣體流119。流119包含緩衝氣體123及處於氣態(即,在由電漿115加熱之後)之非金屬饋料112兩者。氣體分離系統120將非金屬饋料112與緩衝氣體123分離。將經回收非金屬饋料121輸送至液滴產生器111以便再使用。另外,將經回收緩衝氣體122輸送回至電 漿室153。
圖2描繪一項實施例中之氣體分離系統120之一簡化繪示。如圖2中所描繪,氣體分離系統120包含一低溫室160及一蒸餾塔170。閥161及162控制氣體流入及流出低溫室160。運算系統130分別經由命令信號138及139控制閥161及162之狀態。在一項實例中,運算系統130傳達致使閥161打開且允許來自氣流119之未經分離氣體填充低溫室160之命令信號138。未分離氣體經冷凝,直至非金屬饋料凍結且與保持氣體形式之緩衝氣體分離。自低溫室160抽出經分離緩衝氣體164。在抽出經分離緩衝氣體164之後,在低溫室160內將經分離饋料163加熱至氣態。接著將經分離饋料163自低溫室160抽出至蒸餾塔170中。運算系統130傳達致使閥162打開且允許經分離饋料163自低溫室160至蒸餾塔170之命令信號139。在蒸餾塔170內再次冷凝經分離饋料163。蒸餾塔170維持自塔頂(例如,較熱)至塔底(例如,較冷)之一溫度梯度。隨著經分離饋料163被冷卻,其凝結成液態且沈澱於蒸餾塔170之底部。自蒸餾塔170排出經凝結饋料121且將經凝結饋料121輸送至液滴產生器111。此外,自蒸餾塔170回收殘留緩衝氣體171。在所描繪實施例中,將經分離緩衝氣體164及殘留緩衝氣體171輸送至一精煉系統165以在輸送至電漿室153之前進一步增加經回收緩衝氣體之純度。一般而言,精煉系統165係可選的。在一些實施例中,經分離緩衝氣體164及殘留緩衝氣體171足夠純淨,且在再引入至電漿室153中之前不需要額外處理。在一些其他實施例中,不再使用緩衝氣體;而是將經回收緩衝氣體164及殘留緩衝氣體171丟棄且不再引入至電漿室153中。
一般而言,氣體分離系統120可包含多個低溫室。可採用 額外閥來控制穿過各低溫室之氣流。例如,當在一低溫室冷凝一進入氣流時,另一低溫室可加熱經冷凍饋料以將該饋料輸送至蒸餾塔170。
如圖1中所描繪,系統100包含直接聚焦於一液滴上以產生電漿115之單個雷射。然而,系統100可包含一個以上雷射,其中各雷射不同地或相同地組態。例如,雷射可經組態以產生可在相同或不同時間引導至一液滴之具有不同特性之光。在另一實例中,雷射可經組態以將光自相同或不同方向引導至一液滴。在前述美國專利7,705,331中描述用於將激勵光引導至一目標之實例性技術,該專利之全部內容以引用方式併入本文中。
圖1描繪一項實施例中之一反射小角度x射線散射測量(SAXS)系統。然而,在本專利文件之範疇內可預期採用如本文中所描述之一LPP光源之其他基於x射線之計量系統。在一些實例中,一基於同調繞射成像(CDI)之計量系統包含如本文中所描述之一LPP光源。在其他實例中,一LPP光源可用作一成像x射線計量系統之部分。
在一些實施例中,一成像物鏡將經收集光引導至一偵測器。在一些實施例中,由照明光學系統126將由光源110產生之照明光傳輸至一物鏡。在一些實施例中,照明光學件126及成像物鏡可主要由相同元件組成且實質上相同。在一些其他實施例中,由照明光學件126將由光源110產生之照明光直接傳輸至樣品150,而非首先被引導穿過成像物鏡之元件。回應於入射於樣品150上之照明光,來自樣品150之光經收集、放大且由一成像物鏡引導至一偵測器。
在一些實施例中,採用經設計有一足夠視場之一成像物鏡。穿過物鏡之光路徑應較佳地包含與反射表面之最小數目次相互作用來 最小化與各次相互作用相關聯之吸收損失。在2008年4月1日授予KLA-Tencor Technologies Corp.之美國專利7,351,980中描述使用四鏡、四通設計之具有全反射組件之一物鏡之實例性設計,該專利之全部內容以引用方式併入本文中。另外,在美國專利公開案第2010/0188738A1號中描述使用四鏡、六通設計之具有全反射組件之一物鏡之實例性設計,該案之全部內容以引用方式併入本文中。
照明方向影響一計量系統(諸如計量系統100)如何解析一晶圓上之一結構。在一些實施例中,所論述光學組態可能具有反射元件之一或多者中出於照明目的而具體最佳化之不均勻光學性質。例如,歸因於照明路徑中之高曝光能量,可最佳化塗層以增加塗層耐久性。
圖4繪示根據至少一個發明態樣之適於產生用於基於x射線之計量之寬帶、軟x射線照明光之一方法200。應認知,可經由儲存為程式指令134之部分且由運算系統130之一或多個處理器執行之預程式化演算法實行方法200之資料處理元件。雖然在圖1中所描繪之系統100之背景下呈現下文描述,但本文中應認知系統100之特定結構態樣不表示限制且應僅被解釋為繪示性。
在區塊201中,將處於固態或液態之一非金屬饋料之一系列液滴分配至一電漿室中。電漿室包含至少一個壁以將一緩衝氣體流容納於電漿室內。
在區塊202中,產生一激勵光脈衝且將該激勵光脈衝引導至電漿室中之饋料之一液滴。激勵光脈衝具有小於1奈秒之一持續時間。激勵光脈衝與饋料之液滴之相互作用致使液滴離子化以形成發射一照明光之一電漿。照明光包括自約1奈米至約20奈米之一光譜區域中之寬帶光且 可用來照明一被測樣品。
在區塊203中,回應於照明光而偵測來自樣品之一光量。
在區塊204中,基於經偵測光量而判定被測樣品之至少一個所關注參數之一值。
應認知,可由單個電腦系統130或替代地多個電腦系統130實行貫穿本發明所描述之各個步驟。此外,系統100之不同子系統(諸如樣品定位系統140、氣體分離系統120、液滴產生器111、雷射113及偵測器127)可包含適於實行本文中所描述之步驟之至少一部分之電腦系統。因此,前述描述不應被解釋為限制本發明,而是僅為一闡釋。此外,一或多個運算系統130可經組態以執行本文中所描述之方法實施例之任一者之(若干)任何其他步驟。
另外,電腦系統130可以此項技術中已知之任何方式經通信耦合至樣品定位系統140、氣體分離系統120、液滴產生器111、雷射113及偵測器127。例如,一或多個運算系統130可分別經耦合至與樣品定位系統140、氣體分離系統120、液滴產生器111、雷射113及偵測器127相關聯之運算系統。在另一實例中,可由耦合至電腦系統130之單個電腦系統直接控制樣品定位系統140、氣體分離系統120、液滴產生器111、雷射113及偵測器127之任一者。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自該系統之子系統(例如,樣品定位系統140、氣體分離系統120、液滴產生器111、雷射113及偵測器127、及類似者)接收及/或獲取資料或資訊。以此方式,傳輸媒體可用作電腦系統130與系統100之其他子系統之間的一資料鏈路。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,模型化輸入、模型化結果等)。以此方式,傳輸媒體可用作電腦系統130與其他系統(例如,記憶體板上系統100、外部記憶體或外部系統)之間的一資料鏈路。例如,運算系統130可經組態以經由一資料鏈路自一儲存媒體(即,記憶體132)接收量測資料(例如,信號151)。例如,使用偵測器127獲得之量測結果可經儲存於一永久或半永久記憶體裝置(例如,記憶體132)中。在此方面,量測結果可自板上記憶體或自一外部記憶體系統導入。此外,電腦系統130可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130判定之參數值152可經儲存於一永久或半永久記憶體裝置(例如,記憶體190)中。在此方面,量測結果可經導出至另一系統。
運算系統130可包含但不限於一個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。一般而言,術語「運算系統」可被廣義地定義為涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
實施諸如本文中所描述之方法之程式指令134可透過一傳輸媒體來傳輸,諸如一電線、電纜或無線傳輸鏈路。例如,如圖1中所繪示,儲存於記憶體132中之程式指令透過匯流排133傳輸至處理器131。程式指令134經儲存於一電腦可讀媒體(例如,記憶體132)中。實例性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟、或一磁帶。
如本文中所描述之計量技術可用來判定半導體結構之特性。實例性結構包含但不限於FinFET、低維結構(諸如奈米線或石墨烯、亞10nm結構、薄膜、微影結構、矽通孔(TSV))、記憶體結構(諸如 DRAM、DRAM 4F2、FLASH)及高縱橫比記憶體結構(諸如3D-NAND結構)。實例性結構特性包含但不限於幾何參數(諸如線邊緣粗糙度、線寬度粗糙度、孔徑、孔密度、側壁角、輪廓、膜厚度、臨界尺寸、節距)及材料參數(諸如電子密度、晶粒結構、形態、定向、應力、應變、元素識別及材料組合物)。
在一些實施例中,本文中所描述之技術可經實施為一製程工具之部分。製程工具之實例包含但不限於微影曝光工具、膜沈積工具、植入工具及蝕刻工具。以此方式,溫度測量之結果用來控制一製程。
本文中描述針對可用於處理一樣品之一半導體處理系統(例如,一檢測系統、一計量系統或一微影系統)之各項實施例。術語「樣品」在本文中用來指代可藉由此項技術中已知之方式處理(例如,印刷或檢測缺陷)之一晶圓、一主光罩或任何其他樣本。
如本文中所使用,術語「樣品」通常指代一晶圓。然而,應理解,本文中所描述之方法及系統可用來提供此項技術中已知之任何其他樣品之照明。
如本文中所使用,術語「晶圓」通常指代由一半導體或非半導體材料形成之基板。實例包含但不限於單晶矽、砷化鎵及磷化銦。通常可在半導體製造廠中發現及/或處理此等基板。在一些情況下,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「被圖案化」或「未被圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「主光罩」可為處於一主光罩製程之任何階段之一主光罩,或可經釋放或可未經釋放以用於一半導體製造廠中之一成品主光罩。 一主光罩或一「遮罩」通常被定義為具有形成於其上且經組態成一圖案之實質上不透明區域之一實質上透明基板。該基板可包含例如一玻璃材料,諸如石英。在一微影製程之一曝光步驟期間,一主光罩可經安置於一光阻劑覆蓋之晶圓上方,使得主光罩上之圖案可經轉印至光阻劑。
形成於晶圓上之一或多個層可被圖案化或未被圖案化。例如,一晶圓可包含複數個晶粒,各晶粒具有可重複圖案特徵。此等材料層之形成及處理可最終產生成品裝置。諸多不同類型之裝置可經形成於一晶圓上,且如本文中所使用之術語晶圓意欲於涵蓋在其上製造此項技術中已知之任何類型之裝置之晶圓。
在一或多項實例性實施例中,可在硬體、軟體、韌體或其等任何組合中實施所描述功能。若在軟體中實施,則可將功能作為一或多個指令或程式碼儲存於一電腦可讀媒體上或透過一電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,包含促進一電腦程式自一個位置轉移至另一位置之任何媒體。一儲存媒體可為可由一通用或專用電腦存取之任何可用媒體。藉由實例且非限制,此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置、或可用來呈指令或資料結構之形式攜載或儲存所期望程式碼構件且可由一通用或專用電腦或者一通用或專用處理器存取之任何其他媒體。再者,任何連接被適當地稱為電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線路(DSL)或無線技術(諸如紅外線、無線電及微波)自網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之定義中。如本文中所使用,磁碟及光碟包含光碟(CD)、雷射 碟、光碟(optical disc)、數位多功能光碟(DVD)、軟碟及藍光碟,其中磁碟通常磁性地重現資料,而光碟用雷射光學地重現資料。上文之組合亦應包含於電腦可讀媒體之範疇內。
儘管上文出於指導目的描述某些特定實施例,但本專利文件之教示具有一般適用性且不限於上文所描述之特定實施例。據此,可在不背離如發明申請專利範圍中所闡述之本發明之範疇之情況下實踐所描述實施例之各種特徵之各種修改、調適及組合。
100‧‧‧基於x射線之計量系統/計量工具/記憶體板上系統
110‧‧‧經雷射產生之電漿(LPP)光源
111‧‧‧液滴產生器
112‧‧‧非金屬饋料
113‧‧‧脈衝雷射照明源/脈衝雷射
114‧‧‧照明光學件
115‧‧‧電漿
116‧‧‧雷射照明窗
117‧‧‧x射線濾光器
118‧‧‧收集器
119‧‧‧緩衝氣體流/氣流
120‧‧‧氣體分離系統
121‧‧‧經凝結饋料
122‧‧‧經回收緩衝氣體
123‧‧‧緩衝氣體
124‧‧‧照明光/照明光束
125‧‧‧鏡子
126‧‧‧照明光學件
127‧‧‧偵測器
128‧‧‧經散射光
129‧‧‧照明區域
130‧‧‧運算系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
135‧‧‧命令信號
136‧‧‧命令信號
137‧‧‧命令信號
138‧‧‧命令信號
139‧‧‧命令信號
140‧‧‧晶圓定位系統
141‧‧‧邊緣抓握卡盤
142‧‧‧旋轉致動器
143‧‧‧周邊框架
144‧‧‧線性致動器
145‧‧‧運動控制器
146‧‧‧座標系
150‧‧‧樣品
151‧‧‧信號/x射線散射測量量測資料
152‧‧‧樣品參數值
153‧‧‧電漿室
190‧‧‧記憶體

Claims (20)

  1. 一種經雷射產生之電漿光源,其包括:一電漿室,其具有至少一個壁,該至少一個壁可部分地操作以將一緩衝氣體流容納於該電漿室內;一液滴產生器,其將處於一固態或液態之一非金屬饋料之一系列液滴分配至該電漿室中;及一脈衝雷射,其產生一激勵光脈衝,該激勵光脈衝經引導至該電漿室中之該饋料之一液滴,該激勵光脈衝具有小於1奈秒之一持續時間,其中該激勵光脈衝與該饋料之該液滴之相互作用致使該液滴離子化以形成發射一照明光之一電漿,其中該照明光包括自約1奈米至約20奈米之一光譜區域中之寬帶光,其中該照明光可用來照明一被測樣品。
  2. 如請求項1之經雷射產生之電漿光源,其進一步包括:一氣體再循環系統,其經組態以將一饋料量與該緩衝氣體分離且將該饋料量提供至該液滴產生器。
  3. 如請求項2之經雷射產生之電漿光源,該氣體再循環系統包括:至少一個低溫室,該至少一個低溫室用來將該饋料量與該緩衝氣體之一部分分離;及一蒸餾塔,其用來將該饋料量與該緩衝氣體之一殘留部分分離。
  4. 如請求項3之經雷射產生之電漿光源,其中該緩衝氣體之該部分經提 供至該電漿室。
  5. 如請求項1之經雷射產生之電漿光源,其中該緩衝氣體係氦或氫。
  6. 如請求項1之經雷射產生之電漿光源,其中該電漿室之一窗與該電漿之一距離係至少10厘米。
  7. 如請求項1之經雷射產生之電漿光源,其中該饋料之該系列液滴之各者之直徑小於200微米。
  8. 如請求項1之經雷射產生之電漿光源,其中該饋料係氙、氪、氬、氖及氮之任一者。
  9. 如請求項1之經雷射產生之電漿光源,其中該電漿之一亮度大於1014光子/(秒).(mm2).(mrad2)。
  10. 如請求項1之經雷射產生之電漿光源,其中該電漿之光斑大小小於10微米。
  11. 如請求項1之經雷射產生之電漿光源,其進一步包括:一收集器,其集中由該電漿發射之一照明光量且引導該照明光量穿過該電漿室之一窗。
  12. 一種計量系統,其包括:一經雷射產生之電漿光源,其包括:一電漿室,其具有至少一個壁,該至少一個壁可部分地操作以將一緩衝氣體流容納於該電漿室內;一液滴產生器,其將處於一固態或液態之一非金屬饋料之一系列液滴分配至該電漿室中;一脈衝雷射,其產生一激勵光脈衝,該激勵光脈衝經引導至該電漿室中之該饋料之一液滴,該激勵光脈衝具有小於1奈秒之一持續時間,其中該激勵光脈衝與該饋料之該液滴之相互作用致使該液滴離子化以形成發射一照明光之一電漿,其中該照明光包括自約1奈米至約20奈米之一光譜區域中之寬帶光;一收集器,其集中由該電漿發射之該照明光且引導該照明光朝向一被測樣品;一x射線偵測器,其回應於入射於該樣品上之該照明光而偵測來自該樣品之一光量;及一運算系統,其經組態以基於該經偵測光量而判定與該樣品之一模型相關聯之一所關注參數之一值。
  13. 如請求項12之計量系統,其中該計量系統經組態為一反射小角度x射線散射測量系統、一同調繞射成像系統及一成像系統之任一者。
  14. 如請求項12之計量系統,其進一步包括:一物鏡,其回應入射至該樣品之該照明光而集中及放大自該樣品發 射之一經收集光且將該經收集光引導至該偵測器。
  15. 如請求項14之計量系統,其中該物鏡將該照明光引導至該樣品,且其中該照明光及該經收集光佔據該物鏡之一光瞳平面中之空間分離區域。
  16. 如請求項14之計量系統,其中該物鏡將該照明光引導至該樣品,且其中該照明光及該經收集光佔據該物鏡之一光瞳平面中之空間重疊區域。
  17. 如請求項14之計量系統,其進一步包括:至少一個照明光學元件,其將該照明光自該收集器引導至該樣品,其中該物鏡之一光瞳平面僅包含該經收集光。
  18. 如請求項12之計量系統,其中該電漿之一光斑大小小於10微米。
  19. 一種X射線計量方法,其包括:將處於一固態或液態之一非金屬饋料之一系列液滴分配至一電漿室中,該電漿室具有至少一個壁,該至少一個壁可部分地操作以將一緩衝氣體流容納於該電漿室內;產生一激勵光脈衝,該激勵光脈衝經引導至該電漿室中之該饋料之一液滴,該激勵光脈衝具有小於1奈秒之一持續時間,其中該激勵光脈衝與該饋料之該液滴之相互作用致使該液滴離子化以形成發射一照明光之一電漿,其中該照明光包括自約1奈米至約20奈米之一光譜區域中之寬帶光,且其中該照明光可用來照明一被測樣品; 回應於該照明光而偵測來自該樣品之一光量;及基於該經偵測光量而判定該被測樣品之至少一個所關注參數之一值。
  20. 如請求項19之方法,其進一步包括:將一饋料量與該緩衝氣體分離;及將該饋料量提供至該電漿室。
TW108100628A 2018-01-10 2019-01-08 具有經寬帶雷射產生之電漿照明器之x射線計量系統 TWI791735B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/867,633 2018-01-10
US15/867,633 US10959318B2 (en) 2018-01-10 2018-01-10 X-ray metrology system with broadband laser produced plasma illuminator

Publications (2)

Publication Number Publication Date
TW201940013A TW201940013A (zh) 2019-10-01
TWI791735B true TWI791735B (zh) 2023-02-11

Family

ID=67139922

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108100628A TWI791735B (zh) 2018-01-10 2019-01-08 具有經寬帶雷射產生之電漿照明器之x射線計量系統

Country Status (7)

Country Link
US (1) US10959318B2 (zh)
EP (1) EP3738181A4 (zh)
JP (2) JP2021510449A (zh)
KR (1) KR102589632B1 (zh)
CN (1) CN111566880A (zh)
TW (1) TWI791735B (zh)
WO (1) WO2019139837A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11438999B2 (en) * 2019-11-15 2022-09-06 The Regents Of The University Of California Devices and methods for creating plasma channels for laser plasma acceleration
WO2021121906A1 (en) 2019-12-18 2021-06-24 Asml Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11668601B2 (en) * 2020-02-24 2023-06-06 Kla Corporation Instrumented substrate apparatus
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11879683B2 (en) * 2020-04-07 2024-01-23 Kla Corporation Self-aligning vacuum feed-through for liquid nitrogen
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
KR20230146536A (ko) 2021-02-17 2023-10-19 에이에스엠엘 네델란즈 비.브이. 원거리 필드에서 방사선을 분리하기 위한 어셈블리
EP4330768A1 (en) 2021-04-26 2024-03-06 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
KR20240016285A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 계측 측정 방법 및 장치
KR20240007276A (ko) 2021-06-14 2024-01-16 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 연관된 방법, 장치
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
CN113484620B (zh) * 2021-07-06 2022-05-17 北京航空航天大学 光扫描电磁波幅度和相位分布快速测量方法及系统
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060062351A1 (en) * 2004-09-21 2006-03-23 Jordan Valley Applied Radiation Ltd. Multifunction X-ray analysis system
US20080116400A1 (en) * 2003-06-27 2008-05-22 Martin Schmidt Method and Device for Producing Extreme Ultraviolet Radiation or Soft X-Ray Radiation
US20120305810A1 (en) * 2007-08-31 2012-12-06 Ershov Alexander I Laser-Produced-Plasma EUV Light Source
US20130256568A1 (en) * 2008-09-29 2013-10-03 Gigaphoton Inc. Extreme ultraviolet light source apparatus and method of generating ultraviolet light
TW201411089A (zh) * 2012-07-10 2014-03-16 Kla Tencor Corp 用於結合x-射線及光學計量之模型建立及分析引擎
US20140264087A1 (en) * 2013-03-14 2014-09-18 Asml Netherlands B.V. Target for laser produced plasma extreme ultraviolet light source
US20150041659A1 (en) * 2013-08-06 2015-02-12 Cymer, Llc System and Method for Return Beam Metrology with Optical Switch
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology
US20170356853A1 (en) * 2014-05-12 2017-12-14 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5211051A (en) * 1975-07-17 1977-01-27 Seiko Instr & Electronics Ltd Indicator
DE4204301A1 (de) * 1991-08-05 1993-02-11 Siemens Ag Roentgenroehre mit strahlenaustrittsfenster
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5606588A (en) * 1995-07-28 1997-02-25 The Regents Of The University Of Michigan Method and apparatus for generating laser plasma x-rays
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6815700B2 (en) * 1997-05-12 2004-11-09 Cymer, Inc. Plasma focus light source with improved pulse power system
AU3957599A (en) * 1998-05-29 1999-12-20 Nikon Corporation Laser-excited plasma light source, exposure apparatus and its manufacturing method, and device manufacturing method
WO2000019496A1 (fr) * 1998-09-28 2000-04-06 Hitachi, Ltd. Generateur au plasma laser de rayons x, dispositif d'alignement de semiconducteurs possedant ce generateur et procede d'exposition de semiconducteurs
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6760406B2 (en) * 2000-10-13 2004-07-06 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP3728495B2 (ja) 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 多層膜マスク欠陥検査方法及び装置
US7671349B2 (en) * 2003-04-08 2010-03-02 Cymer, Inc. Laser produced plasma EUV light source
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7217941B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Systems and methods for deflecting plasma-generated ions to prevent the ions from reaching an internal component of an EUV light source
US7094036B2 (en) 2003-09-24 2006-08-22 The Boc Group Plc Vacuum pumping system
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
DE102004028943B4 (de) * 2004-06-11 2006-10-12 Xtreme Technologies Gmbh Vorrichtung zur zeitlich stabilen Erzeugung von EUV-Strahlung mittels eines laserinduzierten Plasmas
FR2871622B1 (fr) * 2004-06-14 2008-09-12 Commissariat Energie Atomique Dispositif de generation de lumiere dans l'extreme ultraviolet et application a une source de lithographie par rayonnement dans l'extreme ultraviolet
DE102004036441B4 (de) * 2004-07-23 2007-07-12 Xtreme Technologies Gmbh Vorrichtung und Verfahren zum Dosieren von Targetmaterial für die Erzeugung kurzwelliger elektromagnetischer Strahlung
DE102004042501A1 (de) * 2004-08-31 2006-03-16 Xtreme Technologies Gmbh Vorrichtung zur Bereitstellung eines reproduzierbaren Targetstromes für die energiestrahlinduzierte Erzeugung kurzwelliger elektromagnetischer Strahlung
US7109503B1 (en) * 2005-02-25 2006-09-19 Cymer, Inc. Systems for protecting internal components of an EUV light source from plasma-generated debris
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7351980B2 (en) 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
DE102006017904B4 (de) * 2006-04-13 2008-07-03 Xtreme Technologies Gmbh Anordnung zur Erzeugung von extrem ultravioletter Strahlung aus einem energiestrahlerzeugten Plasma mit hoher Konversionseffizienz und minimaler Kontamination
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US20080237498A1 (en) * 2007-01-29 2008-10-02 Macfarlane Joseph J High-efficiency, low-debris short-wavelength light sources
JP5086664B2 (ja) * 2007-03-02 2012-11-28 ギガフォトン株式会社 極端紫外光源装置
JP5149520B2 (ja) 2007-03-08 2013-02-20 ギガフォトン株式会社 極端紫外光源装置
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
CN101711376A (zh) * 2008-02-19 2010-05-19 内诺-Uv公司 脉冲源的多路复用
US8519366B2 (en) * 2008-08-06 2013-08-27 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
EP2161725B1 (en) 2008-09-04 2015-07-08 ASML Netherlands B.V. Radiation source and related method
JP5576079B2 (ja) * 2008-09-29 2014-08-20 ギガフォトン株式会社 極端紫外光源装置
DE102008049589A1 (de) 2008-09-30 2010-04-08 Carl Zeiss Smt Ag Optische Abbildungseinrichtung und Abbildungsverfahren für die Mikroskopie
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5186347B2 (ja) * 2008-12-04 2013-04-17 ギガフォトン株式会社 差動排気システム
JP5580032B2 (ja) 2008-12-26 2014-08-27 ギガフォトン株式会社 極端紫外光光源装置
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP5748748B2 (ja) 2009-06-19 2015-07-15 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 極紫外線検査システム
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8368039B2 (en) 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
JP5075951B2 (ja) 2010-07-16 2012-11-21 ギガフォトン株式会社 極端紫外光源装置及びドライバレーザシステム
US20120050706A1 (en) * 2010-08-30 2012-03-01 Media Lario S.R.L Source-collector module with GIC mirror and xenon ice EUV LPP target system
US8575576B2 (en) * 2011-02-14 2013-11-05 Kla-Tencor Corporation Optical imaging system with laser droplet plasma illuminator
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US9516730B2 (en) 2011-06-08 2016-12-06 Asml Netherlands B.V. Systems and methods for buffer gas flow stabilization in a laser produced plasma light source
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
EP2951643B1 (en) * 2013-01-30 2019-12-25 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014127151A1 (en) * 2013-02-14 2014-08-21 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) * 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
JP6241062B2 (ja) * 2013-04-30 2017-12-06 ウシオ電機株式会社 極端紫外光光源装置
US8963110B2 (en) * 2013-06-22 2015-02-24 Kla-Tencor Corporation Continuous generation of extreme ultraviolet light
US9544984B2 (en) * 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
WO2015120513A1 (en) * 2014-02-11 2015-08-20 Kenneth Scott Alexander Butcher Electrostatic control of metal wetting layers during deposition
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9301381B1 (en) 2014-09-12 2016-03-29 International Business Machines Corporation Dual pulse driven extreme ultraviolet (EUV) radiation source utilizing a droplet comprising a metal core with dual concentric shells of buffer gas
US10101664B2 (en) * 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US9578729B2 (en) * 2014-11-21 2017-02-21 Plex Llc Extreme ultraviolet source with dual magnetic cusp particle catchers
US10034362B2 (en) * 2014-12-16 2018-07-24 Kla-Tencor Corporation Plasma-based light source
US10217625B2 (en) * 2015-03-11 2019-02-26 Kla-Tencor Corporation Continuous-wave laser-sustained plasma illumination source
US10025079B2 (en) * 2015-09-28 2018-07-17 Kenneth Carlisle Johnson Actinic, spot-scanning microscope for EUV mask inspection and metrology
US10880979B2 (en) 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
US10021773B2 (en) * 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
WO2016131069A2 (en) * 2015-12-11 2016-08-18 Johnson Kenneth Carlisle Euv light source with spectral purity filter and power recycling
JP6861470B2 (ja) * 2016-03-04 2021-04-21 三星電子株式会社Samsung Electronics Co.,Ltd. X線検査装置
US11317500B2 (en) * 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10495974B2 (en) * 2017-09-14 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Target feeding system
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080116400A1 (en) * 2003-06-27 2008-05-22 Martin Schmidt Method and Device for Producing Extreme Ultraviolet Radiation or Soft X-Ray Radiation
US20060062351A1 (en) * 2004-09-21 2006-03-23 Jordan Valley Applied Radiation Ltd. Multifunction X-ray analysis system
US20120305810A1 (en) * 2007-08-31 2012-12-06 Ershov Alexander I Laser-Produced-Plasma EUV Light Source
US20130256568A1 (en) * 2008-09-29 2013-10-03 Gigaphoton Inc. Extreme ultraviolet light source apparatus and method of generating ultraviolet light
TW201411089A (zh) * 2012-07-10 2014-03-16 Kla Tencor Corp 用於結合x-射線及光學計量之模型建立及分析引擎
US20140264087A1 (en) * 2013-03-14 2014-09-18 Asml Netherlands B.V. Target for laser produced plasma extreme ultraviolet light source
US20150041659A1 (en) * 2013-08-06 2015-02-12 Cymer, Llc System and Method for Return Beam Metrology with Optical Switch
US20170356853A1 (en) * 2014-05-12 2017-12-14 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Also Published As

Publication number Publication date
CN111566880A (zh) 2020-08-21
EP3738181A4 (en) 2022-03-02
EP3738181A1 (en) 2020-11-18
WO2019139837A1 (en) 2019-07-18
KR102589632B1 (ko) 2023-10-13
US10959318B2 (en) 2021-03-23
US20190215940A1 (en) 2019-07-11
JP2021510449A (ja) 2021-04-22
JP2023021117A (ja) 2023-02-09
JP7482193B2 (ja) 2024-05-13
KR20200098707A (ko) 2020-08-20
TW201940013A (zh) 2019-10-01

Similar Documents

Publication Publication Date Title
TWI791735B (zh) 具有經寬帶雷射產生之電漿照明器之x射線計量系統
US10748736B2 (en) Liquid metal rotating anode X-ray source for semiconductor metrology
TWI788406B (zh) 雷射產生之電漿光源以及計量系統及計量方法
US10801975B2 (en) Metrology tool with combined X-ray and optical scatterometers
JP6815401B2 (ja) 高アスペクト比構造向けx線スキャタロメトリ計量
US9778213B2 (en) Metrology tool with combined XRF and SAXS capabilities
US9535018B2 (en) Combined x-ray and optical metrology
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
US11259394B2 (en) Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) Laser produced plasma illuminator with low atomic number cryogenic target
US10139283B2 (en) Non-contact thermal measurements of VUV optics
TW201350839A (zh) 具有組合x光及光學散射計之計量工具
KR102684458B1 (ko) 액체 시트 제트 타겟을 이용한 레이저 생성 플라즈마 조명기
KR102684457B1 (ko) 낮은 원자 번호의 극저온 타깃을 가진 레이저 생성 플라스마 조명기