JP2023021117A - 広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法 - Google Patents

広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法 Download PDF

Info

Publication number
JP2023021117A
JP2023021117A JP2022180874A JP2022180874A JP2023021117A JP 2023021117 A JP2023021117 A JP 2023021117A JP 2022180874 A JP2022180874 A JP 2022180874A JP 2022180874 A JP2022180874 A JP 2022180874A JP 2023021117 A JP2023021117 A JP 2023021117A
Authority
JP
Japan
Prior art keywords
plasma
feed material
sample
light
laser
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022180874A
Other languages
English (en)
Other versions
JP7482193B2 (ja
Inventor
オレグ クーディキン
Khodykin Oleg
アレクサンダー ビカンノヴ
Bykanov Alexander
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2023021117A publication Critical patent/JP2023021117A/ja
Application granted granted Critical
Publication of JP7482193B2 publication Critical patent/JP7482193B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/0014Measuring characteristics or properties thereof
    • H01S5/0035Simulations of laser characteristics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Lasers (AREA)

Abstract

【課題】広帯域軟X線照明源を利用するX線依拠半導体計量方法及びシステムを提供する。【解決手段】レーザ産生プラズマ(LPP)光源110にて高輝度広帯域軟X線照明を生成する。そのLPP光源110では、高集束短持続時間レーザ光源を液体又は固体状態の非金属ドロップレットターゲットへと差し向ける。ドロップレット発生器111が、フィード素材の公称50μmドロップレットの列を50~400kHzの速度にて吐出する。各励起光パルスの持続時間が1nsec未満とされる。各励起光パルスの持続時間が0.5nsec未満とされる。LPP光源110が、未消費フィード素材をプラズマチャンバ153内の他のガスから分離させ、分離されたフィード素材をドロップレット発生器111に再供給するガス分離システム120を有する。【選択図】図1

Description

記載されている諸実施形態は、X線レーザ産生プラズマ輻射源、並びにX線計量及び検査システムに関する。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を基板又はウェハに適用することで製造される。それら半導体デバイスに備わる様々なフィーチャ(外形特徴)及び複数の構造階層がそれら処理工程によって形成される。例えばそれらのうちリソグラフィが、まさに、半導体ウェハ上にパターンが生成される半導体製造プロセスである。半導体製造プロセスの更なる例としては、これに限られるものではないが化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作成した後、個別の半導体デバイスへと分けるようにするとよい。
計量プロセスは、半導体製造プロセス中の様々な工程にて、ウェハ上の欠陥を検出し歩留まり向上を促進するのに用いられている。スキャタロメトリ(散乱計測)法及びリフレクトメトリ(反射計測)法を初め、多数の計量依拠技術及びそれに関連する分析アルゴリズムが、ナノスケール構造の限界寸法、オーバレイ、膜厚、組成その他のパラメータを解明するため広く用いられている。
古くから、薄膜及び/又は反復的周期構造で構成されるターゲットを対象にして計測が行われている。デバイス製造中には、通常、それらの膜及び周期構造により実デバイス幾何及び素材構造又は中間デザインが表現・代表される。デバイス(例.論例デバイス及び記憶デバイス)がより微細なナノメートルスケール寸法へと移行するにつれ、特性解明がより困難化している。デバイスに取り入られた複雑な三次元幾何や、多様な物理特性を有する素材により、特性解明困難性が助長されている。例えば、昨今のメモリ構造は高アスペクト比三次元構造であることが多く、そのために光学輻射が底部層へと浸透しにくくなっている。赤外~可視光を利用する光学計量ツールであれば多くの半透明素材層に浸透可能だが、波長を長めにして良好な浸透深度を実現すると小さな異常に対する感度が不十分になる。加えて、複雑な構造(例.FinFET)の特性を記述するにはより多数のパラメータが必要であり、そのことがパラメータ相関の増大につながっている。結果として、そのターゲットの特性を記述するパラメータを、往々にして、利用可能な計測で以て高信頼分離することができない。
一例として、昨今の半導体構造では不透明高k素材の採用が増えている。光学輻射は、そうした素材で構成された層に浸透できないことが多い。結果として、薄膜スキャタロメトリツール例えばエリプソメータ(楕円偏向計)又はリフレクトメータ(反射計)での計測がますます難題になってきている。
これらの難題に応ずべく、より複雑な光学計量ツールが開発されてきた。例えば、複数通りの照明角を有し、照明波長が短く、照明波長域が広く、また反射信号からの情報獲得がより完全な(例.より旧来的な反射率又はエリプソメトリ信号に加え複数個のミュラー行列要素を計測する)ツールが開発されている。しかしながら、これらの手法では、多くの先進的ターゲット(例.複雑な3D構造、10nm未満の構造、不透明素材を採用する構造)の計測及び計測アプリケーション(例.ラインエッジ粗さ及びライン幅粗さの計測)に係る根本的難題が信頼性良く克服されていない。
X線依拠計量システムには、難題となっている計測アプリケーションに対処できる見込みがある。しかしながら、X線依拠計量テクノロジ、例えば反射型小角X線スキャタロメトリ(SAXS)、コヒーレント回折撮像(CDI)その他のX線依拠撮像及びオーバレイ依拠技術に相応しい高信頼軟X線照明源は、まだ開発途上である。
他の幾つかの例によれば、照明光をレーザにより直に供給することができる。その手法の一つは、長波長光源から短波長への高調波アップコンバージョンである。しかしなら、この手法ではまだ現実的な軟X線照明源がもたらされていない。
幾つかの例によれば、連続波長レーザによりポンピングされる光源(例.レーザ維持プラズマ)によって、照明光を提供することができる。レーザ維持プラズマは、そのレーザプラズマよりも低温な作動ガスにより包囲された高圧バルブ内で産生される。レーザ維持プラズマで以てかなりの放射輝度改善が得られるけれども、そうしたプラズマの温度は概してそれらランプ内での光物理及び運動プロセスにより制約される。それらプラズマにおける純原子及びイオン放射が、概して200nm超の波長に制限されるのである。エキシマ放射なら171nmでの波長放射向けレーザ維持プラズマの態にアレンジすることができるが(例.キセノンエキシマ放射)、そうした光源は通常は狭帯域、低パワー及び低放射輝度となる。171nmでのエキシマ放射が最適化されるのは低圧下(例.3bar以下)であり、高放射輝度のために必要な高圧下では、171nm放射のパワーが大きく損なわれる。結果として、高圧バルブ内の単純なガス混合物では、200nm超の波長覆域しか、高スループット高分解能計量をサポートするのに十分な放射輝度及び平均パワーで以て保つことができない。幾つかの例では固体電極ターゲットが採用されているが、繰り返し速度が低く、電極腐食があり且つプラズマサイズが大きいため、低輝度且つ短寿命なことから、X線依拠半導体計量に関わるそれら有効性は限られている。
極端紫外(EUV)リソグラフィの領域における開発努力は、13nmを中心とする狭帯域輻射(例.±0.1nm)を高いパワーレベル(例.イルミネータ(照明器)の中間焦点における平均パワーが210W)で放射する光源に集中している。EUVリソグラフィ向け光源は、レーザドロップレットプラズマアーキテクチャを用い開発されてきた。例えば、約100kHzなるパルス繰り返し周波数にて動作するキセノン、スズ及びリチウムドロップレットターゲットを、COコヒーレント光源によりポンピングするものである。それにより実現される光は高パワーである(例.イルミネータの中間焦点における平均パワーを210Wにすることが13nmのリソグラフィツールに係る目標である)。しかしながら、半導体ウェハを構成する素材は、13nmにて狭帯域光に対し事実上全く反射率を呈さない。
ガスジェット依拠レーザ産生プラズマをもとに広帯域軟X線照明を提供する実験が行われてきた。付加的な詳細が非特許文献1により記述されているので、参照によりその内容を丸ごと本願に繰り入れることにする。ガスジェットの使用は大きなプラズマ(例.数百μm)をもたらす。そうした大きなプラズマスポットサイズでは、その照明源の実際的半導体計量アプリケーション向け有効性がひどく制限される。
米国特許第7705331号明細書 米国特許第7826071号明細書 米国特許第7478019号明細書 米国特許第7351980号明細書 米国特許出願公開第2010/0188738号明細書
計量アプリケーションにて求められる放射輝度及び平均パワーを有する広帯域軟X線照明源が望まれている。
広帯域軟X線照明源を利用するX線依拠半導体計量方法及びシステムが本願にて記述される。レーザ産生プラズマ(LPP)光源にて、高輝度広帯域軟X線照明を生成する。そのLPP光源では、高集束短持続時間レーザ光源を、液体又は固体状態の非金属ドロップレットターゲットへと差し向ける。励起光パルスと1個又は複数個のフィード素材ドロップレットとの相互作用により、その又はそれらのドロップレットをイオン化させることで、極高輝度で以て照明光を放射するプラズマを形成させる。ある好適実施形態では、そのプラズマ輝度が1014光子/(sec・mm・mrad)となる。その照明光には、約1nm~約20nmのスペクトル域に属する広帯域光が含まれる。そのプラズマからの輻射が集光光学系により収集され、計測下試料へと差し向けられる。
幾つかの実施形態では、非金属フィード素材の各ドロップレットが200μm未満の直径とされる。ある好適実施形態では、非金属フィード素材の各ドロップレットが40μm未満の直径とされる。幾つかの実施形態では、ドロップレット発生器が、商業的に利用可能なインクジェットテクノロジに依拠した高周波流体吐出器(ディスペンサ)とされる。ある例では、そのドロップレット発生器が、フィード素材の公称50μmのドロップレットの列を、50~400kHzの速度で吐出する。
更なる態様では、各励起光パルスの持続時間が1nsec未満とされる。幾つかの実施形態では、各励起光パルスの持続時間が0.5nsec未満とされる。
他の更なる態様では、LPP光源のプラズマチャンバがバッファガスで満たされる。そのバッファガスは、プラズマにより生成された軟X線輻射をごく僅かしか吸収しない反面で、そのプラズマにより生成された高速イオンを熱平衡化させる。従って、そのバッファガスにより、そのLPP光源に備わる光学素子が、そのプラズマにより生成された物質による汚染から保護される。幾つかの実施形態では、そのプラズマと、そのLPP光源の光学素子と、の間の距離が少なくとも10cmとされる。好適な諸実施形態では、そのプラズマチャンバ内のバッファガス流が比較的低い圧力(例.0.1~100torr)に保たれる。
他の更なる態様では、LPP光源が、フィード素材をバッファガスから分離させてその分離されたフィード素材をドロップレット発生器に再供給する、ガス分離システムを有する。
上掲のものは概要であるので、随所に単純化、一般化及び細部省略が含まれている;従って、本件技術分野に習熟した者(いわゆる当業者)には察せられるように、この概要は専ら例証的なものであり、如何様であれ限定性のものではない。本願記載のデバイス・装置及び/又はプロセス・処理は専ら特許請求の範囲により定義されている通りであり、それらの他態様、新規特徴及び長所は、本願中で説明される非限定的詳細記述にて明らかとされよう。
少なくとも1個の新規態様に従いレーザ産生プラズマ(LPP)光源を有する計量システムを描いた概略図である。 一実施形態に従いガス分離システムを描いた概略図である。 モデル構築兼分析エンジン例を描いた概略図である。 計測下半導体試料向けに広帯域軟X線照明光を生成する方法のフローチャートである。
以下、本発明の背景例及び幾つかの実施形態であり、その例が添付図面に描かれているものについて、詳細に参照することにする。
広帯域軟X線照明源を利用するX線依拠半導体計量方法及びシステムを本願にて述べる。より具体的には、レーザ産生プラズマ(LPP)光源により、高輝度(即ち1013光子/(sec・mm・mrad)超)で広帯域な軟X線の(即ち1nm~20nm域の波長を含む)照明を生成する。そうした高輝度を達成するため、そのLPP光源では、高集束短持続時間レーザ光源を液体又は固体状態の非金属ドロップレットターゲットに差し向ける。その集束レーザパルスとそのドロップレットターゲットとの相互作用によりプラズマが点火される。そのプラズマからの輻射を集光光学系により収集して計測下試料に差し向ける。
図1に、少なくとも1個の新規態様に係りレーザ産生プラズマ(LPP)光源110を有するX線依拠計量システム100を示す。LPP光源110は、プラズマチャンバ153、ドロップレット発生器111及びパルスレーザ照明源113を有している。プラズマチャンバ153は1個又は複数個の壁を有しており、それによりそのプラズマチャンバ内にバッファガス流123が囲い込まれている。ドロップレット発生器111は、非金属フィード素材112のドロップレット列をプラズマチャンバ153内に吐出する。
ある態様では、ドロップレット発生器111により生成されたドロップレットが、プラズマチャンバ153内で固体又は液体状態となる。幾つかの実施形態では、その非金属フィード素材が、キセノン、クリプトン、アルゴン、ネオン、窒素又はそれらの任意の組合せとされる。幾つかの実施形態では、非金属フィード素材112の各ドロップレットが200μm未満の直径とされる。ある好適実施形態では、非金属フィード素材112の各ドロップレットが40μm未満の直径とされる。幾つかの実施形態では、ドロップレット発生器111が、商業的に利用可能なインクジェットテクノロジに依拠する高周波流体吐出器とされる。一例に係るドロップレット発生器111は、フィード素材112の公称50μmドロップレットの列を50~400kHzの速度で吐出する。
パルスレーザ113は励起光パルス列を生成する。各励起光パルスをフィード素材112のドロップレットに差し向ける。その励起光を、照明光学系114により、それらドロップレット上に向かい、ごく小さなスポットサイズに亘り集束させる。幾つかの実施形態では、その励起光が、100μm未満のスポットサイズで以てそれらドロップレット上に集束される。幾つかの実施形態では、その励起光が、10μm未満のスポットサイズで以てそれらドロップレット上に集束される。ある好適実施形態では、その励起光が、5μm未満のスポットサイズで以てそれらドロップレット上に集束される。その励起光のスポットサイズが小さくなるにつれ、誘起されるプラズマのスポットサイズが小さくなる。ある好適実施形態では、プラズマ115のスポットサイズが10μm未満となる。幾つかの実施形態ではパルスレーザ113がイットリウム(Yb)式固体レーザとされる。他の幾つかの実施形態ではパルスレーザ113がネオジム(Nb)式固体レーザとされる。
更なる態様では、各励起光パルスの持続時間が1nsec未満とされる。幾つかの実施形態では、各励起光パルスの持続時間が0.5nsec未満とされる。
励起光パルスと、そのフィード素材のドロップレット1個又は複数個との相互作用により、その又はそれらのドロップレットがイオン化することで、極高輝度で以て照明光124を放射するプラズマ115が形成される。ある好適実施形態では、プラズマ115の輝度が1014光子/(sec・mm・mrad)超となる。その照明光は、約1nm~約20nmのスペクトル域に属する広帯域光を含むものとなる。
照明光124は集光器118により収集され、計測下試料150上へと集束される。図1に示した実施形態では、プラズマ115により放射された照明光124を集光器118が集め、窓117越しに照明光124を差し向けている。幾つかの実施形態では窓117がX線フィルタとされ、注目波長域(例.1nm~20nm)内X線輻射に対し透明となり且つその注目波長域外の輻射をほぼ吸収するよう構成される。
集光器120は、プラズマ115から生じる照明光を集めるのに適していれば、どのような形状でもよい。好適例には楕円集光器及び複数表面輪郭具備集光器がある。プラズマから放射された光を集める技術の例が、KLA-Tencor Technologies Corp.に対し2010年4月27日付で発行された特許文献1に記載されているので、参照を以てその全容を本願に繰り入れることにする。
図1に示した実施形態では、照明光124が窓117を介しプラズマチャンバ153を出て、鏡125により試料150の方へと方向転換される。加えて、照明光学系126を用い、照明光124が更に整形されて試料150上、計測エリア129に亘り入射する。照明光学系126を、中空の光ホモジナイザ又は反射型のライトチューブを有するものとすることで、照明光を試料へと効率的に伝達させることができる。他の幾つかの実施形態では照明兼集光対物系が採用されよう。それらの実施形態では、照明光学系126により照明光が対物系へと伝達される。
計測エリア129に亘る試料150の照明により、試料150からの光散乱が引き起こされる。散乱光128は検出器127により検出される。検出器127は、検出器127のアクティブエリア(群)に入射した散乱光を示す信号151を生成する。検出器127は、分析のため情報処理システム130に信号151を送る。情報処理システム130は、捕捉した信号151に少なくとも部分的に依拠し、その試料150の特性を判別する。
他の更なる態様では、情報処理システム130が、試料のうち被計測構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材結合モデル)を生成し、少なくとも1個の幾何パラメータを含むX線スキャタロメトリ応答モデルをその構造モデルから生成し、そしてそのX線スキャタロメトリ応答モデルで以てX線スキャタロメトリ計測データの当て嵌め分析を実行して少なくとも1個の試料パラメータ値を解明するよう、構成される。その分析エンジンを用い、シミュレートされたX線スキャタロメトリ信号と計測データとを比較することで、その標本の幾何特性及び素材特性例えば電子密度の判別を行うことができる。図1に示した実施形態では、本願記載の如くモデル構築兼分析機能を実現するよう構成されたモデル構築兼分析エンジンとして、情報処理システム130が構成されている。
図3は、情報処理システム130により実現されるモデル構築兼分析エンジンの例101を描いた図である。図3に示す如く、モデル構築兼分析エンジン101は、試料のうち被計測構造の構造モデル103を生成する構造モデル構築モジュール102を有している。幾つかの実施形態では構造モデル103にその試料の素材特性も組み込まれる。その構造モデル103が、入力としてX線スキャタロメトリ応答関数構築モジュール104に受領される。X線スキャタロメトリ応答関数構築モジュール104は、その構造モデル103に少なくとも部分的に依拠し、フルビームX線スキャタロメトリ応答関数モデル105を生成する。幾つかの例では、そのX線スキャタロメトリ応答関数モデル105がX線フォームファクタ
Figure 2023021117000002
に基づくものとされる。但し、Fはフォームファクタ、qは散乱ベクトル、ρ(r)はその試料の電子密度であり等式(1)に記載の如く球座標に従っている。そしてX線散乱強度が等式(2)により与えられる。
Figure 2023021117000003
X線スキャタロメトリ応答関数モデル105は、入力として当て嵌め分析モジュール106に受領される。その当て嵌め分析モジュール106が、そのモデル化されたX線スキャタロメトリ応答と、それに対応する計測データとを比較することで、その試料の幾何特性及び素材特性を判別する。
幾つかの例では、モデル化データの実験データへの当て嵌めが、χ二乗値を最小化することで達成される。例えば、X線スキャタロメトリ計測に関しては、χ二乗値を
Figure 2023021117000004
と定義することができる。但し、S SAXS experimentは「チャネル」jにて計測されたX線スキャタロメトリ信号151であり、指数jは一組のシステムパラメータ例えば回折次数、エネルギ、角度座標等々を記述している。S SAXS model(v,…,v)はその「チャネル」jに係るモデル化X線スキャタロメトリ信号Sを一組の構造(ターゲット)パラメータv,…,vに関し評価したものであり、それらパラメータは幾何(CD、サイドウォール角、オーバレイ等々)及び素材(電子密度等々)を記述している。σSAXS,jは第jチャネルに係る不確定性である。NSAXSはそのX線計量におけるチャネルの総数である。Lはその計量ターゲットを特徴付けるパラメータの個数である。
等式(3)では、別々のチャネルに係る不確定性が相関しないものと仮定されている。別々のチャネルに係る不確定性が相関する例では、それら不確定性間の共分散を計算することができる。そうした例では、フルビームX線スキャタロメトリ計測に係るχ二乗値を
Figure 2023021117000005
と表現することができる。
但し、VSAXSはSAXSチャネル不確定性の共分散行列であり、Tは転置を示している。
幾つかの例では、当て嵌め分析モジュール106がX線スキャタロメトリ応答モデル105で以てX線スキャタロメトリ計測データ151に対する当て嵌め分析を実行し、それにより少なくとも1個の試料パラメータ値152を解明する。幾つかの例ではχSAXS が最適化される。図1に示した実施形態では、求まった値152がメモリ190内に格納される。
上述の通り、X線スキャタロメトリデータの当て嵌めはχ二乗値の最小化により達成される。とはいえ、一般に、フルビームX線スキャタロメトリデータの当て嵌めを他の関数により達成してもよい。
X線スキャタロメトリ計量データの当て嵌めは、注目幾何及び/又は素材パラメータに対する感度を提供するものであれば、どの種類のX線スキャタロメトリテクノロジにも有益である。試料パラメータは、その試料とのX線スキャタロメトリビーム相互作用を記述する適正なモデルが用いられる限り、決定論的なもの(例.CD、SWA、オーバレイ等々)とも統計的なもの(例.側壁粗さのrms高、粗さ相関長等々)ともすることができる。
一般に、情報処理システム130は、リアルタイム限界寸法決定(RTCD)を用い実時間的にモデルパラメータへとアクセスするよう構成されるが、試料150に係る少なくとも1個の試料パラメータ値を決定すべく事前計算済モデルのライブラリにアクセスするのでもよい。一般に、ある形態のCDエンジンを用い、試料に割り当てられているCDパラメータと、被計測試料に係るCDパラメータと、の間の差異を評価することができる。試料パラメータ値計算方法及びシステムの例が、2010年11月2日付でKLA-Tencor Corp.に対し発行された特許文献2に記載されているので、参照によりその全容を本願に繰り入れることにする。
幾つかの例では、モデル構築兼分析エンジン101によって、フィードサイドウェイ分析、フィードフォワード分析及びパラレル分析の何らかの組合せにより、被計測パラメータの正確度が改善される。フィードサイドウェイ分析とは、同じ試料の相異なるエリア上で複数個のデータセットを採取し、第1データセットから決定された共通パラメータを、第2データセット側へと引き渡して分析に供することである。フィードフォワード分析とは、相異なる試料上でデータセットを採取し、ステップワイズコピーイグザクトパラメータフィードフォワード法を用い共通パラメータを先送りして爾後の分析に供することである。パラレル分析とは、複数個のデータセットに対する非線形当て嵌め方法論の並列又は同時適用であり、少なくとも1個の共通パラメータがその当て嵌め中に結合されるもののことである。
複数ツール構造分析とは、回帰、ルックアップテーブル(即ち「ライブラリ」マッチング)その他、複数個のデータセットの当て嵌め手順に依拠したフィードフォワード、フィードサイドウェイ又はパラレル分析のことである。複数ツール構造分析方法及びシステムの例が、2009年1月13日付でKLA-Tencor Corp.に対し発行された特許文献3に記載されているので、参照によりその全容を本願に繰り入れることにする。
更なる態様のうちあるものでは、計量ツール100に備わる情報処理システム(例.情報処理システム130)が、本願記載の如くビーム制御機能を実現するよう構成される。図1に示した実施形態では情報処理システム130がビームコントローラとして構成されており、それを動作させることで照明特性、例えば入射照明ビーム124の強度、発散、スポットサイズ、偏向、スペクトル及び位置取りのうち任意のものを制御することができる。
図1に描かれているように、情報処理システム130は検出器127に可通信結合されている。情報処理システム130は、検出器127から計測データ151を受け取るよう構成されている。一例に係る計測データ151は、計測された試料応答の示数(即ち諸次回折波の強度)を含むものである。検出器127の表面上における計測応答の分布に基づき、試料150上における照明ビーム124の入射個所及びエリアが情報処理システム130により判別される。一例としては、情報処理システム130によりパターン認識技術を適用することで、計測データ151に基づき、試料150上における照明ビーム124の入射個所及びエリアを判別することができる。幾つかの例によれば、情報処理システム130からコマンド信号(図示せず)を照明光学系126に送信して所望の照明波長を選び、照明ビーム124を方向転換及び再整形することで、入射照明ビーム124を試料150に対し所望の個所及び角度姿勢にて到来させることができる。他の幾つかの例によれば、情報処理システム130からコマンド信号137をウェハ位置決めシステム140に送信して試料150を位置決め及び姿勢決めすることで、入射照明ビーム124を試料150に対し所望の個所及び角度姿勢にて到来させることができる。他の幾つかの例によれば、情報処理システム130からコマンド信号135及び136をLPP光源110に送信して所望の照明波長を選び、照明ビーム124を方向転換及び再整形することで、入射照明ビーム124を試料150に対し所望の個所及び角度姿勢にて到来させることができる。
幾つかの実施形態では、計測を幾つかの姿勢で実行することが望まれる。これにより、様々な大角面外姿勢が含まれるよう、分析に利用可能なデータセットの個数及び多様性を拡張することで、パラメータ計測結果の精度及び正確度が高まりパラメータ間相関が低減されることとなる。より深くより多様なデータセットで以て試料パラメータを計測することでも、パラメータ間相関が低減され計測正確度が改善される。例えば、垂直姿勢にて、X線スキャタロメトリは、フィーチャの限界寸法を解明できる一方で、フィーチャのサイドウォール角及び高さに対し概ね不感となる。しかしながら、広範な面外角度位置に亘り計測データを収集することで、フィーチャのサイドウォール角及び高さを解明することができる。
図1に描かれているように、計量ツール100は、試料150を整列させるよう且つスキャタロメータ(散乱計)を基準にして広範な面外角度姿勢に亘り試料150の姿勢を定めるよう構成された、試料位置決めシステム140を有している。言い換えれば、試料位置決めシステム140が、試料150の表面に対し面内整列している1本又は複数本の回動軸周りである広角域に亘り試料150を回動させるように、構成されている。幾つかの実施形態では、試料位置決めシステム140が、試料150の表面に対し面内整列している1本又は複数本の回動軸を巡り少なくとも90°の範囲内で試料150を回動させるよう構成される。幾つかの実施形態では、試料位置決めシステムが、試料150の表面に対し面内整列している1本又は複数本の回動軸を巡り少なくとも60°の範囲内で試料150を回動させるよう構成される。他の幾つかの実施形態では、試料位置決めシステム140が、試料150の表面に対し面内整列している1本又は複数本の回動軸を巡り少なくとも1°の範囲内で試料150を回動させるよう構成される。このようにすると、試料150の角度分解計測結果が、計量システム100により試料150の表面上の何個所かに亘り収集されることとなる。ある例では、情報処理システム130からコマンド信号137を試料位置決めシステム140のモーションコントローラ145へと送信し、それにより試料150の所望ポジションを指示する。これに応じ、モーションコントローラ145が試料位置決めシステム140の様々アクチュエータに対するコマンド信号を生成し、それにより試料150の所望位置決めを達成する。
非限定的な例によれば、図1に描かれているように、試料位置決めシステム140に備わるエッジグリップチャック141により、試料150を試料位置決めシステム140に固定装着することができる。回動アクチュエータ142は、エッジグリップチャック141及び装着された試料150をペリメータフレーム143に対し回動させうるように構成される。図示実施形態では、回動アクチュエータ142が、図1に描かれている座標系146のx軸周りで試料150を回動させるように構成されている。図1に示すように、試料150のz軸周り回動が試料150の面内回動である。x軸及びy軸(図示せず)周り回動が試料150の面外回動であり、計量システム100の計量素子に対しその試料の表面を効果的に傾斜させるものである。描かれてはいないが、第2の回動アクチュエータが、試料150をy軸周りで回動させるよう構成されている。リニアアクチュエータ144が、ペリメータフレーム143をx方向に並進させるよう構成されている。もう1個のリニアアクチュエータ(図示せず)が、ペリメータフレーム143をy方向に並進させるよう構成されている。こうすることで、試料150の表面上の各箇所を、ある範囲の面外角度位置に亘る計測に利用することが可能となる。例えばある実施形態では、試料150のうちのある個所が、試料150の垂直姿勢に対し-45°~+45°の範囲内で数回の角度漸増に亘り計測される。
一般に、試料位置決めシステム140には、これに限られるものではないがゴニオメータステージ、ヘキサポッドステージ、角度ステージ及びリニアステージを初め、所望の直線及び角度位置決め性能を達成しうる、あらゆる好適組合せの機械要素が組み込まれうる。
更なる態様ではプラズマチャンバ153がバッファガス123で以て充填される。光学素子例えばレーザ照明窓116、集光器118及びX線フィルタ117は、プラズマ115からの素材堆積に対し敏感である。バッファガス123は、プラズマにより生成された軟X線輻射をごく僅かしか吸収しない反面、プラズマ115により生成された高速イオンを熱平衡化させる。こうして、バッファガス123により、照明窓116、集光器118及びX線フィルタ117が、プラズマ115により生成された素材による汚染から保護されている。幾つかの実施形態ではバッファガス123がヘリウム、水素又はその組合せとされる。ヘリウム及び水素は、共に、注目波長(即ち1nm~20nmの波長)での軟X線輻射に対し透明である。ある好適実施形態では、不活性であり従って水素より生来的に安全であることを踏まえ、ヘリウムがバッファガスとして採用される。幾つかの実施形態では、プラズマ115と、プラズマチャンバ153に備わる光学素子群(例.窓116及び117並びに集光器118)との間の距離が、少なくとも10cmとされる。好適な諸実施形態では、プラズマチャンバ153内のバッファガス流123が比較的低圧(例.0.1~100torr)に保たれる。
他の更なる態様では、LPP光源110に備わるガス分離システム120により、フィード素材(例.キセノン)がバッファガス(例.ヘリウム)から分離され、分離されたフィード素材がドロップレット発生器へと再供給される。図1に示す通り、ガス分離システム120はバッファガス流119をプラズマチャンバ153から受け入れている。流れ119のなかには、バッファガス123と、気相状態の非金属フィード素材112(即ちプラズマ115による加熱後のもの)とが、共に含まれている。ガス分離システム120はその非金属フィード素材112をバッファガス123から分離させる。回収された非金属フィード素材121はドロップレット発生器111へと輸送され、そこで再使用される。加えて、回収されたバッファガス122がプラズマチャンバ153内へと再輸送される。
図2に、一実施形態に係るガス分離システム120の概略描写を示す。図2に示す通り、ガス分離システム120はクライオジェニック(極低温)チャンバ160及び蒸留カラム170を有している。弁161及び162により、クライオジェニックチャンバ160に入るガス流及びそこから出るガス流が制御される。情報処理システム130は、弁161及び162の状態を、コマンド信号138及び139それぞれを通じ制御する。一例に係る情報処理システム130は、コマンド信号138を送信して弁161を開かせることで、ガス流119に発する未分離ガスによりクライオジェニックチャンバ160を充填させる。その未分離ガスは、非金属フィード素材が固化し、気体状を保つバッファガスから分離するまで、冷やされる。分離されたバッファガス164はクライオジェニックチャンバ160から排出される。その分離バッファガス164が排出された後、分離されたフィード素材163が、気相状態になるまでクライオジェニックチャンバ160内で加熱される。その後、その分離フィード素材163がクライオジェニックチャンバ160から蒸留カラム170内へと排出される。情報処理システム130は、コマンド信号139を送信して弁162を開かせることで、分離フィード素材163がクライオジェニックチャンバ160から蒸留カラム170へと行けるようにする。分離フィード素材163は蒸留カラム170内で再び冷やされる。蒸留カラム170では、自カラムの上部(例.より温かいところ)から同カラムの下部(例.より冷たいところ)にかけて、温度勾配が保たれている。分離フィード素材163は冷えると凝縮して液体状態になり、蒸留カラム170の下部に沈殿する。その凝縮フィード素材121が蒸留カラム170から排出され、ドロップレット発生器111へと輸送される。加えて、残ったバッファガス171が蒸留カラム170から回収される。図示実施形態では、分離バッファガス164及び残留バッファガス171が精製システム165へと輸送され、回収されたバッファガスの純度がそこで更に高められ、その後にプラズマチャンバ153へと輸送される。一般に、精製システム165は省略可能である。実施形態によっては、分離バッファガス164及び残留バッファガス171が十分に純粋であるとされ、付加的な処理なしにプラズマチャンバ153内へと再導入される。他の幾つかの実施形態ではバッファガスが再使用されず、プラズマチャンバ153内に導入されないままその回収バッファガス164及び残留バッファガス171が廃棄される。
一般に、ガス分離システム120には複数個のクライオジェニックチャンバを設けうる。各クライオジェニックチャンバ内を通るガス流を制御するには、付加的な弁を採用すればよい。例えば、あるクライオジェニックチャンバが入来ガス流を冷やしている間に、別のクライオジェニックチャンバが固化フィード素材を加熱して蒸留カラム170にフィード素材を輸送できるようにしてもよい。
図1に示す通り、システム100は、ドロップレット上に直に集束しプラズマ115を発生させるレーザを1個有している。しかしながら、システム100が複数個のレーザを有していてもよく、そのレーザそれぞれが別様に構成されていても同様に構成されていてもよい。例えば、それらレーザを、相異なる特性を有する光を生成するよう構成してもよいし、その光をドロップレットに対し同時に差し向けても別の時点で差し向けてもよい。また例えば、それらレーザを、光をドロップレットに同方向から差し向けるよう構成しても別方向から差し向けるよう構成してもよい。励起光をターゲットに差し向ける技術の例が、参照によりその全容を本願に繰り入れた上掲の特許文献1に記載されている。
図1には、一実施形態に従い反射型小角X線スキャタロメトリ(SAXS)システムが示されている。しかしながら、本願記載のLPP光源を採用した他のX線依拠計量システムも、本件特許出願の技術的範囲内であると考えられる。幾つかの例では、コヒーレント回折撮像(CDI)依拠計量システムに本願記載のLPP光源が組み込まれる。他の諸例によれば、撮像型X線計量システムの一部としてLPP光源が採用されうる。
幾つかの実施形態では、撮像対物系が集光光を検出器へと差し向ける。幾つかの実施形態では、光源110により生成された照明光が照明光学系126により対物系へと送られる。幾つかの実施形態によれば、それら照明光学系126及び撮像対物系を概ね同じ諸素子により構成し、実質的に同じものとすることができる。他の幾つかの実施形態では、光源110により生成された照明光が照明光学系126により試料150へと直に、即ち最初に撮像対物系の諸素子を介し差し向けることなく送られる。その照明光が試料150上に入射するのに応じ、試料150からの光が撮像対物系により集光され、拡大され、検出器へと差し向けられる。
幾つかの実施形態では、適切な視野を有する設計の撮像対物系が採用される。その対物系内の光路内では、好ましくは、反射面との相互作用を最低限の回数にすることで個々の相互作用に係る吸収損失を最小化すべきである。4ミラー4パス設計を用いた皆反射部材対物系の設計例が、2008年4月1日付でKLA-Tencor Technologies Corp.に対し発行された特許文献4に記載されているので、参照によりその全容を本願に繰り入れることにする。加えて、4ミラー6パス設計を用いた皆反射部材対物系の設計例が特許文献5に記載されているので、参照によりその全容を本願に繰り入れることにする。
照明方向は、計量システム例えば計量システム100によるウェハ上構造の分解され方に影響してくる。幾つかの実施形態によれば、論じた光学的構成にて反射素子のうち1個又は複数個に不均な一光学特性を持たせ、それらを照明目的で個別的に最適化することができる。例えば、照明路における露出エネルギは高いので、被覆を最適化して被覆耐久性を高めるのがよい。
図4には、少なくとも1個の発明的態様に係りX線依拠計量向けに広帯域軟X線照明光を生成するのに適した方法200が描かれている。認識によれば、プログラム命令134の一部として格納されているプログラミング済のアルゴリズムを、情報処理システム130に備わる1個又は複数個のプロセッサにより実行することで、方法200の諸データ処理要素を実行することができる。以下の記述は図1に示したシステム100の文脈に沿い提示されているが、本願での認識によれば、システム100の具体的構造的側面は制限を表しておらず、専ら例証として解されるべきものである。
ブロック201では、固体又は液体状態の非金属フィード素材のドロップレット列をプラズマチャンバ内に吐出する。そのプラズマチャンバは少なくとも1個の壁を有しており、それにより自プラズマチャンバ内にバッファガス流を囲い込むことができる。
ブロック202では、励起光パルスを生成し、プラズマチャンバ内のフィード素材のドロップレットへと差し向ける。その励起光パルスの持続時間は1nsec未満とする。その励起光パルスとフィード素材のドロップレットとの相互作用により、そのドロップレットをイオン化させることで、照明光を放射するプラズマを形成させる。その照明光は、約1nm~約20nmのスペクトル域に属する広帯域光を含んでいて、計測下試料を照明するのに用いることができる。
ブロック203では、その照明光に応じ、その試料から一群の光を検出する。
ブロック204では、検出された一群の光に基づきその計測下試料の少なくとも1個の注目パラメータの値を決める。
認識されるべきことに、本件開示の随所に記載されている様々なステップを、単一コンピュータシステム130により実行してもよいし、それに代え複数コンピュータシステム130により実行してもよい。更に、本システム100に備わる様々なサブシステム、例えば試料位置決めシステム140、ガス分離システム120、ドロップレット発生器111、レーザ113及び検出器127に、本願記載の諸ステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを組み込んでもよい。従って、上掲の記述は本発明に対する限定としてではなく単なる例証として解されるべきである。更に、1個又は複数個の情報処理システム130を、本願記載の方法実施形態のうち何れを構成する何れの他ステップ(群)を実行するよう構成してもよい。
加えて、コンピュータシステム130を、試料位置決めシステム140、ガス分離システム120、ドロップレット発生器111、レーザ113及び検出器127に対し、本件技術分野で既知な何れの要領で可通信結合させてもよい。例えば、1個又は複数個の情報処理システム130を、試料位置決めシステム140、ガス分離システム120、ドロップレット発生器111、レーザ113及び検出器127とそれぞれ連携する情報処理システム群に、結合させてもよい。また例えば、試料位置決めシステム140、ガス分離システム120、ドロップレット発生器111、レーザ113及び検出器127の何れも、コンピュータシステム130に結合された単一コンピュータシステムにより直に制御されうる。
本システム100のコンピュータシステム130を、伝送媒体例えば有線及び/又は無線区間を有するそれにより同システムの諸サブシステム(例.試料位置決めシステム140、ガス分離システム120、ドロップレット発生器111、レーザ113、検出器127等)からデータ又は情報を受領及び/又は獲得するよう、構成してもよい。こうすることで、その伝送媒体を、コンピュータシステム130と本システム100の他サブシステムとの間でデータリンクとして働かせることができる。
本システム100のコンピュータシステム130を、伝送媒体例えば有線及び/又は無線区間を有するそれにより他システムからデータ又は情報(例.モデル化入力、モデル化結果等々)を受領及び/又は獲得するよう、構成してもよい。こうすることで、その伝送媒体を、コンピュータシステム130と他システム(例.システム100のオンボードメモリ、外部メモリ又は外部システム)との間のデータリンクとして働かせることができる。例えば、情報処理システム130を、格納媒体(即ちメモリ132)からデータリンクを介し計測データ(例.信号151)を受け取るよう構成してもよい。例えば、検出器127を用いて得た計測結果を恒久的又は半恒久的記憶デバイス(例.メモリ132)内に格納してもよい。この構成では、計測結果をオンボードメモリから或いは外部メモリシステムからインポートすることができる。更に、コンピュータシステム130が伝送媒体を介し他システムにデータを送ってもよい。例えば、コンピュータシステム130により決定されたパラメータ値152を恒久的又は半恒久的記憶デバイス(例.メモリ190)内に格納してもよい。この構成では、計測結果を他システムへとエキスポートすることができる。
情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、並列プロセッサその他、本件技術分野で既知なあらゆる装置が包含されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包括されるよう、広く定義することができる。
方法例えば本願記載のそれらを実現するプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送してもよい。例えば、図1に描かれているように、メモリ132内に格納されているプログラム命令を、プロセッサ131へとバス133経由で伝送させる。プログラム命令134をコンピュータ可読媒体(例.メモリ132)内に格納させる。コンピュータ可読媒体の例としてはリードオンリメモリ、ランダムアクセスメモリ、磁気ディスク、光ディスク又は磁気テープがある。
本願記載の計量技術を用い半導体構造の特性を判別してもよい。構造の例としては、これに限られるものではないが、FinFET、小寸法構造例えばナノワイヤ又はグラフェン、サブ10nm構造、薄膜、リソグラフィック構造、スルーシリコンビア(TSV)、メモリ構造例えばDRAM、DRAM 4F2、FLASH及び高アスペクト比メモリ構造例えば3D-NAND構造がある。構造特性の例としては、これに限られるものではないが、幾何パラメータ例えばラインエッジ粗さ、ライン幅粗さ、ポア(孔)サイズ、ポア密度、サイドウォール角、プロファイル、膜厚、限界寸法、ピッチと、素材パラメータ例えば電子密度、結晶粒構造、モルフォロジ、配向、応力、歪、元素種別及び素材組成とがある。
実施形態によっては、本願記載の諸技術が製造プロセスツールの一部として実施されうる。製造プロセスツールの例としては、これに限られるものではないが、リソグラフィ露出ツール、膜堆積ツール、インプラントツール及びエッチングツールがある。こうすることで、温度計測の結果を用い製造プロセスを制御することができる。
本願には、試料を処理するのに用いうる半導体処理システム(例.検査システム、計量システム又はリソグラフィシステム)に関し、様々な実施形態が記載されている。本願にて用いられている語「試料」は、ウェハ、レティクルその他、何らかの標本であり、本件技術分野で既知な手段で処理(例.印刷又は欠陥検査)されうるものを指している。
本願にて用いられている語「試料」は総じてウェハを指している。とはいえ、ご理解頂けるように、本願記載の諸方法及びシステムを用い、本件技術分野で既知な他の何れの試料の照明を行ってもよい。
本願にて用いられている語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板を指している。その例としては、これに限られるものではないが単結晶シリコン、砒化ガリウム及び燐化インジウムがある。そうした基板は、半導体製造設備にて普通に目にされ及び/又は処理されよう。場合によっては、ウェハが基板のみで構成されることもある(即ちベアウェハ)。或いは、ウェハが、基板上に形成された1個又は複数個の異種素材層を有していることもある。ウェハ上に形成された1個又は複数個の層が「パターン化」されていることも「無パターン」なこともある。例えば、ウェハ内に複数個のダイがありそれらが可反復パターンフィーチャを有していることがある。
「レティクル」は、レティクル製造プロセスのどの段階におけるレティクルでもよいし、レティクルの完成品でもよいし、またそれが半導体製造設備での使用向けにリリースされていてもいなくてもよい。レティクル或いは「マスク」は、概して、実質的に不透明な領域がその上に形成されパターン状に構成されている、実質的に透明な基板として定義される。その基板は、例えば、ガラス素材例えば石英を含有するものとされよう。レティクルをレジスト被覆ウェハの上方に配し、リソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストへと転写させることができる。
ウェハ上に形成された1個又は複数個の層がパターン化されていても無パターンであってもよい。例えば、ウェハ内に複数個のダイがあり、各ダイが可反復パターンフィーチャを有していてもよい。そうした諸素材層の形成及び処理により、やがてはデバイス完成品がもたらされよう。多種多様なデバイスがウェハ上に形成されうるところ、本願にて用いられている語ウェハには、本件技術分野で既知な何れの種類のデバイスであれその上にデバイスが作成されるウェハを包括する意図がある。
1個又は複数個の例示的実施形態によれば、記載されている諸機能を、ハードウェア、ソフトウェア、ファームウェア又はそれらの何らかの組合せで実現することができる。ソフトウェアでの実現時には、それらの機能を1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納し又はその上で伝送することができる。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体の両者があり、ある場所から別の場所へのコンピュータプログラムの輸送を行えるようにする媒体全てがこれに包含される。格納媒体は、汎用又は専用コンピュータによりアクセスでき入手可能な何れの媒体であってもよい。例えば、限定としてではなく、そうしたコンピュータ可読媒体を、RAM、ROM、EEPROM、CD-ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置、或いはその他の何らかの装置で構成することができ、それを用い所望のプログラムコード手段を命令又はデータ構造なる形態にて搬送又は格納すること並びにそれに対し汎用又は専用コンピュータ或いは汎用又は専用プロセッサによりアクセスすることができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、そのソフトウェアがウェブサイト、サーバその他のリモートソースから同軸ケーブル、光ファイバケーブル、撚り線対、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数及びマイクロ波を用い送信される場合、それら同軸ケーブル、光ファイバケーブル、撚り線対、DSL又は無線テクノロジ例えば赤外線、無線周波数及びマイクロ波は媒体の定義内に収まる。本願中の用語ディスクには、コンパクトディスク(CD)、レーザディスク、光ディスク、ディジタルバーサタイルディスク(DVD;登録商標)、フロッピーディスク及びブルーレイディスク(登録商標)を初め、データが通常は磁気的に再生されるディスク(disk)及びレーザで以てデータが光学的に再生されるディスク(disc)が包含される。上掲のものの組合せも、コンピュータ可読媒体の範囲内とされるべきである。
ある種の具体的諸実施形態について教示目的で上述したが、本件特許出願の教示には一般的な適用可能性があり、上述の具体的諸実施形態には限定されない。従って、記載されている諸実施形態に備わる様々な特徴についての様々な修正、適合化及び組合せを、特許請求の範囲にて説明されている発明の技術的範囲からの離隔なしで実施することができる。

Claims (16)

  1. レーザ産生プラズマ光源であって、
    少なくとも1個の壁を有するプラズマチャンバであり、その壁により自プラズマチャンバ内にバッファガス流を囲い込むプラズマチャンバと、
    固体又は液体状態の非金属フィード素材のドロップレット列を前記プラズマチャンバ内に吐出するドロップレット発生器と、
    前記プラズマチャンバ内のフィード素材のドロップレットに向かう励起光パルスであり1nsec未満の持続時間を有するものを生成するパルスレーザと、を備え、その励起光パルスとそのフィード素材のドロップレットとの相互作用によりそのドロップレットをイオン化させることで、照明光を放射するプラズマを形成させ、
    前記プラズマにより放射された一群の照明光を集め、前記プラズマチャンバの窓を介しその一群の照明光を差し向ける集光器と、
    を備え、前記窓は、X線フィルタとして1nm~20nmのX線輻射を透過させ、それ以外の輻射を吸収し、更に、
    前記バッファガスから一群のフィード素材を分離させ当該一群のフィード素材を前記ドロップレット発生器に供給するよう構成されたガス再循環システムを備え、
    前記ガス再循環システムが、
    前記バッファガスを冷却し、前記非金属フィード素材を固化して気体状態を保つバッファガスから分離し、前記気体状態のバッファガスを排出した後に、固化した前記非金属フィード素材を加熱して気相状態とする少なくとも1個のクライオジェニックチャンバと、
    前記気相状態の非金属フィード素材を冷却して液体状態とし、前記ドロップレット発生器に輸送する蒸留カラムと、
    を備える、レーザ産生プラズマ光源。
  2. 請求項1に記載のレーザ産生プラズマ光源であって、排出された前記バッファガスが前記プラズマチャンバに供給されるレーザ産生プラズマ光源。
  3. 請求項1に記載のレーザ産生プラズマ光源であって、前記バッファガスがヘリウム又は水素であるレーザ産生プラズマ光源。
  4. 請求項1に記載のレーザ産生プラズマ光源であって、前記プラズマチャンバの窓から前記プラズマまでの距離が少なくとも10cmあるレーザ産生プラズマ光源。
  5. 請求項1に記載のレーザ産生プラズマ光源であって、前記フィード素材の各ドロップレット列が200μm未満の直径であるレーザ産生プラズマ光源。
  6. 請求項1に記載のレーザ産生プラズマ光源であって、前記フィード素材がキセノン、クリプトン、アルゴン、ネオン及び窒素のうち何れかであるレーザ産生プラズマ光源。
  7. 請求項1に記載のレーザ産生プラズマ光源であって、前記プラズマの輝度が1014光子/(sec・mm・mrad)超であるレーザ産生プラズマ光源。
  8. 請求項1に記載のレーザ産生プラズマ光源であって、前記プラズマのスポットサイズが10μm未満であるレーザ産生プラズマ光源。
  9. 計量システムであって、
    レーザ産生プラズマ光源であり、
    少なくとも1個の壁を有するプラズマチャンバでありその壁により自プラズマチャンバ内にバッファガス流を囲い込むプラズマチャンバ、
    固体又は液体状態の非金属フィード素材のドロップレット列を前記プラズマチャンバ内に吐出するドロップレット発生器、
    前記プラズマチャンバ内のフィード素材のドロップレットに向かう励起光パルスであり1nsec未満の持続時間を有するものを生成するパルスレーザであり、その励起光パルスとそのフィード素材のドロップレットとの相互作用によりそのドロップレットをイオン化させることで、照明光を放射するプラズマを形成させるパルスレーザ、
    前記プラズマにより放射された照明光を集めその照明光を前記プラズマチャンバの窓を介し計測下試料に差し向ける集光器、
    を備え、前記窓は、X線フィルタとして1nm~20nmのX線輻射を透過させ、それ以外の輻射を吸収するレーザ産生プラズマ光源と、
    前記照明光が前記試料上に入射するのに応じその試料から一群の光を検出するX線検出器と、
    検出された一群の光に基づき前記試料のモデルに係る注目パラメータの値を決めるよう構成された情報処理システムと、
    を備え、更に、
    前記バッファガスから一群のフィード素材を分離させ当該一群のフィード素材を前記ドロップレット発生器に供給するよう構成されたガス再循環システムを備え、
    前記ガス再循環システムが、
    前記バッファガスを冷却し、前記非金属フィード素材を固化して気体状態を保つバッファガスから分離し、前記気体状態のバッファガスを排出した後に、固化した前記非金属フィード素材を加熱して気相状態とする少なくとも1個のクライオジェニックチャンバと、
    前記気相状態の非金属フィード素材を冷却して液体状態とし、前記ドロップレット発生器に輸送する蒸留カラムと、
    を備える計量システム。
  10. 請求項9に記載の計量システムであって、反射型小角X線スキャタロメトリシステム、コヒーレント回折撮像システム及び撮像システムのうち何れかとして構成された計量システム。
  11. 請求項9に記載の計量システムであって、更に、
    前記照明光が前記試料に入射されるのに応じその試料から放射された収集光を集めて拡大しその収集光を前記検出器に差し向ける対物系を、備える計量システム。
  12. 請求項11に記載の計量システムであって、前記対物系が前記照明光を前記試料に差し向ける計量システムであり、その対物系の瞳面内にあり空間的に分離されている領域をその照明光及び前記収集光が占める計量システム。
  13. 請求項11に記載の計量システムであって、前記対物系が前記照明光を前記試料に差し向ける計量システムであり、その対物系の瞳面内にあり空間的に重複している領域をその照明光及び前記収集光が占める計量システム。
  14. 請求項11に記載の計量システムであって、更に、
    前記照明光を前記集光器から前記試料に差し向ける少なくとも1個の照明光学素子を備え、前記対物系の瞳面内に前記収集光しかない計量システム。
  15. 請求項9に記載の計量システムであって、前記プラズマのスポットサイズが10μm未満である計量システム。
  16. 固体又は液体状態の非金属フィード素材のドロップレット列をプラズマチャンバ内に吐出し、そのプラズマチャンバに備わる少なくとも1個の壁によりそのプラズマチャンバ内にバッファガス流を囲い込むステップと、
    前記プラズマチャンバ内のフィード素材のドロップレットに向かう励起光パルスであり1nsec未満の持続時間を有するものを生成し、その励起光パルスとそのフィード素材のドロップレットとの相互作用によりそのドロップレットをイオン化させることで、照明光を放射するプラズマを形成させるステップと、
    前記プラズマにより放射された照明光を集めその照明光を前記プラズマチャンバの窓を介し計測下試料に差し向けるステップであり、前記窓は、X線フィルタとして1nm~20nmのX線輻射を透過させ、それ以外の輻射を吸収する、ステップと、
    前記照明光に応じ前記試料から一群の光を検出するステップと、
    検出された一群の光に基づき前記計測下試料の少なくとも1個の注目パラメータの値を決めるステップと、
    を有し、更に、
    前記バッファガスから一群のフィード素材を分離させ当該一群のフィード素材をドロップレット発生器に供給するガス再循環ステップを備え、
    前記ガス再循環ステップが、
    前記バッファガスを冷却し、前記非金属フィード素材を固化して気体状態を保つバッファガスから分離し、前記気体状態のバッファガスを排出した後に、固化した前記非金属フィード素材を加熱して気相状態とするステップと、
    前記気相状態の非金属フィード素材を冷却して液体状態とし、前記ドロップレット発生器に輸送する蒸留カラムと、
    を有する方法。
JP2022180874A 2018-01-10 2022-11-11 広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法 Active JP7482193B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/867,633 2018-01-10
US15/867,633 US10959318B2 (en) 2018-01-10 2018-01-10 X-ray metrology system with broadband laser produced plasma illuminator
PCT/US2019/012449 WO2019139837A1 (en) 2018-01-10 2019-01-07 X-ray metrology system with broadband laser produced plasma illuminator
JP2020538135A JP2021510449A (ja) 2018-01-10 2019-01-07 広帯域レーザ産生プラズマイルミネータを有するx線計量システム

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020538135A Division JP2021510449A (ja) 2018-01-10 2019-01-07 広帯域レーザ産生プラズマイルミネータを有するx線計量システム

Publications (2)

Publication Number Publication Date
JP2023021117A true JP2023021117A (ja) 2023-02-09
JP7482193B2 JP7482193B2 (ja) 2024-05-13

Family

ID=67139922

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020538135A Pending JP2021510449A (ja) 2018-01-10 2019-01-07 広帯域レーザ産生プラズマイルミネータを有するx線計量システム
JP2022180874A Active JP7482193B2 (ja) 2018-01-10 2022-11-11 広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020538135A Pending JP2021510449A (ja) 2018-01-10 2019-01-07 広帯域レーザ産生プラズマイルミネータを有するx線計量システム

Country Status (7)

Country Link
US (1) US10959318B2 (ja)
EP (1) EP3738181A4 (ja)
JP (2) JP2021510449A (ja)
KR (1) KR102589632B1 (ja)
CN (1) CN111566880A (ja)
TW (1) TWI791735B (ja)
WO (1) WO2019139837A1 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11438999B2 (en) * 2019-11-15 2022-09-06 The Regents Of The University Of California Devices and methods for creating plasma channels for laser plasma acceleration
WO2021121906A1 (en) 2019-12-18 2021-06-24 Asml Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11668601B2 (en) * 2020-02-24 2023-06-06 Kla Corporation Instrumented substrate apparatus
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11879683B2 (en) * 2020-04-07 2024-01-23 Kla Corporation Self-aligning vacuum feed-through for liquid nitrogen
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
KR20230146536A (ko) 2021-02-17 2023-10-19 에이에스엠엘 네델란즈 비.브이. 원거리 필드에서 방사선을 분리하기 위한 어셈블리
EP4330768A1 (en) 2021-04-26 2024-03-06 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
KR20240016285A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 계측 측정 방법 및 장치
KR20240007276A (ko) 2021-06-14 2024-01-16 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 연관된 방법, 장치
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
CN113484620B (zh) * 2021-07-06 2022-05-17 北京航空航天大学 光扫描电磁波幅度和相位分布快速测量方法及系统
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5211051A (en) * 1975-07-17 1977-01-27 Seiko Instr & Electronics Ltd Indicator
DE4204301A1 (de) * 1991-08-05 1993-02-11 Siemens Ag Roentgenroehre mit strahlenaustrittsfenster
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5606588A (en) * 1995-07-28 1997-02-25 The Regents Of The University Of Michigan Method and apparatus for generating laser plasma x-rays
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6815700B2 (en) * 1997-05-12 2004-11-09 Cymer, Inc. Plasma focus light source with improved pulse power system
AU3957599A (en) * 1998-05-29 1999-12-20 Nikon Corporation Laser-excited plasma light source, exposure apparatus and its manufacturing method, and device manufacturing method
WO2000019496A1 (fr) * 1998-09-28 2000-04-06 Hitachi, Ltd. Generateur au plasma laser de rayons x, dispositif d'alignement de semiconducteurs possedant ce generateur et procede d'exposition de semiconducteurs
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6760406B2 (en) * 2000-10-13 2004-07-06 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP3728495B2 (ja) 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 多層膜マスク欠陥検査方法及び装置
US7671349B2 (en) * 2003-04-08 2010-03-02 Cymer, Inc. Laser produced plasma EUV light source
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7217941B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Systems and methods for deflecting plasma-generated ions to prevent the ions from reaching an internal component of an EUV light source
US7619232B2 (en) 2003-06-27 2009-11-17 Xtreme Technologies Gmbh Method and device for producing extreme ultraviolet radiation or soft X-ray radiation
US7094036B2 (en) 2003-09-24 2006-08-22 The Boc Group Plc Vacuum pumping system
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
DE102004028943B4 (de) * 2004-06-11 2006-10-12 Xtreme Technologies Gmbh Vorrichtung zur zeitlich stabilen Erzeugung von EUV-Strahlung mittels eines laserinduzierten Plasmas
FR2871622B1 (fr) * 2004-06-14 2008-09-12 Commissariat Energie Atomique Dispositif de generation de lumiere dans l'extreme ultraviolet et application a une source de lithographie par rayonnement dans l'extreme ultraviolet
DE102004036441B4 (de) * 2004-07-23 2007-07-12 Xtreme Technologies Gmbh Vorrichtung und Verfahren zum Dosieren von Targetmaterial für die Erzeugung kurzwelliger elektromagnetischer Strahlung
DE102004042501A1 (de) * 2004-08-31 2006-03-16 Xtreme Technologies Gmbh Vorrichtung zur Bereitstellung eines reproduzierbaren Targetstromes für die energiestrahlinduzierte Erzeugung kurzwelliger elektromagnetischer Strahlung
US7120228B2 (en) * 2004-09-21 2006-10-10 Jordan Valley Applied Radiation Ltd. Combined X-ray reflectometer and diffractometer
US7109503B1 (en) * 2005-02-25 2006-09-19 Cymer, Inc. Systems for protecting internal components of an EUV light source from plasma-generated debris
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7351980B2 (en) 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
DE102006017904B4 (de) * 2006-04-13 2008-07-03 Xtreme Technologies Gmbh Anordnung zur Erzeugung von extrem ultravioletter Strahlung aus einem energiestrahlerzeugten Plasma mit hoher Konversionseffizienz und minimaler Kontamination
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US20080237498A1 (en) * 2007-01-29 2008-10-02 Macfarlane Joseph J High-efficiency, low-debris short-wavelength light sources
JP5086664B2 (ja) * 2007-03-02 2012-11-28 ギガフォトン株式会社 極端紫外光源装置
JP5149520B2 (ja) 2007-03-08 2013-02-20 ギガフォトン株式会社 極端紫外光源装置
US7655925B2 (en) * 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
CN101711376A (zh) * 2008-02-19 2010-05-19 内诺-Uv公司 脉冲源的多路复用
US8519366B2 (en) * 2008-08-06 2013-08-27 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
EP2161725B1 (en) 2008-09-04 2015-07-08 ASML Netherlands B.V. Radiation source and related method
JP5576079B2 (ja) * 2008-09-29 2014-08-20 ギガフォトン株式会社 極端紫外光源装置
JP2010103499A (ja) 2008-09-29 2010-05-06 Komatsu Ltd 極端紫外光源装置および極端紫外光生成方法
DE102008049589A1 (de) 2008-09-30 2010-04-08 Carl Zeiss Smt Ag Optische Abbildungseinrichtung und Abbildungsverfahren für die Mikroskopie
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5186347B2 (ja) * 2008-12-04 2013-04-17 ギガフォトン株式会社 差動排気システム
JP5580032B2 (ja) 2008-12-26 2014-08-27 ギガフォトン株式会社 極端紫外光光源装置
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP5748748B2 (ja) 2009-06-19 2015-07-15 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 極紫外線検査システム
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8368039B2 (en) 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
JP5075951B2 (ja) 2010-07-16 2012-11-21 ギガフォトン株式会社 極端紫外光源装置及びドライバレーザシステム
US20120050706A1 (en) * 2010-08-30 2012-03-01 Media Lario S.R.L Source-collector module with GIC mirror and xenon ice EUV LPP target system
US8575576B2 (en) * 2011-02-14 2013-11-05 Kla-Tencor Corporation Optical imaging system with laser droplet plasma illuminator
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US9516730B2 (en) 2011-06-08 2016-12-06 Asml Netherlands B.V. Systems and methods for buffer gas flow stabilization in a laser produced plasma light source
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
EP2951643B1 (en) * 2013-01-30 2019-12-25 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014127151A1 (en) * 2013-02-14 2014-08-21 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US8872143B2 (en) 2013-03-14 2014-10-28 Asml Netherlands B.V. Target for laser produced plasma extreme ultraviolet light source
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) * 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
JP6241062B2 (ja) * 2013-04-30 2017-12-06 ウシオ電機株式会社 極端紫外光光源装置
US8963110B2 (en) * 2013-06-22 2015-02-24 Kla-Tencor Corporation Continuous generation of extreme ultraviolet light
US9544984B2 (en) * 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9127981B2 (en) 2013-08-06 2015-09-08 Cymer, Llc System and method for return beam metrology with optical switch
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
WO2015120513A1 (en) * 2014-02-11 2015-08-20 Kenneth Scott Alexander Butcher Electrostatic control of metal wetting layers during deposition
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US9301381B1 (en) 2014-09-12 2016-03-29 International Business Machines Corporation Dual pulse driven extreme ultraviolet (EUV) radiation source utilizing a droplet comprising a metal core with dual concentric shells of buffer gas
US10101664B2 (en) * 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US9578729B2 (en) * 2014-11-21 2017-02-21 Plex Llc Extreme ultraviolet source with dual magnetic cusp particle catchers
US10034362B2 (en) * 2014-12-16 2018-07-24 Kla-Tencor Corporation Plasma-based light source
US10324050B2 (en) * 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10217625B2 (en) * 2015-03-11 2019-02-26 Kla-Tencor Corporation Continuous-wave laser-sustained plasma illumination source
US10025079B2 (en) * 2015-09-28 2018-07-17 Kenneth Carlisle Johnson Actinic, spot-scanning microscope for EUV mask inspection and metrology
US10880979B2 (en) 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
US10021773B2 (en) * 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
WO2016131069A2 (en) * 2015-12-11 2016-08-18 Johnson Kenneth Carlisle Euv light source with spectral purity filter and power recycling
JP6861470B2 (ja) * 2016-03-04 2021-04-21 三星電子株式会社Samsung Electronics Co.,Ltd. X線検査装置
US11317500B2 (en) * 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10495974B2 (en) * 2017-09-14 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Target feeding system
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator

Also Published As

Publication number Publication date
CN111566880A (zh) 2020-08-21
EP3738181A4 (en) 2022-03-02
EP3738181A1 (en) 2020-11-18
WO2019139837A1 (en) 2019-07-18
KR102589632B1 (ko) 2023-10-13
US10959318B2 (en) 2021-03-23
US20190215940A1 (en) 2019-07-11
JP2021510449A (ja) 2021-04-22
TWI791735B (zh) 2023-02-11
JP7482193B2 (ja) 2024-05-13
KR20200098707A (ko) 2020-08-20
TW201940013A (zh) 2019-10-01

Similar Documents

Publication Publication Date Title
JP7482193B2 (ja) 広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法
JP7284746B2 (ja) X線依拠計量用高輝度クリーンx線源
US10748736B2 (en) Liquid metal rotating anode X-ray source for semiconductor metrology
US10801975B2 (en) Metrology tool with combined X-ray and optical scatterometers
TW201917348A (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
US11259394B2 (en) Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) Laser produced plasma illuminator with low atomic number cryogenic target
US10139283B2 (en) Non-contact thermal measurements of VUV optics
KR102684458B1 (ko) 액체 시트 제트 타겟을 이용한 레이저 생성 플라즈마 조명기
KR102684457B1 (ko) 낮은 원자 번호의 극저온 타깃을 가진 레이저 생성 플라스마 조명기

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231024

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240409

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240426

R150 Certificate of patent or registration of utility model

Ref document number: 7482193

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150