JP7284746B2 - X線依拠計量用高輝度クリーンx線源 - Google Patents

X線依拠計量用高輝度クリーンx線源 Download PDF

Info

Publication number
JP7284746B2
JP7284746B2 JP2020512353A JP2020512353A JP7284746B2 JP 7284746 B2 JP7284746 B2 JP 7284746B2 JP 2020512353 A JP2020512353 A JP 2020512353A JP 2020512353 A JP2020512353 A JP 2020512353A JP 7284746 B2 JP7284746 B2 JP 7284746B2
Authority
JP
Japan
Prior art keywords
xenon
plasma
laser
target
ray
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020512353A
Other languages
English (en)
Other versions
JP2020535391A (ja
Inventor
オレグ ホディキン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020535391A publication Critical patent/JP2020535391A/ja
Application granted granted Critical
Publication of JP7284746B2 publication Critical patent/JP7284746B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Plasma Technology (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Description

開示されている諸実施形態は、X線レーザ生成プラズマ輻射源並びにX線計量及び検査システムに関する。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を基板又はウェハに適用することで製造される。それら半導体デバイスに備わる様々なフィーチャ(外形特徴)及び複数の構造階層がそれら処理工程によって形成される。例えば、それらのなかでもリソグラフィは、半導体ウェハ上でのパターン生成を伴う類の半導体製造プロセスである。半導体製造プロセスの更なる例としては、これに限られるものではないが化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作成した後、個別の半導体デバイスへと分けるようにするとよい。
計量プロセスは、半導体製造プロセス中の様々な工程にて、ウェハ上の欠陥を検出し歩留まり向上を促進するのに用いられている。多数の計量依拠技術、例えばスキャタロメトリ(散乱法)及びリフレクトメトリ(反射法)による実現形態並びにそれらに関連する分析アルゴリズムが、ナノスケール構造の限界寸法、オーバレイ、膜厚、組成その他のパラメタを記述すべく広く用いられている。
古くから、薄膜及び/又は反復的周期構造で構成されるターゲットを対象にして計測が行われている。デバイス製造中には、通常、これらの膜及び周期構造により実デバイス幾何及び素材構造又は中間デザインが表される。デバイス(例.論例デバイス及び記憶デバイス)がより微細なnmスケール寸法へと移行しつつあるため、特性記述がより困難になってきている。複雑な三次元幾何や多様な物理特性を有するデバイスは、特性記述困難性を助長している。例えば、昨今のメモリ構造は高アスペクト比三次元構造であることが多く、そのために光学輻射が底部層へと浸透しにくくなっている。赤外~可視光を利用する光学計量ツールであれば多くの半透明素材層に浸透可能だが、波長を長めにして良好な浸透深度を実現すると小さな異常に対する感度が不十分になる。加えて、複雑な構造(例.FinFET)の特性を記述するにはより多数のパラメタが必要であり、そのことがパラメタ相関の増大につながっている。結果として、そのターゲットの特性を記述するパラメタを、利用可能な計測で以て高信頼分離することができない。
一例としては、昨今の半導体構造では不透明高k素材の採用が増えている。光学輻射は、そうした素材で構成された層に浸透できないことが多い。結果として、薄膜スキャタロメトリツール例えばエリプソメータ(楕円偏向計)又はリフレクトメータ(反射計)での計測がますます難題になってきている。
これらの問題に対応すべく、より複雑な光学計量ツールが開発されてきた。例えば、複数通りの照明角を有し、照明波長が短く、照明波長域が広く、また反射信号からの情報獲得がより完全な(例.より旧来的な反射率又はエリプソメトリ信号に加え複数個のミュラー行列要素を計測する)ツールが開発されている。しかしながら、これらの手法では、多くの先進的ターゲット(例.複雑な3D構造、10nm未満の構造、不透明素材を採用する構造)の計測及び計測アプリケーション(例.ラインエッジ粗さ及びライン幅粗さの計測)に係る根本的難題が信頼性良く克服されていない。
小角X線スキャタロメトリ(SAXS)が素材の特性解明その他の非半導体関連アプリケーションに適用されている。Xenocs SAS(非特許文献1)、Bruker Corporation(非特許文献2)及び株式会社リガク(非特許文献3)を初めとする幾つかの企業により、例となるシステムが商業化されている。
加えて、SAXS依拠計量システムには、難題となっている計測アプリケーション、例えば限界寸法計量及びオーバレイ計量に対処できる見込みがある。とりわけ、透過型小角X線スキャタロメトリ(T-SAXS)には、限界寸法及びオーバレイアプリケーションに立ち向かえる見込みがある。しかしながら、T-SAXS依拠計量に適する高信頼硬X線照明源がまだ開発途上である。
幾つかの例では、照明光が古典的なX線管、例えば固体ターゲット素材の高エネルギ電子ビーム衝撃を用いX線放射を生成する回転アノードX線管により供給されている。不運なことに、それによりもたらされる照明は、高エネルギ電子ビームによるアノード素材の加熱及び蒸発故に低輝度である。
他の幾つかの例では、表面蒸発の問題を軽減すべく液体金属ジェットアノードが採用されている。液体金属ジェットX線照明システムの例がZhuang及びFielden名義の特許文献1に記載されているので、参照によりその全容を本願に繰り入れることにする。液体金属ジェットでは、効果的に、アノード表面が持続リフレッシュされるため、その面におけるX線の吸収を減らすことができる。しかしながら、液体金属アノード素材はまさに蒸発して金属蒸気を形成するので、X線源寿命が制約されうる。幾つかの例では、その金属蒸気が真空X線窓上に凝集して付加的なX線吸収が引き起こされている。幾つかの例では、その金属蒸気がカソード領域内に拡散してそのカソードを汚染し、カソード寿命及びシステム出力が削られている。幾つかの例では、その金属蒸気が電子ビーム加速領域内へと拡散して高電圧ブレークダウンが引き起こされている。
幾つかの例では、連続波長レーザ(例.レーザ維持プラズマ)によりポンピングされる光源によって照明光が供給されている。レーザ維持プラズマは、そのレーザプラズマよりも低温な作動ガスにより包囲された高圧バルブ内で産生される。レーザ維持プラズマではかなりな放射輝度改善が得られるところ、そうしたプラズマの温度は概してそれらランプ内での光物理及び運動プロセスにより制約される。それらのプラズマにおける純原子及びイオン放射は、一般に200nm超の波長に制限される。エキシマ放射ならレーザ維持プラズマにて放射波長を171nmに配しうるが(例.キセノンエキシマ放射)、そうした光源は通常は狭帯域、低パワー及び低放射輝度である。171nmにおけるエキシマ放射が最適化されるのは低圧下(例.3bar以下)であり、171nm放射のパワーは、高放射輝度のため必要な、より高い圧力下では大きく損なわれる。結果として、高圧バルブ内の単純なガス混合物では、200nm超の波長覆域しか、高スループット高分解能計量をサポートするのに十分な放射輝度及び平均パワーで以て保つことができない。幾つかの例では固体電極ターゲットが採用されているが、反復速度が低く、電極腐食があり且つプラズマサイズが大きいため低輝度且つ短寿命となり、それらのX線依拠半導体計量向け有効性は限られている。
極端紫外(EUV)リソグラフィの領域における開発努力は、13nmを中心とする狭帯域輻射(例.±0.1nm)を高いパワーレベル(例.イルミネータ(照明器)の中間焦点における平均パワーが210W)で放射する光源に集中している。EUVリソグラフィ向け光源は、レーザドロップレットプラズマアーキテクチャを用い開発されてきた。例えば、約100kHzなるパルス反復周波数にて動作するキセノン、スズ及びリチウムドロップレットターゲットを、COコヒーレント光源によりポンピングするものである。それにより実現される光は高パワーである(例.イルミネータの中間焦点における平均パワーを210Wにすることが13nmのリソグラフィツールに係る目標である)。しかしながら、もたらされる輻射は比較的低エネルギ(92.6eV)であり、そのことでそれら照明源の計量アプリケーションにおける用途が厳しく制約される。
米国特許第7929667号明細書 米国特許第7705331号明細書 米国特許第7826071号明細書 米国特許第7478019号明細書 米国特許第7351980号明細書 米国特許出願公開第2010/0188738号明細書
www.xenocs.com www.bruker.com www.rigaku.com/en
計量アプリケーションにて必要とされる放射輝度及び平均パワーを呈するクリーンな硬X線照明源が望まれている。
クリーンな硬X線照明源を利用するX線依拠半導体計量方法及びシステムが本願に記載される。より具体的には、レーザ生成プラズマ(LPP)光源にて、25000~30000eV域内のエネルギを有する高輝度な(即ち1013光子/(秒・mm・mrad)超の)硬X線照明を生成する。そうした高い輝度を達成するため、そのLPP光源から、高集束極短持続時間レーザビームを液体又は固体の密キセノンターゲットへと差し向ける。その集束レーザパルスがその高密度キセノンターゲットと相互作用することでプラズマが点火される。そのプラズマからの輻射が集光光学系によって集光され、計測下試料へと差し向けられる。もたらされるそのプラズマ放射は、非金属ターゲット素材の使用故に比較的クリーンである。結果として、プラズマチャンバ及びそのプラズマチャンバ内の光学素子が、プラズマによる極低レベルの汚染にしかさらされない。
ある態様では、液体又は固体のキセノンターゲット素材が、10μm未満のレーザスポットサイズ、2p秒未満のパルス持続時間で以て、そのターゲット素材におけるレーザピークエネルギ密度が1016W/cm超となるよう照明される。これにより、キセノンターゲット素材からの効率的なKα生成が可能となる。もたらされるそのKα産生によって、プラズマ照明エネルギが、29802eVを中心とする狭い共鳴Kαキセノンラインにて生成される。
幾つかの実施形態では、ドロップレット発生器にて液体又は固体キセノンドロップレット列が生成され、それらドロップレットが1個又は複数個のパルスレーザ照明源によって照明される。他の幾つかの実施形態では、そのキセノンターゲット素材が、そのレーザ照明光の焦点に、極低温冷却(クライオジェニック冷却)回転ドラム上に配置された固体キセノン層として提供される。これらの実施形態では、その極低温冷却ドラムを連続回転させることで、固体キセノンターゲット素材がそのレーザ照明光の焦点へと送給される。
幾つかの実施形態では、光パルスが複数個のパルスレーザ光源から同時放射される。他の幾つかの実施形態では、光パルスが複数個のパルスレーザ光源から順次放射される。幾つかの実施形態では、パルスレーザのうち何れかからの放射波長が約1μm以下とされる。幾つかの実施形態では、各パルスレーザの合計平均パワーが約1kW以下とされる。
更なる態様では、プラズマチャンバがキセノンガスで以て充填され、それが5~200Torr域内の圧力に保たれる。キセノンガスをこの圧力域内に保つことで、キセノンドロップレット形成に適した環境が提供される。更に、キセノンバッファガスを5~200Torr域内の圧力に保つことで、約10cmなる距離以内でそのプラズマにより生成されたキセノンイオンほぼ全てを含め、そのプラズマにより生成された活動的なキセノンイオン及び電子が熱平衡化される。
他の更なる態様では、そのLPP光源にガス再循環システムが組み込まれ、それによってプラズマチャンバからキセノンターゲット発生器へと戻るように気化キセノンが再循環される。
上掲のものは概要であるので、随所に単純化、一般化及び細部省略が含まれている;従って、本件技術分野に習熟した者(いわゆる当業者)には察せられるように、この概要は専ら例証的なものであり、如何様であれ限定性のものではない。本願記載のデバイス・装置及び/又はプロセス・処理は専ら特許請求の範囲により定義されている通りであり、それらの他の態様、新規特徴及び長所は、本願中で説明される非限定的詳細記述にて明らかとされよう。
少なくとも1個の新規態様に従いレーザ生成プラズマ(LPP)光源を有する計量システムを描いた概略図である。 モデル構築兼分析エンジンの例を描いた概略図である。 計測下半導体試料向けに硬X線照明光を生成する方法のフローチャートである。
以下、本発明の背景例及び幾つかの実施形態であり、その例が添付図面に描かれているものについて、詳細に参照することにする。
本願に記載されるのは、クリーンな硬X線照明源を利用するX線依拠半導体計量方法及びシステムである。より具体的には、レーザ生成プラズマ(LPP)光源にて、25000~30000eV域内のエネルギを有する高輝度な(即ち1013光子/(秒・mm・mrad)超の)硬X線照明が生成される。こうした高い輝度を達成するため、そのLPP光源から、高集束(例.ターゲット上の照明スポットが10μm未満)で極短持続時間(例.2p秒未満)のレーザビームが、液体又は固体の密キセノンターゲットへと差し向けられる。その集束レーザパルスがその高密度キセノンターゲットと相互作用することでプラズマが点火される。そのプラズマからの輻射が集光光学系により集光され、計測下試料へと差し向けられる。もたらされるそのプラズマ放射は、非金属ターゲット素材の使用故に比較的クリーンである。結果として、プラズマチャンバ及びそのプラズマチャンバ内の光学素子が、そのプラズマによる極低レベルの汚染にしかさらされない。
図1に、少なくとも1個の新規態様に従いレーザ生成プラズマ(LPP)光源110を有するX線依拠計量システム100を示す。LPP光源110はプラズマチャンバ153、ドロップレット発生器111、並びにパルスレーザ照明源113A及び113Bを有している。プラズマチャンバ153は、自プラズマチャンバ内にバッファガス123の流れを閉じ込める1枚又は複数枚の壁を有している。ドロップレット発生器111は、非金属フィード素材112のドロップレット列をプラズマチャンバ153内へと吐出する。
ある態様では、キセノンドロップレットがドロップレット発生器111により生成され、プラズマチャンバ153内で固体又は液体の態をなす。他の態様では、非金属フィード素材112のドロップレットそれぞれが直径50μm未満となる。更に他の態様では、そのキセノンターゲット上への入射レーザスポットが直径10μm未満とされる。幾つかの実施形態では、ドロップレット発生器111が、商業的に入手可能なインクジェットテクノロジに依拠する高周波流体ディスペンサとされる。ある例では、ドロップレット発生器111により、フィード素材112のドロップレット列が50~400kHzの速度にて吐出される。
更なる態様では、ターゲット素材に供給される励起光パルスそれぞれの持続時間が2p秒未満とされる。実施形態によっては各励起光パルスの持続時間が1p秒未満となる。
本願記載の高エネルギ高ピークパワーピコ秒レーザ照明によれば、ターゲット素材におけるレーザピークエネルギ密度が1016W/cm超となる。これにより、キセノンターゲット素材からの効率的なKα生成が可能となる(例.10-5超)。もたらされるKα産生により、29802eVを中心にした狭い共鳴Kαキセノンラインにてプラズマ照明エネルギが生成される。
図1に示すパルスレーザ113A及び113Bは励起光パルス列を生成する。各励起光パルスをフィード素材112のドロップレットに向かわせる。ビーム結合器147は、パルスレーザ113A及び113Bの光出力を結合させてプラズマチャンバ153に送給する。幾つかの実施形態によれば、ビーム結合器147にてビーム調光を行うことで、ターゲット素材におけるレーザ照明の集束を更に最適化することができる(例.ビーム拡張、平行化等々)。この励起光は、照明光学系114により、ある極小のスポットサイズに亘りドロップレット上へと集束される。幾つかの実施形態では、励起光が10μm未満のスポットサイズで以てドロップレット上へと集束される。ある好適実施形態では、励起光が5μm未満のスポットサイズで以てドロップレット上へと集束される。1.3未満の品質ファクタMを有するレーザ光が、比較的小さい数値開口(NA)で以て10μm未満のスポットサイズに集束される。従って、複雑な高NA光学系は必要とされない。
励起光のスポットサイズが小さくなるにつれ、誘起されるプラズマのスポットサイズは小さくなる。ある好適実施形態ではプラズマ115のスポットサイズが10μm未満となる。
図1に示すように、レーザ照明ビームは照明窓116を通してプラズマチャンバ153へと導入されている。加えて、1個又は複数個の付加的光学素子(例.金属光学系148及び149)により、そのレーザ照明ビームがターゲットへと差し向けられつつも、プラズマ115により生成されたX線輻射が照明窓116内へと後方反射されていない。照明窓116を介したX線輻射の後方反射は、安全性リスクを潜在的に課すと共に、照明窓116の素材劣化をもたらしシステム動作に影響を及ぼすものでもある。ある実施形態によれば、最後段の金属光学素子149を非垂直入射構成、非かすめ入射構成又はその双方に従い配置することで、X線輻射の後方反射を避けることができる。
幾つかの実施形態では、光パルスが複数個のパルスレーザ光源から同時放射される。他の幾つかの実施形態では、光パルスが複数個のパルスレーザ光源から順次放射される。図1に示す情報処理システム130は制御信号135Aをパルスレーザ113A、制御信号135Bをパルスレーザ113Bへと送っている。ある例によれば、制御信号135A及び135Bにより、パルスレーザ113A及び113Bそれぞれからレーザ光パルスを同時に放射させることができる。この例では、それら放射パルスが同時に結合され、結合パルスとしてターゲットへと送給される。複数個のパルスレーザからのレーザ光パルスを同時送給することで、ターゲットに供給される照明パワーが効果的に増大する。別例によれば、制御信号135A及び135Bにより、パルスレーザ113A及び113Bそれぞれからレーザ光パルスを時間的に順次放射させることができ、またどの所与時点でも単一のパルス放射しか発生しないよう、且つ別々のパルスレーザにより生成されるパルス間の間隔が一定となるようにすることができる。この例では放射パルスがターゲットに順次送給される。複数個のパルスレーザからのレーザ光パルスを順次送給することで、ターゲットに供給されるレーザ照明の反復速度が効果的に高まる。
図1に示すLPP光源110は2個のパルスレーザ113A及び113Bを有している。しかしながら、一般に、LPP光源110が何個のパルスレーザ照明源を有していてもよい(例.1個のレーザ又は多数のレーザ)。更に、複数個のパルスレーザ光源が採用されている場合、何れのパルスレーザ光源の放射を同時、順次又はその双方にて送給することで、照明光がターゲットに所望の光学パワー及び反復速度で送給されるようにしてもよい。例えば、2個一組のパルスレーザを二組制御することで、一組目のパルスレーザ2個が同時に光を放射し二組目のパルスレーザ2個がやはり同時に光を放射する一方、一組目と二組目とで光が順次放射されるようにしてもよい。一般に、ターゲット素材に供給される照明の反復速度は5~50kHz域内とする。
幾つかの実施形態では、何れかのパルスレーザ113A及び113Bからの放射の波長が約1μm以下とされる。一般に、LPP110のパルスレーザは何らかの固体レーザ(例.Nd:YAG、Er:YAG、Yb:YAG、Ti:サファイア、Nd:バナジン酸その他)又はガス放電レーザ(例.エキシマレーザ)とされる。幾つかの実施形態では、各パルスレーザの合計平均パワーが約1kW以下とされる。
更なる態様ではプラズマチャンバ153がバッファガス123で以て充填される。ある好適実施形態ではバッファガス123がキセノンガスとされる。キセノンガス123は、プラズマにより生成されるX線輻射のうち極僅かしか吸収せず、レーザ生成光学輻射に対し透明である。幾つかの例では、1000Torr・cmのキセノンガス経路長に亘りプラズマ115により生成されるX線輻射のうち10-5未満しか、キセノンガス123にて吸収されない。更に、キセノンは貴ガスでありX線フィルタ117上に凝集しない。
他の更なる態様では、キセノンバッファガスが5~200Torr域内の圧力に保たれる。キセノンガスをこの圧力域内に保つことで、キセノンドロップレット形成に適した環境が提供される。更に、キセノンバッファガスを5~200Torr域内の圧力に保つことで、プラズマ115から約10cm以下の距離以内でプラズマ115により生成されたキセノンイオンほぼ全てを含め、プラズマ115により生成された活動的なキセノンイオン及び電子が熱平衡化される。
キセノンバッファガスの圧力が低過ぎると、ドロップレット発生器111により放出されたキセノン流の個別ドロップレットへの破断が、液体キセノンの蒸発によって妨げられる。更に、キセノンバッファガスの圧力が低過ぎると、約10cm以下の距離にてプラズマ115により生成される活動的なキセノンイオン全てをそのキセノンバッファガスにより止めることができない。
光学素子例えばレーザ照明窓116、集光器118及びX線フィルタ117は、プラズマ115からの素材堆積に対し敏感である。幾つかの実施形態では、プラズマ115とプラズマチャンバ153に備わる諸光学素子(例.窓116及び117並びに集光器118)との間の距離が、少なくとも10cmとされる。このようにすると、キセノンガス123によって、照明窓116、集光器118及びX線フィルタ117が、プラズマ115により生成された素材による汚染から保護される。
図1に示すLPP光源110は、液体又は固体キセノンのドロップレット列を生成するドロップレット発生器を有しており、それらドロップレットがパルスレーザ照明源113A及び113Bにより照明されている。他の幾つかの実施形態では、キセノンターゲット素材が、そのレーザ照明光の焦点に、極低温冷却回転ドラム上に配置された固体キセノン層(即ちキセノンの凍結層)として提供される。このようにすると、プラズマがそのドラムの表面にて生じ、放射されたX線輻射が集光されて半導体計量に供される。これらの実施形態によれば、その極低温冷却ドラムを連続回転させることで、固体キセノンターゲット素材をそのレーザ照明光の焦点へと送給することができる。先に述べた通り、キセノンターゲット上における入射レーザスポットは直径10μm未満となる。
励起光パルスがキセノンターゲット(例.キセノンドロップレット又はキセノンシート)と相互作用することで、そのキセノンがイオン化してプラズマ115が形成され、そのプラズマにより極高輝度の照明光124が放射される。ある好適実施形態では、プラズマ115の輝度が1014光子/(秒・mm・mrad)超となる。その照明光には、約25000eV~約30000eV域内のエネルギを有する光が含まれる。
その照明光124は、集光器118により集光され計測下試料150上へと集束される。図1に示した実施形態では、集光器118が、プラズマ115により放射された照明光124を集め、照明光124を窓117内に差し向けている。幾つかの実施形態では窓117がX線フィルタとされ、注目波長域内X線輻射に対し透明となり且つ注目波長域外輻射を概ね吸収するようそのフィルタが構成される。
集光器118は、プラズマ115から生じた照明光を集めるのに適するものであれば、どのような形状でもよい。好適例としては、楕円集光器や、複数の表面輪郭を併有する集光器がある。プラズマから放射された光を集める技術の例がKLA-Tencor Technologies Corp.名義の2010年4月27日付特許文献2に記載されているので、参照によりその全容を本願に繰り入れることにする。
図1に示した実施形態では、照明光124が窓117を介してプラズマチャンバ153外に出て、鏡125により試料150の方へと方向転換されている。加えて、照明光学系126を用い照明光124が再整形され、それが計測エリア129に亘り試料150上に入射している。照明光学系126に中空の光ホモジナイザ又は反射性光チューブを組み込み、照明光が効率的に試料へと送られるようにするとよい。このほか、実施形態によっては照明兼集光光学系が採用されることもある。そうした実施形態では照明光学系126により照明光が対物系へと送られる。
計測エリア129に亘り試料150を照明すると、試料150から光が散乱されてくる。散乱光128が検出器127により検出される。検出器127は、検出器127の能動エリア(群)上に入射した散乱光を示す信号151を生成する。検出器127は、分析のため信号151を情報処理システム130へと送信する。情報処理システム130は、獲得した信号151に少なくとも部分的に依拠し、その試料150の諸特性を判別する。
他の更なる態様では、情報処理システム130が、試料の被計測構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、少なくとも1個の幾何パラメタを含むX線スキャタロメトリ応答モデルをその構造モデルから生成し、そしてそのX線スキャタロメトリ応答モデルで以てX線スキャタロメトリ計測データの当て嵌め分析を実行することで少なくとも1個の試料パラメタ値を解明するよう、構成される。この分析エンジンを用い、シミュレーションされたX線スキャタロメトリ信号を計測されたデータと比較することで、標本の幾何特性及び素材特性例えば電子密度の判別が可能となる。図1に示した実施形態では、情報処理システム130がモデル構築兼分析エンジンとして構成され、本願記載の如くモデル構築兼分析機能を実現するようそのエンジンが構成されている。
図2は、情報処理システム130により実現されるモデル構築兼分析エンジンの例101を描いた図である。図2に示すモデル構築兼分析エンジン101は、試料の被計測構造について構造モデル103を生成する構造モデル構築モジュール102を有している。実施形態によっては構造モデル103にその試料の素材特性も組み込まれる。この構造モデル103は、入力としてX線スキャタロメトリ応答関数構築モジュール104に受け取られる。X線スキャタロメトリ応答関数構築モジュール104は、その構造モデル103に少なくとも部分的に依拠し、フルビームX線スキャタロメトリ応答関数モデル105を生成する。幾つかの例では、そのX線スキャタロメトリ応答関数モデル105がX線フォームファクタに基づくもの
Figure 0007284746000001
とされる;但し、Fはフォームファクタ、qは散乱ベクトル、ρ(r)は試料の電子密度を等式(1)記載の如く球座標で表したものである。そして、X線散乱強度が等式(2)により与えられる。
Figure 0007284746000002
X線スキャタロメトリ応答関数モデル105は、入力として当て嵌め分析モジュール106に受け取られる。その当て嵌め分析モジュール106は、モデル化されているそのX線スキャタロメトリ応答を、対応する計測されたデータと比較することで、その試料の幾何特性及び素材特性を判別する。
幾つかの例では、実験データへのモデル化データの当て嵌めが、χ自乗値を最小化することで達成される。例えばX線スキャタロメトリ計測に関しては、χ自乗値を
Figure 0007284746000003
の如く定義することができる;式中、S SAXS experimentは「チャネル」jにて計測されたX線スキャタロメトリ信号151であり、指数jにより一組のシステムパラメタ例えば回折次数、エネルギ、角度座標等々が表されている。S SAXS model(v,…,v)は、その「チャネル」jに係るモデル化されたX線スキャタロメトリ信号Sをある一組の構造(ターゲット)パラメタv,…,vに関し評価したものであり、それらパラメタにより幾何(CD、サイドウォール(側壁)角、オーバレイ等々)及び素材(電子密度等々)が表されている。σSAXS,jは第jチャネルに係る不確定性である。NSAXSはX線計量におけるチャネルの総数である。Lは計量ターゲットを特徴付けるパラメタの個数である。
等式(3)では、別々のチャネルに係る不確定性が相関していないものと仮定されている。別々のチャネルに係る不確定性が相関する例では、それら不確定性間の共分散を計算することができる。そうした例では、フルビームX線スキャタロメトリ計測に係るχ自乗値を
Figure 0007284746000004
の如く表すことができる。
式中、VSAXSはSAXSチャネル不確定性の共分散行列であり、Tは転置を表している。
幾つかの例では、当て嵌め分析モジュール106により、X線スキャタロメトリ応答モデル105で以てX線スキャタロメトリ計測データ151に対する当て嵌め分析を実行することで、少なくとも1個の試料パラメタ値152が解明される。幾つかの例ではχSAXS が最適化される。図1に示した実施形態では、こうして決定された値152がメモリ190内に格納される。
先に述べた通り、X線スキャタロメトリデータの当て嵌めはχ自乗値の最小化により達成される。とはいえ、一般には、フルビームX線スキャタロメトリデータの当て嵌めを他の関数によって達成してもよい。
X線スキャタロメトリ計量データの当て嵌めは、注目幾何及び/又は素材パラメタに対する感度を提供する何れの種類のX線スキャタロメトリテクノロジ向けにも有用である。試料パラメタは、その試料とのX線スキャタロメトリビーム相互作用を記述する適正なモデルが用いられる限り、決定論的なもの(例.CD、SWA、オーバレイ等々)とも統計的なもの(例.側壁粗さのrms高、粗さ相関長等々)ともすることができる。
一般に、情報処理システム130は、リアルタイム限界寸法決定(RTCD)を用い実時間的にモデルパラメタへとアクセスするよう構成されるが、それが事前計算済モデルのライブラリにアクセスして試料150に係る少なくとも1個の試料パラメタ値を決定するようにしてもよい。一般に、ある種の形態のCDエンジンを用いることで、試料に割り当てられているCDパラメタと、計測された試料に係るCDパラメタと、の間の差異を評価することができる。試料パラメタ値計算方法及びシステムの例がKLA-Tencor Corp.名義の2010年11月2日付特許文献3に記載されているので、参照によりその全容を本願に繰り入れることにする。
幾つかの例では、モデル構築兼分析エンジン101によって、フィードサイドウェイ分析、フィードフォワード分析及びパラレル分析の何らかの組合せにより、被計測パラメタの正確度が改善される。フィードサイドウェイ分析とは、同じ試料の相異なるエリア上で複数個のデータセットを採取し、第1データセットから決定された共通パラメタを分析のため第2データセット側へと引き渡すことである。フィードフォワード分析とは、相異なる試料上でデータセットを採取し、ステップワイズコピーイグザクトパラメタフィードフォワード法を用い爾後の分析へと共通パラメタを先送りすることである。パラレル分析とは、複数個のデータセットに対する非線形当て嵌め方法論の並列又は同時適用であり、少なくとも1個の共通パラメタがその当て嵌め中に結合されるもののことである。
複数ツール構造分析とは、回帰、ルックアップテーブル(即ち「ライブラリ」マッチング)その他、複数個のデータセットの当て嵌め手順に依拠したフィードフォワード、フィードサイドウェイ又はパラレル分析のことである。複数ツール構造分析方法及びシステムの例がKLA-Tencor Corp.名義の2009年1月13日付特許文献4に記載されているので、参照によりその全容を本願に繰り入れることにする。
更なる態様のうちあるものでは、計量ツール100に備わる情報処理システム(例.情報処理システム130)が、本願記載の如くビーム制御機能を実現するよう構成さ
る。図1に示した実施形態では情報処理システム130がビームコントローラとして構成されており、それを動作させることで何らかの照明特性、例えば入射照明ビーム124の強度、発散、スポットサイズ、偏向、スペクトル及び位置決めを制御することができる。
図1に描かれている情報処理システム130は検出器127に可通信結合されている。情報処理システム130は、検出器127から計測データ151を受け取るように構成されている。一例に係る計測データ151には、計測された試料応答の示数(即ち諸次回折波の強度)が含まれている。検出器127の表面上における、計測された応答の分布に基づき、試料150上における照明ビーム124の入射個所及びエリアが情報処理システム130により判別される。ある例によれば、情報処理システム130によりパターン認識技術を適用することで、計測データ151に基づき試料150上における照明ビーム124の入射個所及びエリアを判別することができる。幾つかの例によれば、情報処理システム130からコマンド信号(図示せず)を照明光学系126に送信することで、試料150に対し所望の個所に所望の角度姿勢にて入射照明ビーム124が到来するよう、所望の照明ビームエネルギを選定して照明ビーム124を方向転換及び再整形することができる。他の幾つかの例によれば、情報処理システム130からコマンド信号137をウェハ位置決めシステム140に送信することで、試料150に対し所望の個所に所望の角度姿勢にて入射照明ビーム124が到来するよう、試料150の位置及び向きを定めることができる。他の幾つかの例によれば、情報処理システム130からコマンド信号135及び136をLPP光源110に送信することで、試料150に対し所望の個所に所望の角度姿勢にて入射照明ビーム124が到来するよう、所望の照明波長を選定して照明ビーム124を方向転換及び再整形することができる。
幾つかの実施形態では、望ましいことに、計測が幾つかの姿勢で実行される。これにより、多様な大角面外姿勢が含まれるよう、分析に利用可能なデータセットの個数及び多様性が拡張されるため、パラメタ計測結果の精度及び正確度が高まりパラメタ間相関が低減される。より深くより多様なデータセットで以て試料パラメタを計測することでも、パラメタ間相関が低減され計測正確度が改善される。例えば垂直姿勢では、X線スキャタロメトリは、フィーチャの限界寸法を解明することができるが、フィーチャのサイドウォール角及び高さに対しては概ね不感となる。これに対し、広範な面外角度位置に亘り計測データを収集すれば、フィーチャのサイドウォール各及び高さを解明することができる。
図1に描かれている計量ツール100は試料位置決めシステム140を有しており、試料150を整列させるよう、且つスキャタロメータ(散乱計)を基準にして広範な面外角度姿勢に亘り試料150の姿勢を定めるよう、それが構成されている。言い換えれば、試料150の表面に対し面内整列している1本又は複数本の回動軸周りである広角域に亘り試料150を回動させるよう、試料位置決めシステム140が構成されている。幾つかの実施形態では、試料150の表面に対し面内整列している1本又は複数本の回動軸を巡り少なくとも90°の範囲内で試料150を回動させるよう、試料位置決めシステム140が構成される。幾つかの実施形態では、試料150の表面に対し面内整列している1本又は複数本の回動軸を巡り少なくとも60°の範囲内で試料150を回動させるよう、試料位置決めシステム140が構成される。他の幾つかの実施形態では、試料150の表面に対し面内整列している1本又は複数本の回動軸を巡り少なくとも1°の範囲内で試料150を回動させるよう、試料位置決めシステム140が構成される。こうすることで、試料150の角度分解計測結果が計量システム100により試料150の表面上の何個所かに亘り収集される。一例に係る情報処理システム130は、コマンド信号137を試料位置決めシステム140のモーションコントローラ145へと送信することで、試料150の所望ポジションを指示する。これに応じ、モーションコントローラ145が試料位置決めシステム140の諸アクチュエータに対するコマンド信号を生成し、それにより試料150の所望ポジション化が達成される。
非限定的な例によれば、図1に描かれているように、試料位置決めシステム140に備わるエッジグリップチャック141により、試料150を試料位置決めシステム140に固定装着することができる。然るべく構成された回動アクチュエータ142により、エッジグリップチャック141及び装着済試料150をペリメータフレーム143に対し回動させることができる。図示実施形態における回動アクチュエータ142は、図1に描かれている座標系146のX軸周りで試料150を回動させるように構成されている。図1に示すように、試料150のZ軸周り回動は試料150の面内回動である。X軸及びY軸(図示せず)周り回動は試料150の面外回動であり、計量システム100の計量素子に対しその試料の表面を効果的に傾斜させるものである。描かれてはいないが、然るべく構成された第2の回動アクチュエータにより試料150をY軸周り回動させることができる。然るべく構成されたリニアアクチュエータ144により、リメータフレーム143をX方向並進させることができる。然るべく構成されたもう1個のリニアアクチュエータ(図示せず)により、ペリメータフレーム143をY方向並進させることができる。こうすることで、試料150の表面上の各箇所が、ある範囲の面外角度位置に亘り計測に利用可能となる。例えばある実施形態では、試料150のうちのある個所が、試料150の垂直姿勢に対し-45°~+45°の範囲内で数回の角度インクリメントに亘り計測される。
一般に、試料位置決めシステム140には、これに限られるものではないがゴニオメータステージ、ヘキサポッドステージ、角度ステージ及びリニアステージを初め、所望の直線及び角度位置決め性能を達成するのに適した、何れの組合せの機械要素を組み込むのがよい。
他の更なる態様では、LPP光源110に備わるガス再循環システム120により、キセノンターゲット発生器(例.ドロップレット発生器111)に戻るよう気化キセノンが再循環される。図1に示すガス再循環システム120は、キセノンガス(例.気化キセノン)の流れ119をプラズマチャンバ153から受け入れている。キセノンバッファガス123と気化キセノン(即ちプラズマ115による加熱後のそれ)は区別できないので、流れ119には、バッファガスとして採用されているキセノンと、プラズマ115により気化されたキセノンとが含まれている。ガス再循環システム120はそのキセノンガスを回収し、回収したキセノン121をドロップレット発生器111に運んで再使用させる。加えて、ガス再循環システム120はそのキセノンガスを回収し、回収したキセノン122を運んでプラズマチャンバ123内に戻す。
図1に示したシステム100は、ドロップレット上に集束しプラズマ115を発生させる2個のレーザを有している。しかしながら、システム100が1個のレーザを有するのでも2個超のレーザを有するのでもよい。各レーザを別様に構成しても同様に構成してもよい。例えば、それらレーザを、相異なる特性を有する光を生成するよう構成してもよいし、その光をドロップレットに同時に差し向けても別の時点で差し向けてもよい。また例えば、それらレーザを、光をドロップレットに同方向から差し向けるよう構成しても別方向から差し向けるよう構成してもよい。励起光をターゲットに差し向ける技術が、参照によりその全容を本願に繰り入れた上掲の特許文献2に記載されている。
図1には、一実施形態に従い透過型小角X線スキャタロメトリ(SAXS)システムが示されている。しかしながら、他のX線依拠計量システムであり本願記載のLPP光源を採用するものも、本件特許出願の技術的範囲内であると考えてよい。幾つかの例では、コヒーレントディフラクティブイメージング(CDI)依拠計量システムに本願記載のLPP光源が組み込まれる。他の諸例では、LPP光源がイメージング型X線計量システムの一部として採用されよう。
幾つかの実施形態では、集光光がイメージング対物系により検出器へと差し向けられる。幾つかの実施形態では、光源110により生成された照明光が照明光学系126により対物系へと送られる。実施形態によっては、それら照明光学系126及びイメージング対物系が概ね同じ諸素子により構成され、実質的に同じものとされることがある。他の幾つかの実施形態では、光源110により生成された照明光が照明光学系126により試料150へと直に、即ち最初にイメージング対物系の諸素子を介し差し向けられることなく送られる。その照明光が試料150上に入射するのに応じ、試料150からの光がイメージング対物系により集光され、拡大され、検出器へと差し向けられる。
幾つかの実施形態では、適切な視野を伴う設計のイメージング対物系が採用される。その対物系内の光路においては、好ましくは、反射面との相互作用を最低限回数とすることで、個々の相互作用に係る吸収損失を最小化すべきである。4ミラー4パス設計を用いた全部材反射型対物系設計例がKLA-Tencor Technologies Corp.名義の2008年4月1日付特許文献5に記載されているので、参照によりその全容を本願に繰り入れることにする。加えて、4ミラー6パス設計を用いた全部材反射型対物系設計例が特許文献6に記載されているので、参照によりその全容を本願に繰り入れることにする。
照明方向は、計量システム例えば計量システム100によりウェハ上の構造がどのように分解されるかに影響してくる。幾つかの実施形態によれば、上掲の光学的構成にて、反射素子のうち1個又は複数個に不均一光学特性を持たせ、それらを照明目的で個別的に最適化することができる。例えば被覆を最適化することで、その照明路における露出エネルギの高さによる被覆耐久性を高めることができる。
図3には、少なくとも1個の独創的態様に従い、X線依拠計量向け硬X線照明光を生成するのに適した方法200が描かれている。ご認識頂けるように、方法200の諸データ処理要素は、予めプログラミングされプログラム命令134の一部として格納されているアルゴリズムを、情報処理システム130に備わる1個又は複数個のプロセッサにより実行することで、実行すればよい。以下の記述は図1に示したシステム100の文脈に沿い提示されているが、本願での認識によれば、システム100の具体的構造態様は制限を表すものではなく、専ら例証として解されるべきである。
ブロック201ではプラズマチャンバ内でキセノンガス流が準備される。
ブロック202では、固体又は液体のキセノンターゲット列が、そのプラズマチャンバ内でターゲット個所に供給される。
ブロック203では、そのプラズマチャンバ内のそのターゲット個所へと向かう励起光パルス列が生成される。その励起光パルス列を構成する個々のパルスは、2p秒未満の持続時間を有するものである。その励起光パルス列を構成するパルスが、キセノンターゲット列のうち対応するキセノンターゲットと相互作用することで、そのキセノンターゲットがイオン化してプラズマが形成され、そのプラズマにより約25000eV~約30000eV域内のエネルギを有するX線照明光塊が放射される。もたらされるX線照明光を用い計測下半導体試料を照明することができる。
ブロック204では、そのX線照明光に応じその試料から散乱されてくるX線輻射塊が検出される。
ブロック205では、検出されたX線輻射塊に基づき、その計測下試料の少なくとも1個の注目パラメタの値が決定される。
ご認識頂くべきことに、本件開示の随所に記載されている様々なステップを、単一のコンピュータシステム130により実行してもよいし、それに代え複数個のコンピュータシステム130により実行してもよい。更に、本システム100に備わる様々なサブシステム、例えば試料位置決めシステム140、ガス再循環システム120、ドロップレット発生器111、レーザ113及び検出器127に、本願記載の諸ステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを組み込んでもよい。従って、上掲の記述は本発明に対する限定としてではなく単なる例証として解されるべきである。更に、1個又は複数個の情報処理システム130を、本願記載の方法実施形態のうち何れかを構成する他の何れかのステップ(群)を実行するように構成してもよい。
加えて、コンピュータシステム130を、試料位置決めシステム140、ガス再循環システム120、ドロップレット発生器111、レーザ113及び検出器127に対し、本件技術分野で既知な何れの要領で可通信結合させてもよい。例えば、1個又は複数個の情報処理システム130を情報処理システム群に結合させ、それらをそれぞれ試料位置決めシステム140、ガス再循環システム120、ドロップレット発生器111、レーザ113及び検出器127に連携させてもよい。また例えば、試料位置決めシステム140、ガス再循環システム120、ドロップレット発生器111、レーザ113及び検出器127のうち何れかを、コンピュータシステム130に結合された単一のコンピュータシステムにより直に制御してもよい。
本システム100のコンピュータシステム130を、伝送媒体例えば有線及び/又は無線区間を有するそれにより同システムの諸サブシステム(例.試料位置決めシステム140、ガス再循環システム120、ドロップレット発生器111、レーザ113、検出器127等)からデータ又は情報を受領及び/又は獲得するよう、構成してもよい。そうすることで、その伝送媒体を、コンピュータシステム130と本システム100の他サブシステムとの間のデータリンクとして働かせればよい。
本システム100のコンピュータシステム130を、伝送媒体例えば有線及び/又は無線区間を有するそれにより他システムからデータ又は情報(例.モデル化入力、モデル化出力等々)を受領及び/又は獲得するよう、構成してもよい。そうすることで、その伝送媒体を、コンピュータシステム130と他システム(例.システム100のオンボードメモリ、外部メモリ又は外部システム)との間のデータリンクとして働かせればよい。例えば、情報処理システム130を、格納媒体(即ちメモリ132)からデータリンクを介し計測データ(例.信号151)を受け取るよう構成してもよい。例えば、検出器127を用い取得された計測結果を恒久的又は半恒久的記憶デバイス(例.メモリ132)内に格納してもよい。この構成では、計測結果をオンボードメモリから或いは外部メモリシステムからインポートすることができる。更に、コンピュータシステム130が伝送媒体を介し他システムにデータを送るようにしてもよい。例えば、コンピュータシステム130により決定されたパラメタ値152を恒久的又は半恒久的記憶デバイス(例.メモリ190)内に格納してもよい。この構成では、計測結果を他システムへとエキスポートすることができる。
情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、並列プロセッサその他、本件技術分野で既知なあらゆる装置が包含されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包括されるよう、広義に定義されうる。
方法例えば本願記載のそれらを実現するプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送させてもよい。例えば、図1に描かれているように、メモリ132内に格納されているプログラム命令を、プロセッサ131へとバス133経由で伝送させる。プログラム命令134をコンピュータ可読媒体(例.メモリ132)内に格納させる。コンピュータ可読媒体の例としてはリードオンリメモリ、ランダムアクセスメモリ、磁気ディスク、光ディスク又は磁気テープがある。
本願記載の計量技術を用いることで、半導体構造の特性を判別することができる。構造の例としては、これに限られるものではないが、FinFET、小寸法構造例えばナノワイヤ又はグラフェン、サブ10nm構造、薄膜、リソグラフィック構造、スルーシリコンビア(TSV)、メモリ構造例えばDRAM、DRAM 4F2、FLASH及び高アスペクト比メモリ構造例えば3D-NAND構造がある。構造特性の例としては、これに限られるものではないが、幾何パラメタ例えばラインエッジ粗さ、ライン幅粗さ、ポア(孔)サイズ、ポア密度、サイドウォール角、プロファイル、膜厚、限界寸法、ピッチと、素材パラメタ例えば電子密度、結晶粒構造、モルフォロジ、配向、応力、歪、元素種別及び素材組成とがある。
実施形態によっては、本願記載の諸技術が製造プロセスツールの一部として実施されうる。製造プロセスツールの例としては、これに限られるものではないが、リソグラフィ露出ツール、膜堆積ツール、インプラントツール及びエッチングツールがある。この形態では、温度計測の結果を用い製造プロセスを制御することができる。
本願には、それを用い試料を処理しうる半導体処理システム(例.検査システム、計量システム又はリソグラフィシステム)に関し、様々な実施形態が記載されている。本願にて用いられている語「試料」は、ウェハ、レティクルその他、何らかの標本であり、本件技術分野で既知な手段で処理(例.印刷又は欠陥検査)されうるものを指している。
本願にて用いられている語「試料」は総じてウェハを指している。とはいえ、ご理解頂けるように、本願記載の諸方法及びシステムを用い、本件技術分野で既知な他の何れの試料の照明を行ってもよい。
本願にて用いられている語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板を指している。その例としては、これに限られるものではないが単結晶シリコン、砒化ガリウム及び燐化インジウムがある。そうした基板は、半導体製造設備にて広く見い出され、及び/又は処理されよう。場合によっては、ウェハが基板のみで構成されることもある(即ちベアウェハ)。或いは、ウェハが、基板上に形成された1個又は複数個の異種素材層を有していることもある。ウェハ上に形成された1個又は複数個の層が「パターン化」されていることも「無パターン」なこともある。例えば、ウェハ内に複数個のダイがありそれらが可反復パターンフィーチャを有していることがある。
「レティクル」は、レティクル製造プロセスのうち何れかの段階にあるレティクルでもレティクルの完成品でもよいし、半導体製造設備での使用向けにリリースされていてもいなくてもよい。レティクル或いは「マスク」は、概して、実質的に不透明な領域がその上に形成されパターン状に構成されている、実質的に透明な基板として定義される。その基板は、例えば、ガラス素材例えば石英を含有するものとされよう。レティクルをレジスト被覆ウェハの上方に配し、リソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストへと転写させることができる。
ウェハ上に形成された1個又は複数個の層がパターン化されていても無パターンであってもよい。例えば、ウェハ内に複数個のダイがあり、そのそれぞれが可反復パターンフィーチャを有するのでもよい。そうした諸素材層の形成及び処理により、やがてはデバイス完成品がもたらされよう。多種多様なデバイスがウェハ上に形成されうるところ、本願にて用いられている語ウェハには、本件技術分野で既知な何れかの種類のデバイスがその上に作成されるウェハを包括する意図がある。
1個又は複数個の例示的実施形態では、記載されている諸機能が、ハードウェア、ソフトウェア、ファームウェア又はそれらの何らかの組合せなる態で実施されよう。ソフトウェアでの実施時には、それら機能が1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納され又はその上で伝送されよう。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体の両者があり、ある場所から別の場所へのコンピュータプログラムの輸送を容易化する媒体全てがこれに包含される。格納媒体は、汎用又は専用コンピュータによりアクセスでき入手可能な何れの媒体であってもよい。限定ではなく一例としては、そうしたコンピュータ可読媒体を、RAM、ROM、EEPROM、CD-ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置で、或いは所望プログラムコード手段を命令又はデータ構造なる形態にて搬送又は格納するのに用いることができ且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサによりアクセスできるあらゆる他媒体で、構成することができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、ソフトウェアがウェブサイト、サーバその他のリモートソースから同軸ケーブル、光ファイバケーブル、撚り線対、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数及びマイクロ波を用い送信される場合、それら同軸ケーブル、光ファイバケーブル、撚り線対、DSL又は無線テクノロジ例えば赤外線、無線周波数及びマイクロ波は媒体の定義内に収まる。本願中の用語ディスク(disk/disc)には、コンパクトディスク(CD)、レーザディスク、光ディスク、ディジタルバーサタイルディスク(DVD;登録商標)、フロッピーディスク及びブルーレイディスク(登録商標)を初め、データが通常は磁気的に再生されるディスク(disk)及びレーザで以てデータが光学的に再生されるディスク(disc)が包含される。上掲のものの組合せも、コンピュータ可読媒体の範囲内とされるべきである。
ある種の具体的諸実施形態について教示目的で上述したが、本件特許出願の教示には一般的な適用可能性があり、上述の具体的諸実施形態には限定されない。従って、記載されている諸実施形態に備わる様々な特徴についての様々な修正、適合化及び組合せを、特許請求の範囲にて説明されている発明の技術的範囲からの離隔なしで実施することができる。

Claims (15)

  1. レーザ生成プラズマ光源であって、
    プラズマチャンバ内にキセノンガス流があり、キセノンガスの圧力が5Torr~200Torr域内であるプラズマチャンバと、
    固体又は液体のキセノンターゲット列をそのプラズマチャンバ内のターゲット個所に供給するキセノンターゲット発生器と、
    前記プラズマチャンバ内の前記ターゲット個所へと向かう励起光パルス列を生成する1個又は複数個のパルスレーザ光源と、
    前記プラズマチャンバからキセノンガス塊を回収し、回収されたキセノンガスの第1塊を前記キセノンターゲット発生器に供給し、回収されたキセノンガスの第2塊を前記プラズマチャンバに供給するよう構成された、ガス再循環システムと、
    を備え、その励起光パルス列を構成するパルスそれぞれが2p秒未満の持続時間を有し、その励起光パルス列を構成するパルスと前記キセノンターゲット列のうち対応するキセノンターゲットとの相互作用によりそのキセノンターゲットがイオン化してプラズマが形成され、そのプラズマにより放射されるX線照明光塊が25000eV~30000eV域内のエネルギを有し、そのX線照明光を用い計測下半導体試料を照明しうるレーザ生成プラズマ光源。
  2. 請求項1に記載のレーザ生成プラズマ光源であって、前記キセノンターゲット発生器が、
    固体又は液体のキセノンドロップレット列を前記プラズマチャンバ内に吐出するドロップレット発生器を備えるレーザ生成プラズマ光源。
  3. 請求項1に記載のレーザ生成プラズマ光源であって、前記キセノンターゲット発生器が、
    前記プラズマチャンバ内に配置された極低温冷却ドラムを備え、その極低温冷却ドラムがキセノン素材固体層を有し、その層が極低温冷却ドラムの外向き面上、各励起光パルスの焦点に配置されているレーザ生成プラズマ光源。
  4. 請求項1に記載のレーザ生成プラズマ光源であって、前記1個又は複数個のパルスレーザ光源に、励起光パルスを同時に放射する少なくとも2個のパルスレーザ光源が含まれるレーザ生成プラズマ光源。
  5. 請求項1に記載のレーザ生成プラズマ光源であって、前記1個又は複数個のパルスレーザ光源に、励起光パルスを順次放射する少なくとも2個のパルスレーザ光源が含まれるレーザ生成プラズマ光源。
  6. 請求項1に記載のレーザ生成プラズマ光源であって、前記回収されたキセノンガスの第1塊に、気化したキセノンターゲット素材塊が含まれるレーザ生成プラズマ光源。
  7. 請求項1に記載のレーザ生成プラズマ光源であって、前記プラズマチャンバの窓から前記プラズマまでの距離が少なくとも10cmあるレーザ生成プラズマ光源。
  8. 請求項1に記載のレーザ生成プラズマ光源であって、前記列をなすキセノンのドロップレットそれぞれが直径50μm未満であるレーザ生成プラズマ光源。
  9. 請求項1に記載のレーザ生成プラズマ光源であって、前記プラズマの輝度が1014光子/(秒・mm・mrad)超であるレーザ生成プラズマ光源。
  10. 請求項1に記載のレーザ生成プラズマ光源であって、更に、
    前記プラズマにより放射された照明光塊を集めその照明光塊を前記プラズマチャンバのX線窓内に差し向ける集光器を備えるレーザ生成プラズマ光源。
  11. 計量システムであって、
    レーザ生成プラズマ光源であり、
    プラズマチャンバ内にキセノンガス流があるプラズマチャンバ、
    固体又は液体のキセノンターゲット列をそのプラズマチャンバ内のターゲット個所に供給するキセノンターゲット発生器、
    前記プラズマチャンバ内の前記ターゲット個所へと向かう励起光パルス列を生成する1個又は複数個のパルスレーザ光源であり、その励起光パルス列を構成するパルスそれぞれが2p秒未満の持続時間を有し、その励起光パルス列を構成するパルスと前記キセノンターゲット列のうち対応するキセノンターゲットとの相互作用によりそのキセノンターゲットがイオン化してプラズマが形成され、そのプラズマにより放射されるX線照明光塊が25000eV~30000eV域内のエネルギを有する、1個又は複数個のパルスレーザ光源、
    前記プラズマチャンバからキセノンガス塊を回収し、回収されたキセノンガスの第1塊を前記キセノンターゲット発生器に供給し、回収されたキセノンガスの第2塊を前記プラズマチャンバに供給するよう構成された、ガス再循環システム
    並びに
    そのプラズマにより放射されたX線照明光を集めそのX線照明光を計測下試料に差し向ける集光器、
    を備えるレーザ生成プラズマ光源と、
    前記試料上に前記X線照明光が入射するのに応じその試料から散乱されてくるX線輻射塊を検出するX線検出器と、
    検出されたX線輻射塊に基づきその試料のモデルに係る注目パラメタの値を決定するよう構成された情報処理システムと、
    を備える計量システム。
  12. 請求項11に記載の計量システムであって、何らかの透過型小角X線スキャタロメトリシステムとして構成されている計量システム。
  13. 請求項11に記載の計量システムであって、前記プラズマチャンバの窓から前記プラズマまでの距離が少なくとも10cmある計量システム。
  14. 請求項11に記載の計量システムであって、前記プラズマチャンバ内におけるキセノンガスの圧力が5Torr~200Torr域内である計量システム。
  15. プラズマチャンバ内にキセノンガス流を準備するステップと、
    固体又は液体のキセノンターゲット列をそのプラズマチャンバ内のターゲット個所に供給するステップと、
    そのプラズマチャンバ内の前記ターゲット個所へと向かう励起光パルス列でありその励起光パルス列を構成するパルスそれぞれが2p秒未満の持続時間を有するものを生成し、その励起光パルス列を構成するパルスと前記キセノンターゲット列のうち対応するキセノンターゲットとの相互作用によりそのキセノンターゲットをイオン化させてプラズマを形成し、そのプラズマが放射するX線照明光塊であり25000eV~30000eV域内のエネルギを有するものを用いて計測下半導体試料を照明するステップと、
    そのX線照明光に応じその試料から散乱されてくるX線輻射塊を検出するステップと、
    検出されたX線輻射塊に基づきその計測下試料の少なくとも1個の注目パラメタの値を決定するステップと、
    を有し、更に、
    前記プラズマチャンバからキセノンガス塊を回収するステップと、
    回収されたキセノンガスの第1塊を、前記キセノンターゲット列を供給するキセノンターゲット発生器に供給し、回収されたキセノンガスの第2塊を前記プラズマチャンバに供給するステップと、
    を有する方法。
JP2020512353A 2017-08-30 2018-08-29 X線依拠計量用高輝度クリーンx線源 Active JP7284746B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762551785P 2017-08-30 2017-08-30
US62/551,785 2017-08-30
US16/112,762 US11317500B2 (en) 2017-08-30 2018-08-26 Bright and clean x-ray source for x-ray based metrology
US16/112,762 2018-08-26
PCT/US2018/048530 WO2019046417A1 (en) 2017-08-30 2018-08-29 X-RAY SOURCE LUMINOUS AND CLEAN FOR X-RAY METROLOGY

Publications (2)

Publication Number Publication Date
JP2020535391A JP2020535391A (ja) 2020-12-03
JP7284746B2 true JP7284746B2 (ja) 2023-05-31

Family

ID=65435884

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020512353A Active JP7284746B2 (ja) 2017-08-30 2018-08-29 X線依拠計量用高輝度クリーンx線源

Country Status (7)

Country Link
US (1) US11317500B2 (ja)
EP (1) EP3653024A4 (ja)
JP (1) JP7284746B2 (ja)
KR (1) KR102606553B1 (ja)
CN (1) CN111052872B (ja)
TW (1) TWI788406B (ja)
WO (1) WO2019046417A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
EP3923078A1 (en) * 2020-06-10 2021-12-15 ASML Netherlands B.V. Heigth measurement method and height measurement system
WO2022169631A2 (en) * 2021-01-25 2022-08-11 Bnnt, Llc Xenon-enhanced, ammonia borane filled boron nitride nanotube fusion targets
CN113376190B (zh) * 2021-06-03 2022-12-02 中国科学院高能物理研究所 光阑及光学装置
WO2024050413A1 (en) * 2022-08-30 2024-03-07 Research Instruments Corporation Picosecond laser-driven plasma x-ray source

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004103773A (ja) 2002-09-09 2004-04-02 Nikon Corp X線発生装置、x線露光装置及びx線フィルター
JP2006032322A (ja) 2004-06-11 2006-02-02 Xtreme Technologies Gmbh レーザにより誘発されるプラズマを用いたeuv放射線の時間的に安定な生成のための装置
JP2008532232A (ja) 2005-02-25 2008-08-14 サイマー インコーポレイテッド レーザ生成プラズマeuv光源
US20100032590A1 (en) 2008-08-06 2010-02-11 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
US20160268120A1 (en) 2015-03-11 2016-09-15 Kla-Tencor Corporation Continuous-Wave Laser-Sustained Plasma Illumination Source
JP2016531316A (ja) 2013-07-22 2016-10-06 ケーエルエー−テンカー コーポレイション 極紫外光の発生のためのシステムおよび方法

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930331A (en) * 1989-03-22 1999-07-27 Rentzepis; Peter M. Compact high-intensity pulsed x-ray source, particularly for lithography
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5606588A (en) * 1995-07-28 1997-02-25 The Regents Of The University Of Michigan Method and apparatus for generating laser plasma x-rays
JPH09320794A (ja) * 1996-05-27 1997-12-12 Nikon Corp X線発生装置
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US6711233B2 (en) * 2000-07-28 2004-03-23 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
SE520087C2 (sv) * 2000-10-13 2003-05-20 Jettec Ab Förfarande och anordning för alstring av röntgen- eller EUV- strålning samt användning av den
US6760406B2 (en) * 2000-10-13 2004-07-06 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7491954B2 (en) 2006-10-13 2009-02-17 Cymer, Inc. Drive laser delivery systems for EUV light source
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP3728495B2 (ja) 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 多層膜マスク欠陥検査方法及び装置
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321604B2 (en) * 2004-01-07 2008-01-22 The Regents Of The University Of Michigan Ultra-short wavelength x-ray system
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7351980B2 (en) 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
DE102006017904B4 (de) 2006-04-13 2008-07-03 Xtreme Technologies Gmbh Anordnung zur Erzeugung von extrem ultravioletter Strahlung aus einem energiestrahlerzeugten Plasma mit hoher Konversionseffizienz und minimaler Kontamination
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
JP5388018B2 (ja) 2007-05-07 2014-01-15 独立行政法人日本原子力研究開発機構 レーザー駆動の小型・高コントラスト・コヒーレントx線発生装置及びその発生方法
US7917241B2 (en) 2007-08-01 2011-03-29 Tel Epion Inc. Method and system for increasing throughput during location specific processing of a plurality of substrates
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
DE102008049589A1 (de) 2008-09-30 2010-04-08 Carl Zeiss Smt Ag Optische Abbildungseinrichtung und Abbildungsverfahren für die Mikroskopie
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5580032B2 (ja) 2008-12-26 2014-08-27 ギガフォトン株式会社 極端紫外光光源装置
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
WO2010148293A2 (en) 2009-06-19 2010-12-23 Kla-Tencor Corporation Euv high throughput inspection system for defect detection on patterned euv masks, mask blanks, and wafers
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8368039B2 (en) 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US20120050706A1 (en) * 2010-08-30 2012-03-01 Media Lario S.R.L Source-collector module with GIC mirror and xenon ice EUV LPP target system
US8633459B2 (en) 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
WO2013190944A1 (ja) 2012-06-22 2013-12-27 ギガフォトン株式会社 極端紫外光生成システム
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9693439B1 (en) 2013-06-20 2017-06-27 Kla-Tencor Corporation High brightness liquid droplet X-ray source for semiconductor metrology
US9570265B1 (en) 2013-12-05 2017-02-14 Sigray, Inc. X-ray fluorescence system with high flux and high flux density
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US20150285749A1 (en) 2014-04-03 2015-10-08 Massachusetts Institute Of Technology Compact X-Ray Source for CD-SAXS
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9506871B1 (en) 2014-05-25 2016-11-29 Kla-Tencor Corporation Pulsed laser induced plasma light source
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
WO2017025392A1 (en) * 2015-08-12 2017-02-16 Asml Netherlands B.V. Metrology methods, radiation source, metrology apparatus and device manufacturing method
NL2017510A (en) 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
US10880979B2 (en) 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
WO2016131069A2 (en) * 2015-12-11 2016-08-18 Johnson Kenneth Carlisle Euv light source with spectral purity filter and power recycling
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
EP3452875A4 (en) * 2016-05-03 2019-11-20 Matrix Industries, Inc. THERMOELECTRIC DEVICES AND SYSTEMS
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004103773A (ja) 2002-09-09 2004-04-02 Nikon Corp X線発生装置、x線露光装置及びx線フィルター
JP2006032322A (ja) 2004-06-11 2006-02-02 Xtreme Technologies Gmbh レーザにより誘発されるプラズマを用いたeuv放射線の時間的に安定な生成のための装置
JP2008532232A (ja) 2005-02-25 2008-08-14 サイマー インコーポレイテッド レーザ生成プラズマeuv光源
US20100032590A1 (en) 2008-08-06 2010-02-11 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
JP2016531316A (ja) 2013-07-22 2016-10-06 ケーエルエー−テンカー コーポレイション 極紫外光の発生のためのシステムおよび方法
US20160268120A1 (en) 2015-03-11 2016-09-15 Kla-Tencor Corporation Continuous-Wave Laser-Sustained Plasma Illumination Source

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Daniel Papp, Robert Polanek, Zsolt Lecz, Luca Volpe, Alvaro Peralta Conde, and Alexander A. Andreev,A Proposed 100-kHz fs Laser Plasma Hard X-Ray Source at the ELI-ALPS Facility,IEEE TRANSACTIONS ON PLASMA SCIENCE,米国,IEEE,2016年10月,44(10),2382-2392

Also Published As

Publication number Publication date
TWI788406B (zh) 2023-01-01
KR20200037419A (ko) 2020-04-08
WO2019046417A1 (en) 2019-03-07
CN111052872A (zh) 2020-04-21
KR102606553B1 (ko) 2023-11-24
EP3653024A1 (en) 2020-05-20
TW201921816A (zh) 2019-06-01
US20190069385A1 (en) 2019-02-28
JP2020535391A (ja) 2020-12-03
CN111052872B (zh) 2023-09-26
EP3653024A4 (en) 2021-07-14
US11317500B2 (en) 2022-04-26

Similar Documents

Publication Publication Date Title
JP7482193B2 (ja) 広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法
JP7284746B2 (ja) X線依拠計量用高輝度クリーンx線源
US10748736B2 (en) Liquid metal rotating anode X-ray source for semiconductor metrology
JP6821700B2 (ja) 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
US11719652B2 (en) Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
NL2017692A (en) Euv source chamber and gas flow regime for lithographic apparatus, multi-layer mirror and lithographic apparatus
US11259394B2 (en) Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) Laser produced plasma illuminator with low atomic number cryogenic target
US10012606B1 (en) X-ray based metrology with primary and secondary illumination sources
CN115136274B (zh) 基于具有电子发射器阵列的x射线源的半导体计量及检验

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220928

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20221213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230411

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20230411

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230418

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20230425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230516

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230519

R150 Certificate of patent or registration of utility model

Ref document number: 7284746

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150