TW201921816A - 用於基於x射線之計量之明亮且乾淨之x射線源 - Google Patents

用於基於x射線之計量之明亮且乾淨之x射線源

Info

Publication number
TW201921816A
TW201921816A TW107130359A TW107130359A TW201921816A TW 201921816 A TW201921816 A TW 201921816A TW 107130359 A TW107130359 A TW 107130359A TW 107130359 A TW107130359 A TW 107130359A TW 201921816 A TW201921816 A TW 201921816A
Authority
TW
Taiwan
Prior art keywords
xenon
plasma
laser
sequence
plasma chamber
Prior art date
Application number
TW107130359A
Other languages
English (en)
Other versions
TWI788406B (zh
Inventor
歐雷格 可哈達金
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201921816A publication Critical patent/TW201921816A/zh
Application granted granted Critical
Publication of TWI788406B publication Critical patent/TWI788406B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Plasma Technology (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

本文中闡述用於利用一乾淨、硬性X射線照射源之基於X射線之半導體計量的方法及系統。更具體而言,一雷射產生之電漿光源產生具有在25,000電子伏特至30,000電子伏特之一範圍中之能量之高亮度、硬性X射線照射。為達成高亮度,一高度聚焦、極短持續時間雷射束聚焦在呈一液態或固態之一緻密氙靶標上。該聚焦之雷射脈衝與該高密度氙靶標之交互作用點燃一電漿。來自該電漿之輻射由收聚鏡收集且被引導至一被量測樣品。由於使用一非金屬靶標材料,因此該所得電漿發射係相對乾淨的。電漿室填充有氙氣以進一步保護光學元件免受污染。在某些實施例中,自該電漿室所蒸發之氙再循環回至氙靶標產生器。

Description

用於基於X射線之計量之明亮且乾淨之X射線源
所闡述實施例係關於用於X射線計量及檢驗之X射線雷射產生之電漿輻射源及系統。
諸如邏輯及記憶體裝置之半導體裝置通常藉由應用於一基板或晶圓之一序列處理步驟而製作。半導體裝置之各種特徵及多個結構層級藉由此等處理步驟形成。舉例而言,其他處理步驟中之微影係一種半導體製作製程,該製程涉及在一半導體晶圓上產生一圖案。半導體製作製程之額外實例包含,但不限於化學機械拋光、蝕刻、沈積及離子植入。可在一單個半導體晶圓上製作多個半導體裝置且然後將其分離成個別半導體裝置。
在一半導體製造製程期間,在各個步驟處使用計量製程來偵測晶圓上之缺陷以促成較高良率。通常使用若干個基於計量之技術(包含散射量測及反射量測實施方案及相關聯之分析演算法)來表徵臨界尺寸、疊對、膜厚、組合物及奈米尺度結構之其他參數。
傳統上,對由薄膜及/或重複週期性結構組成之靶標執行量測。在裝置製作期間,此等膜及週期性結構通常表示實際裝置幾何結構及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)朝較小奈米尺度尺寸進展,表徵變得更困難。併入有複雜三維幾何結構及具有迥異物理性質之材料的裝置加劇表徵困難度。舉例而言,現代記憶體結構通常係高縱橫比三維結構,使得光學輻射難以穿透至底部層。利用紅外光至可見光之光學計量工具可穿透半透明材料之諸多層,但提供良好穿透深度之較長波長不提供對小異常現象之充足敏感度。此外,表徵複雜結構(例如,FinFET)所需之越來越多數目之參數還導致越來越多之參數相關。因此,通常無法可靠地將表徵靶標之參數與可用量測解耦。
在一項實例中,在現代半導體結構中越來越多地採用不透明高k材料。光學輻射通常不能穿透由此等材料構造之層。因此,藉助薄膜散射量測工具(諸如,橢圓偏光計或反射計)之量測變得越來越有挑戰性。
回應於此等挑戰,已開發較複雜光學計量工具。舉例而言,已開發具有多個照射角度、較短照射波長、較寬照射波長範圍及自經反射信號之較完整資訊獲取(例如,除較習用反射率或橢圓量測信號之外亦量測多個米勒(Mueller)矩陣元素)之工具。然而,此等方法尚未可靠地克服與諸多高階靶標(例如,複雜3D結構、小於10 nm之結構、採用不透明材料之結構)之量測及量測應用(例如,線邊緣粗糙度及線寬度粗糙度量測)相關聯之基本挑戰。
已應用小角度X射線散射量測(SAXS)來表徵材料及其他非半導體相關應用。數家公司已商業化例示性系統,包含Xenocs SAS (www.xenocs.com), Bruker Corporation (www.bruker.com)及 Rigaku Corporation (www.rigaku.com/en)。
此外,基於SAXS之計量系統還展示有希望應對挑戰性量測應用,諸如臨界尺寸計量及疊對計量。特定而言,透射小角度X射線散射量測(T-SAXS)已展示有希望挑戰臨界尺寸及疊對應用。然而,適用於基於T-SAXS計量的可靠硬性X射線照射源仍然在開發中。
在某些實例中,藉由經典X射線管(諸如旋轉陽極X射線管)來提供照射光,該X射線管採用一固態靶標材料之高能量電子束轟擊來產生X射線發射。不幸的是,歸因於陽極材料因高能量電子束之發熱及蒸發,所得照射係低亮度的。
在某些其他實例中,採用一液態金屬噴射陽極來減輕表面蒸發的問題。一種例示性液態金屬噴射X射線照射系統闡述於Zhuang及Fielden之美國第7,929,667號專利中,該專利之內容以全文引用之方式併入本文中。液態金屬噴射有效地再新陽極表面,從而持續地減少該表面處對X射線之吸收。然而,液態金屬陽極材料仍會蒸發且形成可限制X射線源壽命之一金屬蒸氣。在某些實例中,金屬蒸氣在真空X射線窗口上凝結,從而導致額外X射線吸收。在某些實例中,金屬蒸氣擴散至陰極區域中且污染陰極,從而減少陰極壽命及系統輸出。在某些實例中,金屬蒸氣擴散至電子束加速區域中,從而導致高-電壓崩潰。
在某些實例中,藉由一光源來提供照射光,該光源係藉由一連續波長雷射(例如,雷射維持電漿)泵激。在較雷射電漿低之一溫度處,在由一工作氣體圍繞之高壓力燈泡中產生雷射維持電漿。雖然藉助雷射維持電漿獲得實質輻射改良,但此等電漿之溫度通常受到此等燈內之光物理及動力學過程限制。此等電漿中之純原子及離子發射通常被拘限為長於200 nm之波長。可在雷射維持電漿中針對171 nm處之波長發射(例如,氙準分子發射)配置準分子發射,但此等源通常係窄頻帶、功率受限且輻射受限的。在171奈米處之準分子發射在低壓力(例如,3巴及以下)處最佳化,且171 nm發射之功率在高輻射所需之較高壓力處極大地減弱。因此,在一高壓力燈泡中之一單一氣體混合物僅能夠維持200 nm以上之波長涵蓋範圍,以便有充足輻射及平均功率來支援高輸送量、高解析度計量。在某些實例中,採用固態電極靶標,但低重複率、電極腐蝕及大電漿大小導致低亮度及短壽命,從而限制其用於基於X射線之半導體計量之有效性。
極紫外光(EUV)微影之領域中之開發努力聚焦於如下光源上:以高功率位準(例如,照射器之中間焦點處之210瓦特之平均功率)發射中心位於13奈米處之窄頻帶輻射(例如,+/-0.1nm)。已使用一雷射液滴電漿架構來開發用於EUV微影之光源。舉例而言,藉由CO2同調源泵激以大約100 kHz之脈衝重複頻率操作之氙、錫及鋰液滴靶標。所達成光係高功率的(例如,照射器之中間焦點處之210瓦特之平均功率係用於在13奈米處之微影工具之目標)。然而,所得輻射係相對低能量(92.6電子伏特)的,其嚴重限制計量應用中此等照射源之利用。
期望具有用於計量應用之所需輻射及平均功率之乾淨、硬性X射線照射源。
本文中闡述用於利用一乾淨、硬性X射線照射源之基於X射線之半導體計量的方法及系統。更具體而言,一雷射產生之電漿(LPP)光源產生具有25,000電子伏特至30,000電子伏特之一範圍中之能量之高亮度(亦即,大於1013 photon/(sec. mm2. mrad2 ))、硬性X射線照射。為達成此高亮度,該LPP光源將一高度聚焦、極短持續時間雷射束引導至呈一液態或固態之一緻密氙靶標。聚焦之雷射脈衝與高密度氙靶標之交互作用點燃一電漿。來自該電漿之輻射由收聚鏡收集且被引導至一被量測樣品。由於使用一非金屬靶標材料,因此所得電漿發射係相對乾淨的。因此,歸因於該電漿,電漿室及電漿室內之光學元件遭受極低水平之污染。
在一項態樣中,呈一液態或固態之氙靶標材料係由大小小於10微米之一雷射光斑以小於兩皮秒之一脈衝持續時間照射,使得在該靶標材料處之雷射峰值能量密度大於10^16W/cm^2。此達成自該氙靶標材料之高效K-alpha產生。該所得K-alpha產物在氙之窄諧振K-alpha線處產生電漿照射能量,其中心處於29,802電子伏特處。
在某些實施例中,一液滴產生器產生一序列液態或固態氙液滴,該等液態或固態氙液滴係由一或多個脈衝雷射照射源照射。在某些其他實施例中,該氙靶標材料係作為沈積在一低溫冷卻旋轉鼓之一固態氙層而提供至該雷射照射光之焦點。在此等實施例中,該低溫冷卻旋轉鼓連續旋轉以將固態氙靶標材料遞送至該雷射照射光之該焦點。
在某些實施例中,來自多個脈衝雷射源之光脈衝係同時地發射。在某些其他實施例中,來自多個脈衝雷射源之光脈衝係依序發射。在某些實施例中,來自該等脈衝雷射中之任一者的發射之波長係大約一微米或更小。在某些實施例中,每一脈衝雷射之總平均功率係大約一千瓦特或更小。
在一其他態樣中,一電漿室填充有氙氣,維持在5 Torr與200 Torr之間之一範圍中之一壓力處。將該氙氣維持在此壓力範圍內提供氙液滴形成之一適合環境。此外,維持在5 Torr與200 Torr之間之一範圍中之一壓力處的氙緩衝氣體熱化藉由該電漿產生之高能氙離子及電子,包含在大約10公分之一距離內藉由該電漿產生之大致上所有氙離子。
在另一其他態樣中,該LPP光源包含一氣體循環系統,其將來自該電漿室之所蒸發氙循環回至該氙靶標產生器。
前述內容係一發明內容且因此必須含有細節之簡化、概述及省略;因此,熟習此項技術者將瞭解,該發明內容僅係說明性的且不以任何方式係限制性的。在本文中所陳述之非限制性詳細說明中,本文中所闡述之如由專利申請範圍所單獨定義的裝置及/或製程之其他態樣、發明性特徵及優點將變得顯而易見。
現將詳細參考先前技術實例及本發明之某些實施例,在附圖中圖解說明本發明之實例。
本文中闡述用於利用一乾淨、硬性X射線照射源之基於X射線之半導體計量的方法及系統。更具體而言,一雷射產生之電漿(LPP)光源產生具有25,000電子伏特至30,000電子伏特之一範圍中之能量之高亮度(亦即,大於1013 photon/(sec. mm2. mrad2 )、硬性X射線照射。為達成此高亮度,LPP光源將一高度聚焦(例如,靶標上之小於10微米照射光斑)、極短持續時間(例如,小於2皮秒)雷射束引導至呈一液態或固態之一緻密氙靶標上。聚焦之雷射脈衝與高密度氙靶標之交互作用點燃一電漿。來自電漿之輻射由收聚鏡收集且被引導至一被量測樣品。由於使用一非金屬靶標材料,因此所得電漿發射係相對乾淨的。因此,歸因於電漿,電漿室及該電漿室內之光學元件遭受極低水平之污染。
圖1以至少一個新穎態樣繪示包含一雷射產生之電漿(LPP)光源110之一基於X射線之計量系統100。LPP光源110包含一電漿室153、液滴產生器111及脈衝雷射照射源113A及113B。電漿室153包含一或多個壁,其含有電漿室內緩衝氣體123之一流。液滴產生器111將一非金屬饋料112之一序列液滴施配至電漿室153中。
在一項態樣中,由液滴產生器111產生之氙液滴在電漿室153中係一固態或液態的。在另一態樣中,非金屬饋料112之每一液滴之直徑係小於50微米。在仍另一態樣中,入射於氙靶標上之雷射光斑之直徑係小於10微米。在某些實施例中,液滴產生器111係基於商業上可用之噴墨技術之一高頻率流體施配器。在一項實例中,液滴產生器111以50千赫與400千赫之間之一速率施配饋料112之一序列液滴。
在一其他態樣中,提供至靶標材料之每一激發光脈衝之持續時間係小於兩皮秒。在某些實施例中,每一激發光脈衝之持續時間係小於一皮秒。
如本文中所闡述,高能量、高峰值功率、皮秒雷射照射導致在靶標材料處之大於10^16W/cm^2之一雷射峰值能量密度。此達成自氙靶標材料之高效K-alpha產生(例如,大於10-5 )。所得K-alpha產物在氙之窄諧振K-alpha線處產生電漿照射能量,其中心位於29,802電子伏特處。
如圖1中所繪示,脈衝雷射113A及113B產生一序列激發光脈衝。每一激發光脈衝被引導至饋料112之一液滴。光束組合器147將脈衝雷射113A及113B之光輸出組合以遞送至電漿室153。在某些實施例中,光束組合器147提供光束調節以進一步最佳化在靶標材料處之雷射照射之聚焦(例如,光束擴張、準直等)。激發光由照射光學器件114以一極小光斑大小聚焦至液滴上。在某些實施例,激發光係以小於10微米之一光斑大小聚焦至液滴上。在一較佳實施例中,激發光係以小於5微米之一光斑大小聚焦至液滴上。具有小於1.3之一品質因子M2 之雷射光係藉助相對小數值孔徑(NA)聚焦至小於10微米之一光斑大小。因此,不需要複雜之高NA光學器件。
隨著激發光之光斑大小減小,所誘發之電漿之光斑大小減小。在一較佳實施例中,電漿115之光斑大小係小於10微米。
如圖1中所繪示,雷射照射光束透過照射窗口116引入至電漿室153。此外,一或多個額外光學元件(例如,金屬光學器件148及149)還將雷射照射光束引導至靶標,但不將由電漿115產生之X射線輻射背反射穿過照射窗口116。X射線輻射穿過照射窗口116之背反射可能造成影響系統操作之一安全風險且亦照射窗口116之材料降級。在一項實施例中,最終金屬光學元件149以一非法向入射組態、一非掠射入射組態或兩者來配置以避免X射線輻射之反向反射。
在某些實施例中,同時發射來自多個脈衝雷射源之光脈衝。在某些其他實施例中,依序發射來自多個脈衝雷射源之光脈衝。如圖1中所繪示,運算系統130將控制信號135A傳達至脈衝雷射113A且將控制信號135B傳達至脈衝雷射113B。在一項實例中,控制信號135A及135B致使脈衝雷射113A及113B各自同時發射一雷射光脈衝。在此實例中,經發射脈衝同時地經組合且遞送至靶標作為一經組合脈衝。藉由同時地遞送來自多個脈衝雷射之雷射光脈衝,有效地增加提供至靶標之照射功率。在另一實例中,控制信號135A及135B致使脈衝雷射113A及113B各自及時依序發射一雷射光脈衝,使得在任何既定時間僅發生一個脈衝發射,且由不同脈衝雷射產生之脈衝之間的間隔係恆定的。在此實例中,經發射脈衝依序遞送至靶標。藉由依序遞送來自多個脈衝雷射之雷射光脈衝,有效地增加提供至靶標之雷射照射之重複率。
如圖1中所繪示,LPP源110包含兩個脈衝雷射113A及113B。然而,一般而言,一LPP源110可包含任何數目之脈衝雷射照射源(例如,一個雷射或諸多雷射)。而且,當採用多個脈衝雷射源時,可依序、同時地或此兩者來遞送脈衝雷射源中之任一者之發射,而以一合意光學功率及重複率將照射光遞送至靶標。舉例而言,兩組兩個脈衝雷射可經控制使得第一組兩個脈衝雷射同時地發射光且第二組兩個脈衝雷射亦同時地發射光,但第一組及第二組依序發射光。一般而言,提供至靶標材料之照射之重複率係在自五千赫至五十千赫之一範圍中。
在某些實施例中,來自脈衝雷射113A及113B中之任一者之發射之波長大約係一微米或更小。一般而言,LPP 110之一脈衝雷射係任何固態雷射(例如,Nd:YAG、Er:YAG、Yb:YAG、Ti: 蓝宝石、Nd:釩酸鹽或其他)或一氣體放電雷射(例如,一準分子雷射)。在某些實施例中,每一脈衝雷射之總平均功率係大約一千瓦特或更少。
在一其他態樣中,電漿室153填充有一緩衝氣體123。在一較佳實施例中,緩衝氣體123係氙氣。氙氣123吸收極少之藉由電漿產生之X射線輻射且對於雷射產生之光學輻射係透明的。在某些實例中,對於1,000 torr-cm之氙氣之路徑長度,氙氣123吸收 小於10-5 之藉由電漿115產生之X射線輻射。此外,氙係一惰性氣體,其不會在X射線濾波器117上凝結。
在另一其他態樣中,氙緩衝氣體係維持在5 Torr與200 Torr之間一範圍中之一壓力處。將氙氣維持在此壓力範圍內為氙液滴形成提供一適合環境。此外,維持在5 Torr與200 Torr之間一範圍中之一壓力處的氙緩衝氣體熱化藉由電漿115產生之高能氙離子及電子,包含在距電漿115大約10公分或更小之一距離內藉由電漿115產生之大致上所有氙離子。
若氙緩衝氣體之壓力過低,則液態氙之蒸發阻止藉由液滴產生器111發射之氙流之分解成離散液滴。然而,若氙緩衝氣體之壓力過低,則氙緩衝氣體不能使藉由電漿115產生之所有高能氙離子停止在大約10公分或更小之距離處。
諸如雷射照射窗口116、聚光器118及X射線濾波器117之光學元件對來自電漿115之材料沈積係敏感的。在某些實施例中,電漿115與電漿室153之光學元件(例如,窗口116及117及聚光器118)之間之距離係至少十公分。以此方式,氙氣123保護照射窗口116、聚光器118及X射線濾波器117免受由電漿115產生之材料之污染。
如圖1中所繪示,LPP光源110包含一液滴產生器,其產生藉由脈衝雷射照射源113A及113B照射之一序列液態或固態氙液滴。在某些其他實施例中,氙靶標材料被提供至雷射照射光之焦點作為沈積在一低溫冷卻旋轉鼓上之一固態氙層(亦即,氙之凍結層)。以此方式,在鼓之表面上產生電漿,且經發射X射線輻射經收集用於半導體計量。在此等實施例中,低溫冷卻鼓連續旋轉以將固態氙靶標材料遞送至雷射照射光之焦點。如上文所闡述,入射於氙靶標上之雷射光斑之直徑係小於10微米。
一激發光脈衝與氙靶標(例如,氙液滴或氙片)之交互作用致使氙離子化以形成以極高亮度發射一照射光124之一電漿115。在一較佳實施例中,電漿115之亮度大於1014 photon/(sec).(mm2).(mrad2)。照射光包括具有自約25,000 eV至約30,000eV之一範圍中之能量的光。
照射光124藉由聚光器118收集且聚焦至被量測樣品150上。在圖1中所繪示之實施例中,聚光器118聚集由電漿115發射之照射光124且引導照射光124穿過窗口117。在某些實施例中,窗口117係一X射線濾波器,其經組態以對所關注波長之一範圍內之X射線輻射係透明的,且大致上吸收所關注波長之範圍外之輻射。
聚光器120可係任何適合形狀以聚集自電漿115產生之照射光。適合實例包含橢圓形聚光器及具有多個表面廓形之聚光器。用於收集自一電漿發射之光之例示性技術闡述於2010年4月27日頒於KLA-Tencor Technologies Corp.之美國專利第7,705,331號中,該專利之全文以引用方式併入本文中。
在圖1中所繪示之實施例中,照射光124經由窗口117退出電漿室153且藉由鏡子125朝向樣品150重新引導。此外,還採用照射光學器件126以進一步塑形在量測區129內入射於樣品150上之照射光124。照射光學器件126可包含一空心光學均質器或一反射光管以將照射光高效地傳輸至一樣品。在某些其他實施例中,可採用一照射及收集物鏡。在此等實施例中,照射光學器件126將照射光傳輸至物鏡。
在量測區129內之樣品150之照射致使光自樣品150散射。經散射光128由偵測器127偵測。偵測器127產生指示入射於偵測器127之作用區上之經散射光的信號151。偵測器127將信號151傳達至運算系統130以供分析。運算系統130至少部分基於所獲取信號151判定樣品150之性質。
在另一其他態樣中,運算系統130經組態以產生一樣品之一所量測結構之一結構模型(例如,幾何模型、材料模型或經組合之幾何及材料模型);產生一X射線散射量測回應模型,該模型包含來自結構模型之至少一個幾何參數;且藉由藉助X射線散射量測回應模型執行X射線散射量測量測資料之一擬合分析而解析至少一個樣品參數值。使用分析引擎來比較所模擬X射線散射量測信號與所量測資料,藉此允許判定樣品之諸如電子密度之幾何以及材料性質。在圖1中所繪示之實施例中 運算系統130經組態作為如本文中所闡述之經組態以實施模型構建與分析功能性之一模型構建及分析引擎。
圖2係圖解說明藉由運算系統130實施之一例示性模型構建及分析引擎101之一圖式。如圖2中所繪示,模型構建及分析引擎101包含產生一樣品之一所量測結構之一結構模型103之一結構模型構建模組102。在某些實施例中,結構模型103亦包含樣品之材料性質。結構模型103係作為輸入接收至X射線散射量測回應函數構建模組104。X射線散射量測回應函數構建模組104至少部分基於結構模型103產生一全束X射線散射量測回應函數模型105。在某些實例中,X射線散射量測回應函數模型105係基於X射線形式因子。其中F係形式因子,q係散射向量,且ρ(r)係如方程式(1)中所闡述之球面座標中之樣品的電子密度。然後,藉由方程式(2)給出X射線散射強度。X射線散射量測回應函數模型105係作為輸入接收至擬合分析模組106。擬合分析模組106比較經模型化X射線散射量測回應與對應所量測資料以判定樣品之幾何以及材料性質。
在某些實例中,藉由最小化一卡方值達成經模型化資料至實驗資料之擬合。舉例而言,針對X射線散射量測量測,可將一卡方值定義為其中,係在「通道」j中之所量測X射線散射量測信號151,其中指標j闡述一組系統參數,諸如繞射級、能量、角座標等。係「通道」j之經模型化之X射線散射量測信號Sj ,該信號係針對一組結構(靶標)參數v1 ,…vL 而評估,其中此等參數闡述幾何(CD、側壁角度、疊對等)及材料(電子密度等)。係與第j個通道相關聯之不確定因素。NSAXS 係X射線計量中通道之總數目。L係表徵計量靶標之參數之數目。
方程式(3)假定與不同通道相關聯之不確定因素係不相關的。在其中與不同通道相關聯之不確定因素相關之實例中,可計算不確定因素之間的一協方差。在此等實例中,可將用於全束X射線散射量測量測之一卡方值表達為
其中VSAXS 係SAXS通道不確定因素之協方差矩陣,且T表示轉置。
在某些實例中,擬合分析模組106藉由藉助X射線散射量測回應模型105对X射線散射量測量測資料151執行一擬合分析而解析至少一個樣品參數值152。在某些實例中,最佳化。在圖1中所繪示之實施例中,將經判定值152儲存於記憶體190中。
如上文所闡述,藉由最小化卡方值而達成X射線散射量測資料之擬合。然而,一般而言,全束X射線散射量測資料之擬合可藉由其他函數達成。
X射線散射量測計量資料之擬合對於提供對所關注幾何及/或材料參數之敏感度之任一類型之X射線散射量測技術係有利的。樣品參數可係判定性的(例如,CD、SWA、疊對等)或統計性的(例如,側壁粗糙度之rms高度、粗糙度相關長度等),只要使用闡述樣品與X射線散射量測光束之交互作用之恰當模型即可。
一般而言,運算系統130經組態以採用即時臨界尺寸 (RTCD)即時存取模型參數,或其可存取預計算模型之庫以判定與樣品150相關聯之至少一個樣品參數值之一值。一般而言,可使用某種形式之CD引擎來評估一樣品之經指派CD參數與和所量測樣品相關聯之CD參數之間的差。用於計算樣品參數值之例示性方法及系統闡述於2010年11月2日頒於KLA-Tencor Corp.之美國專利第7,826,071號中,該專利之全文以引用方式併入本文中。
在某些實例中,模型構建及分析引擎101藉由側饋分析、前饋分析及並行分析之任一組合而改良所量測參數之準確度。側饋分析係指採取關於同一樣品之不同區之多個資料集且將自第一資料集判定之共同參數傳遞至第二資料集上以供分析。前饋分析係指採取關於不同樣品之資料集且使用一逐步複製準確參數前饋方法將共同參數前向傳遞至後續分析。並行分析係指將一非線性擬合方法並行或同時應用於多個資料集,其中在擬合期間耦合至少一個共同參數。
多重工具與結構分析係指基於回歸、一查找表(亦即「庫」匹配),或其他多個資料集之擬合程序之一前饋、側饋或並行分析。用於多重工具與結構分析之例示性方法及系統闡述於2009年1月13日頒於KLA-Tencor Corp.之美國專利第7,478,019號中,該專利之全文以引用方式併入本文中。
在一項其他態樣中,計量工具100包含經組態以實施(如本文中所闡述之)光束控制功能性之一運算系統(例如,運算系統130)。在圖1中所繪示之實施例中,運算系統130組態為可操作以控制入射照射光束124之照射性質(諸如強度、發散度、光斑大小、偏光、光譜)及定位中之任一者之一光束控制器。
如圖1中所圖解說明,運算系統130通信地耦合至偵測器127。運算系統130經組態以自偵測器127接收量測資料151。在一項實例中,量測資料151包含樣品之所量測回應(亦即,繞射級之強度)之一指示。基於偵測器127之表面上之所量測回應之分佈,由運算系統130判定照射光束124在樣品150上之入射位置及區。在一項實例中,由運算系統130應用圖案辨識技術以基於量測資料151判定照射光束124在樣品150上之入射位置及區。在某些實例中,運算系統130將一命令信號(未展示)傳達至照射光學器件126以選擇所要照射光束能量且將照射光束124重新引導並重新塑形,使得入射照射光束124到達相對於樣品150之所要位置及角度定向。在某些其他實例中,運算系統130將一命令信號137傳達至晶圓定位系統140以將樣品150定位並定向,使得入射照射光束124到達相對於樣品150之所要位置及角度定向。在某些其他實例中,運算系統130將命令信號135A及135B及136傳達至LPP光源110以選擇所要照射波長且將照射光束124重新引導並重新塑形,使得入射照射光束124到達相對於樣品150之所要位置及角度定向。
在某些實施例中,期望以不同定向執行量測。此藉由擴展可用於分析之資料集之數目及分集以包含各種大角度,平面外定向而增加所量測參數之精度與準確度且減少參數當中之相關。量測具有一較深、較多樣資料集之樣品參數亦減少參數當中之相關且改良量測準確度。舉例而言,在一法向定向中,X射線散射量測能夠解析一特徵之臨界尺寸,但在很大程度上對一特徵之側壁角度及高度不敏感。然而,藉由在一寬廣平面外角度位置範圍內收集量測資料,可解析一特徵之側壁角度及高度。
如圖1中所圖解說明,計量工具100包含經組態以相對於散射計在一大的平面外角度定向範圍內既對準樣品150亦定向樣品150之一樣品定位系統140。換言之,樣品定位系統140經組態以使樣品150圍繞與樣品150之表面平面內對準之一或多個旋轉軸在一大角度範圍內旋轉。在某些實施例中,樣品定位系統140經組態以使樣品150圍繞與樣品150之表面平面內對準之一或多個旋轉軸在至少90度之一範圍內旋轉。在某些實施例中,樣品定位系統經組態以使樣品150圍繞與樣品150之表面平面內對準之一或多個旋轉軸在至少60度之一範圍內旋轉。在某些其他實施例中,樣品定位系統140經組態以使樣品150圍繞與樣品101之表面平面內對準之一或多個旋轉軸在至少1度之一範圍內旋轉。以此方式,由計量系統100在樣品150之表面上之任何數目個位置收集樣品150之經角度解析量測。在一項實例中,運算系統130將指示樣品150之所要位置之命令信號137傳達至樣品定位系統140之運動控制器145。作為回應,運動控制器145產生命令信號至樣品定位系統140之各種致動器以達成樣品150之所要定位。
藉助非限制性實例,如圖1中所圖解說明,樣品定位系統140包含將樣品150固定地附接至樣品定位系統140之一邊緣夾持卡盤141。一旋轉致動器142經組態以使邊緣夾持卡盤141及所附接樣品150相對於一周邊框架143旋轉。在所繪示實施例中,旋轉致動器142經組態以使樣品150圍繞圖1中所圖解說明之座標系統146之x軸旋轉。如圖1中所繪示,樣品150圍繞z軸之一旋轉係樣品150之一平面內旋轉。圍繞x軸及y軸(未展示)之旋轉係樣品150之使樣品之表面相對於計量系統100之計量元件有效地傾斜之平面外旋轉。儘管未圖解說明,但一第二旋轉致動器經組態以使樣品150圍繞y軸旋轉。一線性致動器144經組態以使周邊框架143在x方向上平移。另一線性致動器(未展示)經組態以使周邊框架143在y方向上平移。以此方式,樣品150之表面上之每一位置皆可用於在一平面外角度位置之一範圍內之量測。舉例而言,在一個實施例中,在相對於樣品150之法向定向-45度至+45度之一範圍內跨過數個角度增量量測樣品150之一位置。
一般而言,樣品定位系統140可包含用以達成所要線性及角度定位效能之機械元件之任一適合組合,包含(但不限於)測角置物台、六腳置物台、有角度置物台及線性置物台。
在另一其他態樣中,LPP光源110包含一氣體循環系統120,其將所蒸發之氙循環回至氙靶標產生器(例如,滴液產生器111)。如圖1中所繪示,氣體循環系統120接收來自電漿室153之一氙氣(例如,所蒸發之氙)流119。因為氙緩衝氣體123及所蒸發之氙(亦即,由電漿115加熱之後)是不能區分的,因此流119包含用作一緩衝氣體之氙及由電漿115氣化之氙。氣體循環系統120回收氙氣且將所回收之氙121輸送至液滴產生器111以待重新使用。此外,氣體循環系統120還回收氙氣且將所回收之氙122輸送回至電漿室123中。
如圖1中所繪示,系統100包含聚焦在一液滴上以產生電漿115之兩個雷射。然而,系統100可包含一個雷射或兩個以上雷射。每一雷射可不同地或相同地組態。舉例而言,雷射可經組態以產生具有可以相同或不同時間被引導至一液滴之不同特性的光。在另一實例中,雷射可經組態以自相同或不同方向將光引導至一液滴。用於將激發光引導至一靶標之例示性技術闡述於上文提及之美國專利第7,705,331號闡述中,該專利之全文以參考方式併入本文中。
圖1以一項實施例繪示一透射小角度X射線散射量測 (SAXS)系統。然而,如本文中所闡述之採用一LPP光源之其他基於X射線之計量系統可涵蓋在本專利文件之範疇內。在某些實例中,基於一同調繞射成像(CDI)之計量系統包含如本文中所闡述之一LPP光源。在其他實例中,可採用一LPP光源作為一成像X射線散射量測之部分。
在某些實施例中,一成像物鏡將所收集之光引導至一偵測器。在某些實施例中,藉由光源110產生之照射光藉由照射光學器件126傳輸至一物鏡。在某些實施例中,照射光學器件126及成像物鏡可主要由相同元件構成並且係大致上相同的。在某些其他實施例中,藉由光源110產生之照射光藉由照射光學器件126直接傳輸至樣品150,而非首先引導穿過成像物鏡之元件。回應於入射於樣品150上之照射光,來自樣品150之光藉由一成像物鏡收集、放大且引導至一偵測器。
在某些實施例中,採用設計有一充足視角之一成像物鏡。穿過物鏡之光路徑較佳地應包含一最小數目之與反射表面之交互作用以最小化與每一交互作用相關聯之吸收損失。用於使用一種四鏡、四通設計之具有所有反射組件之一物鏡的例示性設計闡述於2008年4月1日頒於KLA-Tencor Technologies Corp.之美國專利第7,351,980號中,該專利之全文以引用方式併入本文中。此外,美國專利公開案第2010/0188738 A1號中還闡述用於使用一種四鏡、六通設計之具有所有反射組件之一物鏡的例示性設計。
照射方向影響一計量系統(諸如計量系統100)解析一晶圓上之一結構之方式。在某些實施例中,所論述之光學組態可具有反射元件中之一或多者之非均勻光學性質,具體而言,該等光學性質係針對照射目的而最佳化。舉例而言,歸因於照射路徑中之高曝光能量,可最佳化塗層以增加塗層耐久性。
圖3根據至少一個發明性態樣圖解說明適用於產生用於基於X射線之計量之硬性X射線照射光之一方法200。認識到可經由儲存為程式指令134之部分且藉由運算系統130之一或多個處理器執行之一預程式化演算法來實施方法200的資料處理元件。雖然圖1中所繪示的系統100的內容脈絡中呈現以下說明,但本文中應認識到,系統100的特定結構態樣不表示限制且應解釋為僅具說明性。
在方塊201中,在一電漿室內提供一氙氣流。
在方塊202中,在電漿室之一靶標位置處提供呈一固態或液態之一序列氙靶標。
在方塊203中,產生被引導至電漿室中靶標位置之一序列激發光脈衝。該序列激發光脈衝中之每一脈衝具有小於兩皮秒之一持續時間。該序列激發光脈衝中之一脈衝與該序列氙靶標中之一對應氙靶標之交互作用致使氙靶標離子化以形成一電漿,其發射具有在大約25,000電子伏特至大約30,000電子伏特之一範圍中之一能量之一量之X射線照射光。所得X射線照射光能夠用於照射一被量測半導體樣品。
在方塊204中,偵測回應於X射線照射光而自樣品散射之X射線輻射之一量。
在方塊205中,基於所偵測X射線輻射之量來判定被量測樣品之至少一個所關注參數之一值。
應認識到,本發明通篇所闡述之各種步驟可由一單電腦系統130或(或者)一多電腦系統130實施。此外,系統100之不同子系統,諸如樣品定位系統140、氣體循環系統120、滴液產生器111、雷射113及偵測器127,可包含適用於實施本文中所闡述之若干步驟中之至少一部分之一電腦系統。因此,前述說明不應解釋為對本發明之一限制而應解釋為僅為一圖解說明。此外,一或多個運算系統130可經組態以執行本文中所闡述之方法實施例中之任一者之任一(任何)其他步驟。
此外,電腦系統130還可以本技術中已知之任何方式通信地耦合至樣品定位系統140、氣體循環系統120、液滴產生器111、雷射113及偵測器127。舉例而言,一或多個運算系統130可分別耦合至與樣品定位系統140、氣體循環系統120、液滴產生器111、雷射113及偵測器127相關聯之運算系統。在另一實例中,樣品定位系統140、氣體循環系統120、液滴產生器111、雷射113及偵測器127中之任一者可藉由耦合至電腦系統130之一單電腦系統直接控制。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如,樣品定位系統140、氣體循環系統120、液滴產生器111、雷射113及偵測器127等)接收及/或獲取資料或資訊。以此方式,傳輸媒體可用作電腦系統130與系統100的其它子系統之間的一資料鏈路。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,模型化輸入、模型化結果等)。以此方式,傳輸媒體可用作電腦系統130與其他系統(例如,記憶體板上系統100、外部記憶體或外部系統)之間的一資料鏈路。舉例而言,運算系統130可經組態以經由一資料鏈路自一儲存媒體(亦即,記憶體132)接收量測資料(例如,信號151)。舉例而言,使用偵測器127獲得之量測結果可儲存於一永久或半永久記憶體裝置(例如,記憶體132)中。就此而言,量測結果可自板上記憶體或自一外部記憶體系統導入。此外,電腦系統130可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130判定之參數值152可儲存於一永久或半永久記憶體裝置(例如,記憶體190)中。就此而言,量測結果可導出至另一系統。
運算系統130可包含(但不限於)一個人電腦系統、大型電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。一般而言,術語「運算系統」可寬廣地界定為囊括具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
實施諸如本文中所闡述之彼等方法之方法之程式指令134可經由一傳輸媒體(諸如一導線、電纜或無線傳輸鏈路)傳輸。舉例而言,如圖1中所圖解說明,儲存於記憶體132中之程式指令經由匯流排133傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟,或一磁帶。
本文中所闡述之計量技術可用於判定半導體結構之特性。例示性結構包含(但不限於)FinFET、低尺寸結構(諸如奈米線或石墨烯)、小於10 nm之結構、薄膜、微影結構、穿矽通孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH)及高縱橫比記憶體結構(諸如3D-NAND結構)。例示性結構特性包含(但不限於)幾何參數(諸如線邊緣粗糙度、線寬度粗糙度、孔大小、孔密度、側壁角度、輪廓、膜厚、臨界尺寸、節距)及材料參數(諸如電子密度、晶體顆粒結構、形態、定向、應力、張力、元素識別及材料組合物)。
在某些實施例中,本文中所闡述之技術可實施為一製作製程工具之部分。製作製程工具之實例包含(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。以此方式,使用溫度量測之結果來控制一製作製程。
各種實施例在本文中係針對可用於處理一樣品之一半導體處理系統(例如,一檢驗系統、一計量系統或一微影系統)而闡述。術語「樣品」在本文中用於指一晶圓、一光罩或可藉由此項技術中已知之裝置處理(例如,印刷或檢驗缺陷)之任何其他樣品。
如本文中所使用,術語「樣品」通常指一晶圓。然而,應理解本文中所闡述之方法及系統可用於提供此技術中已知之任何其他樣品之照射。
如本文中所使用,術語「晶圓」通常指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板通常可發現於及/或處理於半導體製作設施中。在某些情形中,一晶圓可僅包含基板(亦即,裸晶圓)。或者,一晶圓可包含形成在一基板上的一或多個不同材料層。形成於一晶圓上之一或多個層可係「經圖案化」或「未圖案化」的。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「光罩」可係在一光罩製作製程之任何階段之一光罩或可或可不釋放以供在一半導體製作設施中使用之一完成光罩。一光罩或一「遮罩」通常定義為具有在其上形成且組態成一圖案之大致上不透明區域之一大致上透明基板。舉例來說,基板可包含一玻璃材料,諸如石英。一光罩可在一微影製程之一曝光步驟期間沈積於一抗蝕劑覆蓋之晶圓上面,使得可將光罩上之圖案轉印至抗蝕劑。
形成於一晶圓上之一或多個層可係經圖案化或未圖案化的。舉例而言,一晶圓可包含各自具有可重複圖案特徵之複數個晶粒。此等材料層的形成及處理可最終產生完成裝置。可在一晶圓上形成諸多不同類型的裝置,且如本文中所使用的術語晶片意欲囊括其上製作有本技術中已知之裝置之任一類型之一晶圓。
在一或多個例示性實施例中,可在硬體、軟體、韌體或其任一組合中實施所闡述之功能。若在軟體中實施,那麼功能可儲存於一電腦可讀媒體上或作為電腦可讀媒體上之一或多個指令或碼而傳輸。電腦可讀媒體包含電腦儲存媒體及包含促進將一電腦程式從一個位置傳送到另一位置之任一媒體之通信媒體兩者。一儲存媒體可係可由一一般用途或特殊用途電腦可存取之任何可用媒體。藉助實例,且非限制性,此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁盤儲存器或其他磁性儲存裝置或可用於攜載或儲存呈指令或資料結構形式之所要程式碼構件且可由一一般用途或特殊用途電腦或者一一般用途或特殊用途處理器存取之任何其他媒體。此外,任何連接恰當地稱為一電腦可讀媒體。舉例而言,若使用一同軸纜線、光纖纜線、雙絞線、數位用戶線(DSL)或諸如紅外線、無線電及微波等無線技術自一網站、伺服器或其他遠端源傳輸軟體,則同軸纜線、光纖纜線、雙絞線、DSL或諸如紅外線、無線電及微波等無線技術皆包含於媒體之定義中。如本文中所使用,磁碟及光碟包含壓縮光碟(CD)、雷射光碟、光學光碟、數位多功能光碟(DVD)、軟碟及藍光碟,其中磁碟通常以磁性方式再現資料,而光碟藉助雷射以光學方式再現資料。上文之組合亦應包含於電腦可讀取媒體之範疇內。
儘管在上文中出於指導性目的而闡述某些特定實施例,但本專利文件之教示內容具有一般適用性且不限於上文所闡述之特定實施例。因此,可在不違背如申請專利範圍中所陳述之本發明之範疇之情況下實踐對所闡述之實施例之各種特徵之各種修改、改動及組合。
100‧‧‧基於X射線之計量系統/計量工具/計量系統/系統/記憶體板上系統
101‧‧‧模型構建及分析引擎/樣品
102‧‧‧結構模型構建模組
103‧‧‧結構模型
104‧‧‧X射線散射量測回應函數構建模組
105‧‧‧全束X射線散射量測回應函數模型/X射線散射量測回應函數模型
106‧‧‧擬合分析模組
110‧‧‧雷射產生之電漿(LPP)光源/雷射產生之電漿源/光源/雷射产生之电浆
111‧‧‧液滴產生器
112‧‧‧非金屬饋料/饋料
113A‧‧‧脈衝雷射照射源/脈衝雷射
113B‧‧‧脈衝雷射照射源/脈衝雷射
114‧‧‧照射光學器件
115‧‧‧電漿
116‧‧‧照射窗口/雷射照射窗口/窗口
117‧‧‧X射線濾波器/窗口
118‧‧‧聚光器
119‧‧‧氙氣流/流
120‧‧‧聚光器/氣體循環系統
121‧‧‧所回收之氙
122‧‧‧所回收之氙
123‧‧‧緩衝氣體/氙氣/氙緩衝氣體/電漿室
124‧‧‧照射光/入射照射光束/照射光束
125‧‧‧鏡子
126‧‧‧照射光學器件
127‧‧‧偵測器128經散射光
129‧‧‧量測區域
130‧‧‧運算系統/單電腦系統/多電腦系統/電腦系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
135A‧‧‧控制信號
135B‧‧‧控制信號
136‧‧‧命令信號
137‧‧‧命令信號
140‧‧‧晶圓定位系統/樣品定位系統
141‧‧‧邊緣夾持卡盤
142‧‧‧旋轉致動器
143‧‧‧周邊框架
144‧‧‧線性致動器
145‧‧‧運動控制器
146‧‧‧座標系統
147‧‧‧光束組合器
148‧‧‧金屬光學器件
149‧‧‧金屬光學器件/最終金屬光學元件
150‧‧‧被量測樣品/樣品/所附接樣品
151‧‧‧信號/所獲取信號/X射線散射量測量測資料/量測資料
152‧‧‧經判定值/參數值
153‧‧‧電漿室
190‧‧‧記憶體
200‧‧‧方法
201‧‧‧方塊
202‧‧‧方塊
203‧‧‧方塊
204‧‧‧方塊
205‧‧‧方塊
圖1係以至少一個新穎態樣圖解說明包含一雷射產生之電漿(LPP)光源之一計量系統之一簡圖。
圖2係圖解說明一例示性模型構建及分析引擎之一簡圖。
圖3係產生至一被量測半導體樣品之硬性X射線照射光之一方法之一流程圖。

Claims (20)

  1. 一種雷射產生之電漿光源,其包括: 一電漿室,其包含該電漿室內之一氙氣流; 一氙靶標產生器,其在該電漿室中一靶標位置處提供呈一固態或液態之一序列氙靶標;及 一或多個脈衝雷射源,其產生被引導至該電漿室中該靶標位置之一序列激發光脈衝,其中該序列激發光脈衝中之每一脈衝具有小於兩皮秒之一持續時間,其中該序列激發光脈衝中之一脈衝與該序列氙靶標中之一對應氙靶標之交互作用致使該氙靶標離子化以形成一電漿,該電漿發射具有在大約25,000電子伏特至大約30,000電子伏特之一範圍中之一能量之一量之X射線照射光,其中該X射線照射光可用於照射一被量測半導體樣品。
  2. 如請求項1之雷射產生之電漿光源,其中該氙靶標產生器包括: 一液滴產生器,其將呈一固態或液態之一序列氙液滴施配至該電漿室中。
  3. 如請求項1之雷射產生之電漿光源,其中該氙靶標產生器包括: 沈積在該電漿室中之一低溫冷卻鼓,其具有沈積在每一激發光脈衝之一焦點處之該低溫冷卻鼓之一面向外表面上的一固態氙材料層。
  4. 如請求項1之雷射產生之電漿光源,其中該一或多個脈衝雷射源包含同時地發射激發光脈衝之至少兩個脈衝雷射源。
  5. 如請求項1之雷射產生之電漿光源,其中該一或多個脈衝雷射源包含依序發射激發光脈衝之至少兩個脈衝雷射源。
  6. 如請求項1之雷射產生之電漿光源,其進一步包括: 一氣體循環系統,其經組態以回收來自該電漿室之一量之氙氣且將一第一量之該所回收氙氣提供至該氙靶標產生器。
  7. 如請求項6之雷射產生之電漿光源,該氣體循環系統進一步組態以將一第二量之該所回收氙氣提供至該電漿室。
  8. 如請求項6之雷射產生之電漿光源,其中該量之所回收氙氣包含一量之所蒸發氙靶標材料。
  9. 如請求項1之雷射產生之電漿光源,其中距該電漿室之一窗口與該電漿之一距離係至少10公分。
  10. 如請求項1之雷射產生之電漿光源,其中饋料之該序列液滴中之每一者之直徑係小於50微米。
  11. 如請求項1之雷射產生之電漿光源,其中該電漿之一亮度係大於1014 photon/(sec). (mm2 ). (mrad2 )。
  12. 如請求項1之雷射產生之電漿光源,其中該電漿室內之該氙氣之一壓力係在5 torr 與200 torr之間之一範圍內。
  13. 如請求項1之雷射產生之電漿光源,其進一步包括: 一聚光器,其聚集由該電漿發射之一量之該照射光且引導該量之照射光穿過該電漿室之一X射線窗口。
  14. 一種計量系統,其包括: 一雷射產生之電漿光源,其包括: 一電漿室,其包含在該電漿室內之一氙氣流; 一氙靶標產生器,其在該電漿室中一靶標位置處提供呈一固態或液態之一序列氙靶標; 一或多個脈衝雷射源,其產生被引導至該電漿室中該靶標位置之一序列激發光脈衝,其中該序列激發光脈衝中之每一脈衝具有小於兩皮秒之一持續時間,其中該序列激發光脈衝中之一脈衝與該序列氙靶標中之一對應氙靶標之交互作用致使該氙靶標離子化以形成發射一量之X射線照射光之一電漿;及 一聚光器,其聚集由該電漿發射之該X射線照射光且將該X射線照射光朝向一被量測樣品引導; 一X射線偵測器,其偵測回應於入射於該樣品上之該X射線照射光而自該樣品散射之X射線輻射之一量;及 一運算系統,其經組態以基於X射線輻射之該所偵測量判定與該樣品之一模型相關聯之一所關注參數之一值。
  15. 如請求項14之計量系統,其中該計量系統經組態作為一透射小角度X射線散射量測系統中之任一者。
  16. 如請求項14之計量系統,其中距該電漿室之一窗口與該電漿之一距離係至少10公分。
  17. 如請求項14之計量系統,其中該電漿室內之該氙氣之一壓力係在5 torr 與200 torr之間之一範圍內。
  18. 如請求項14之計量系統,其進一步包括: 一氣體循環系統,其經組態以回收來自該電漿室之一量之氙氣且將一第一量之該所回收氙氣提供至該氙靶標產生器。
  19. 一種方法,其包括: 在一電漿室內提供一氙氣流; 在該電漿室中一靶標位置處提供呈一固態或液態之一序列氙靶標; 產生被引導至該電漿室中該靶標位置之一序列激發光脈衝,其中該序列激發光脈衝中之每一脈衝具有小於兩皮秒之一持續時間,其中該序列激發光脈衝中之一脈衝與該序列氙靶標中之一對應氙靶標之交互作用致使該氙靶標離子化以形成一電漿,該電漿發射具有在大約25,000電子伏特至大約30,000電子伏特之一範圍中之一能量之一量之X射線照射光,其中該X射線照射光可用於照射一被量測半導體樣品; 偵測回應於該X射線照射光而自該樣品散射之X射線輻射之一量;及 基於所偵測X射線輻射之該量判定該被量測樣品之至少一個所關注參數之一值。
  20. 如請求項19之方法,其進一步包括: 回收來自該電漿室之一量之氙氣;及 將一第一量之該所回收氙氣提供至提供該序列氙靶標之一氙靶標產生器。
TW107130359A 2017-08-30 2018-08-30 雷射產生之電漿光源以及計量系統及計量方法 TWI788406B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762551785P 2017-08-30 2017-08-30
US62/551,785 2017-08-30
US16/112,762 US11317500B2 (en) 2017-08-30 2018-08-26 Bright and clean x-ray source for x-ray based metrology
US16/112,762 2018-08-26

Publications (2)

Publication Number Publication Date
TW201921816A true TW201921816A (zh) 2019-06-01
TWI788406B TWI788406B (zh) 2023-01-01

Family

ID=65435884

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130359A TWI788406B (zh) 2017-08-30 2018-08-30 雷射產生之電漿光源以及計量系統及計量方法

Country Status (7)

Country Link
US (1) US11317500B2 (zh)
EP (1) EP3653024A4 (zh)
JP (1) JP7284746B2 (zh)
KR (1) KR102606553B1 (zh)
CN (1) CN111052872B (zh)
TW (1) TWI788406B (zh)
WO (1) WO2019046417A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI774350B (zh) * 2020-06-10 2022-08-11 荷蘭商Asml荷蘭公司 用於計算一經校正基板高度圖之方法、用於高度量測之系統、及微影裝置

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
WO2022169631A2 (en) * 2021-01-25 2022-08-11 Bnnt, Llc Xenon-enhanced, ammonia borane filled boron nitride nanotube fusion targets
CN113376190B (zh) * 2021-06-03 2022-12-02 中国科学院高能物理研究所 光阑及光学装置
WO2024050413A1 (en) * 2022-08-30 2024-03-07 Research Instruments Corporation Picosecond laser-driven plasma x-ray source

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930331A (en) * 1989-03-22 1999-07-27 Rentzepis; Peter M. Compact high-intensity pulsed x-ray source, particularly for lithography
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5606588A (en) * 1995-07-28 1997-02-25 The Regents Of The University Of Michigan Method and apparatus for generating laser plasma x-rays
JPH09320794A (ja) * 1996-05-27 1997-12-12 Nikon Corp X線発生装置
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US6711233B2 (en) * 2000-07-28 2004-03-23 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6760406B2 (en) * 2000-10-13 2004-07-06 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
SE520087C2 (sv) * 2000-10-13 2003-05-20 Jettec Ab Förfarande och anordning för alstring av röntgen- eller EUV- strålning samt användning av den
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7491954B2 (en) 2006-10-13 2009-02-17 Cymer, Inc. Drive laser delivery systems for EUV light source
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP3728495B2 (ja) 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 多層膜マスク欠陥検査方法及び装置
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
JP2004103773A (ja) * 2002-09-09 2004-04-02 Nikon Corp X線発生装置、x線露光装置及びx線フィルター
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
WO2005069451A1 (en) * 2004-01-07 2005-07-28 The Regents Of The University Of Michigan Ultra-short wavelength x-ray system
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
DE102004028943B4 (de) * 2004-06-11 2006-10-12 Xtreme Technologies Gmbh Vorrichtung zur zeitlich stabilen Erzeugung von EUV-Strahlung mittels eines laserinduzierten Plasmas
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP5301165B2 (ja) * 2005-02-25 2013-09-25 サイマー インコーポレイテッド レーザ生成プラズマeuv光源
US7351980B2 (en) 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
DE102006017904B4 (de) 2006-04-13 2008-07-03 Xtreme Technologies Gmbh Anordnung zur Erzeugung von extrem ultravioletter Strahlung aus einem energiestrahlerzeugten Plasma mit hoher Konversionseffizienz und minimaler Kontamination
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
JP5388018B2 (ja) 2007-05-07 2014-01-15 独立行政法人日本原子力研究開発機構 レーザー駆動の小型・高コントラスト・コヒーレントx線発生装置及びその発生方法
US7917241B2 (en) 2007-08-01 2011-03-29 Tel Epion Inc. Method and system for increasing throughput during location specific processing of a plurality of substrates
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US8519366B2 (en) * 2008-08-06 2013-08-27 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
DE102008049589A1 (de) 2008-09-30 2010-04-08 Carl Zeiss Smt Ag Optische Abbildungseinrichtung und Abbildungsverfahren für die Mikroskopie
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5580032B2 (ja) 2008-12-26 2014-08-27 ギガフォトン株式会社 極端紫外光光源装置
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP5748748B2 (ja) 2009-06-19 2015-07-15 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 極紫外線検査システム
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8368039B2 (en) 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US20120050706A1 (en) * 2010-08-30 2012-03-01 Media Lario S.R.L Source-collector module with GIC mirror and xenon ice EUV LPP target system
US8633459B2 (en) 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
JP6121414B2 (ja) 2012-06-22 2017-04-26 ギガフォトン株式会社 極端紫外光生成システム
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9693439B1 (en) 2013-06-20 2017-06-27 Kla-Tencor Corporation High brightness liquid droplet X-ray source for semiconductor metrology
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9570265B1 (en) 2013-12-05 2017-02-14 Sigray, Inc. X-ray fluorescence system with high flux and high flux density
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US20150285749A1 (en) 2014-04-03 2015-10-08 Massachusetts Institute Of Technology Compact X-Ray Source for CD-SAXS
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9506871B1 (en) 2014-05-25 2016-11-29 Kla-Tencor Corporation Pulsed laser induced plasma light source
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10217625B2 (en) 2015-03-11 2019-02-26 Kla-Tencor Corporation Continuous-wave laser-sustained plasma illumination source
CN107924118B (zh) * 2015-08-12 2022-08-09 Asml荷兰有限公司 量测方法、辐射源、量测设备及器件制造方法
NL2017510A (en) 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
US10880979B2 (en) 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
WO2016131069A2 (en) * 2015-12-11 2016-08-18 Johnson Kenneth Carlisle Euv light source with spectral purity filter and power recycling
CN109219780A (zh) * 2016-05-03 2019-01-15 美特瑞克斯实业公司 热电设备和系统
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI774350B (zh) * 2020-06-10 2022-08-11 荷蘭商Asml荷蘭公司 用於計算一經校正基板高度圖之方法、用於高度量測之系統、及微影裝置

Also Published As

Publication number Publication date
US11317500B2 (en) 2022-04-26
WO2019046417A1 (en) 2019-03-07
TWI788406B (zh) 2023-01-01
KR20200037419A (ko) 2020-04-08
US20190069385A1 (en) 2019-02-28
JP7284746B2 (ja) 2023-05-31
CN111052872B (zh) 2023-09-26
CN111052872A (zh) 2020-04-21
EP3653024A4 (en) 2021-07-14
JP2020535391A (ja) 2020-12-03
EP3653024A1 (en) 2020-05-20
KR102606553B1 (ko) 2023-11-24

Similar Documents

Publication Publication Date Title
JP7482193B2 (ja) 広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法
TWI788406B (zh) 雷射產生之電漿光源以及計量系統及計量方法
TWI765109B (zh) 用於半導體計量之液態金屬旋轉陽極x射線源
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
US10295916B2 (en) EUV source chamber and gas flow regime for lithographic apparatus, multi-layer mirror and lithographic apparatus
US11259394B2 (en) Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) Laser produced plasma illuminator with low atomic number cryogenic target
US10012606B1 (en) X-ray based metrology with primary and secondary illumination sources
US9451683B1 (en) Solution for EUV power increment at wafer level
KR102684458B1 (ko) 액체 시트 제트 타겟을 이용한 레이저 생성 플라즈마 조명기
KR102684457B1 (ko) 낮은 원자 번호의 극저온 타깃을 가진 레이저 생성 플라스마 조명기