CN111052872B - 用于基于x射线的计量的明亮且干净的x射线源 - Google Patents

用于基于x射线的计量的明亮且干净的x射线源 Download PDF

Info

Publication number
CN111052872B
CN111052872B CN201880056012.2A CN201880056012A CN111052872B CN 111052872 B CN111052872 B CN 111052872B CN 201880056012 A CN201880056012 A CN 201880056012A CN 111052872 B CN111052872 B CN 111052872B
Authority
CN
China
Prior art keywords
xenon
plasma
ray
target
plasma chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880056012.2A
Other languages
English (en)
Other versions
CN111052872A (zh
Inventor
O·可哈达金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111052872A publication Critical patent/CN111052872A/zh
Application granted granted Critical
Publication of CN111052872B publication Critical patent/CN111052872B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • X-Ray Techniques (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Plasma Technology (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

本文中描述用于利用干净、硬性的X射线照射源的基于x射线的半导体计量的方法及系统。更具体来说,激光产生的等离子体光源产生具有在25,000到30,000电子伏特的范围中的能量的高亮度、硬性的x射线照射。为实现高亮度,将高度聚焦、极短持续时间的激光束聚焦到呈液态或固态的致密氙靶标上。经聚焦的激光脉冲与所述高密度氙靶标的相互作用引发等离子体。来自所述等离子体的辐射由收集光学器件收集且被引导到被测量样品。由于使用非金属靶标材料,因此所产生等离子体发射是相对干净的。等离子体室填充有氙气以进一步保护光学元件免受污染。在一些实施例中,从所述等离子体室所蒸发的氙被循环回到氙靶标产生器。

Description

用于基于X射线的计量的明亮且干净的X射线源
技术领域
所描述实施例涉及用于x射线计量及检验的x射线激光产生的等离子体辐射源及系统。
背景技术
例如逻辑及存储器装置的半导体装置通常通过应用于衬底或晶片的处理步骤序列而制作。半导体装置的各种特征及多个结构层级通过这些处理步骤而形成。举例来说,尤其光刻是涉及在半导体晶片上产生图案的一种半导体制作过程。半导体制作过程的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制作多个半导体装置且然后将其分离成个别半导体装置。
在半导体制造过程期间,在各个步骤处使用计量过程来检测晶片上的缺陷以促成较高合格率。通常使用若干个基于计量的技术(包含散射测量及反射测量实施方案以及相关联分析算法)来表征纳米尺度结构的临界尺寸、叠对、膜厚度、组合物及其它参数。
传统上,对由薄膜及/或重复周期性结构组成的靶标执行测量。在装置制作期间,这些膜及周期性结构通常表示实际装置几何结构及材料结构或中间设计。随着装置(例如,逻辑及存储器装置)朝较小纳米尺度尺寸进展,表征变得更困难。并入有复杂三维几何结构及具有迥异物理性质的材料的装置加剧表征困难度。举例来说,现代存储器结构通常是使得光学辐射难以穿透到底部层的高纵横比三维结构。利用红外线光到可见光的光学计量工具可穿透许多半透明材料层,但提供良好穿透深度的较长波长不提供对小异常现象的充分敏感度。另外,表征复杂结构(例如,FinFET)所需的越来越多数目个参数导致参数相关性增加。因此,通常无法可靠地将表征靶标的参数与可用测量解耦。
在一个实例中,在现代半导体结构中越来越多地采用不透明高k材料。光学辐射通常不能穿透由这些材料构造的层。因此,借助薄膜散射测量工具(例如,椭圆偏光计或反射计)的测量变得越来越有挑战性。
响应于这些挑战,已开发较复杂光学计量工具。举例来说,已开发具有多个照射角度、较短照射波长、较宽照射波长范围及从经反射信号的较完整信息获取(例如,除较常规反射率或椭圆测量信号之外还测量多个米勒(Mueller)矩阵元素)的工具。然而,这些方法尚未可靠地克服与许多先进靶标(例如,复杂3D结构、小于10nm的结构、采用不透明材料的结构)的测量及测量应用(例如,线边缘粗糙度及线宽度粗糙度测量)相关联的基本挑战。
小角度X射线散射测量(SAXS)已应用于材料表征及其它非半导体相关应用。数家公司已将示范性系统商业化,包含Xenocs SAS(www.xenocs.com)、布鲁克集团公司(www.bruker.com)及株式会社(www.rigaku.com/en)。
另外,基于SAXS的计量系统还展示有希望解决具挑战性测量应用,例如临界尺寸计量及叠对计量。特定来说,透射小角度x射线散射测量(T-SAXS)已展示有希望挑战临界尺寸及叠对应用。然而,适合于基于T-SAXS的计量的可靠硬性X射线照射源仍然在开发中。
在一些实例中,通过经典x射线管(例如旋转阳极x射线管)来提供照射光,所述经典x射线管采用固体靶标材料的高能量电子束轰击来产生x射线发射。不幸的是,由于阳极材料通过高能量电子束进行发热及蒸发,因此所产生照射为低亮度的。
在一些其它实例中,采用液体金属喷射阳极来减轻表面蒸发问题。在Zhuang及Fielden的第7,929,667号美国专利中描述示范性液体金属喷射x射线照射系统,所述美国专利的内容以全文引用的方式并入本文中。液体金属喷射有效地连续刷新阳极表面,从而减少所述表面处对X射线的吸收。然而,液体金属阳极材料仍会蒸发且形成可限制x射线源寿命的金属蒸汽。在一些实例中,金属蒸汽在真空x射线窗口上凝结,从而导致额外x射线吸收。在一些实例中,金属蒸汽扩散到阴极区域中且污染阴极,从而减少阴极寿命及系统输出。在一些实例中,金属蒸汽扩散到电子束加速区域中,从而导致高电压击穿。
在一些实例中,由通过连续波长激光(例如,激光维持等离子体)泵激的光源提供照射光。在由工作气体环绕的高压力灯泡中在比激光等离子体低的温度下产生激光维持等离子体。虽然借助激光维持等离子体获得大量辐射改进,但这些等离子体的温度一般受这些灯内的光物理及动力学过程限制。这些等离子体中的纯原子及离子发射一般被限制至比200nm长的波长。可在激光维持等离子体中针对171nm下的波长发射(例如,氙准分子发射)布置准分子发射,但这些源通常是窄频带、功率受限且辐射受限的。在171纳米下的准分子发射在低压力(例如,3巴及以下)下优化,且171nm发射的功率在高辐射所需的较高压力下极大地减弱。因此,在高压力灯泡中的简单气体混合物仅能够以足以支持高吞吐量、高分辨率计量的辐射及平均功率来维持200nm以上的波长涵盖范围。在一些实例中,采用固体电极靶标,但低重复率、电极腐蚀及大等离子体大小导致低亮度及短寿命,从而限制其用于基于x射线的半导体计量的有效性。
极紫外线光(EUV)光刻领域中的开发努力聚焦于如下光源上:以高功率电平(例如,照射器的中间焦点处的210瓦特的平均功率)发射中心位于13纳米处的窄频带辐射(例如,+/-0.1nm)。已使用激光液滴等离子体架构来开发用于EUV光刻的光源。举例来说,由CO2相干源泵激以大约100kHz的脉冲重复频率操作的氙、锡及锂液滴靶标。所实现光是高功率的(例如,照射器的中间焦点处的210瓦特的平均功率是光刻工具在13纳米下的目标)。然而,所产生辐射是相对低能量的(92.6电子伏特),其严重限制计量应用中这些照射源的利用。
期望具有用于计量应用的所需辐射及平均功率的干净、硬性的X射线照射源。
发明内容
本文中描述用于利用干净、硬性的X射线照射源的基于x射线的半导体计量的方法及系统。更具体来说,激光产生的等离子体(LPP)光源产生具有在25,000到30,000电子伏特的范围中的能量的高亮度(也就是说,大于1013个光子/(秒·mm2 .mrad2))、硬性的X射线照射。为实现此高亮度,所述LPP光源将高度聚焦、极短持续时间激光束引导到呈液态或固态的致密氙靶标。聚焦的激光脉冲与高密度氙靶标的相互作用引发等离子体。来自所述等离子体的辐射由收集光学器件收集且被引导到被测量样品。由于使用非金属靶标材料,因此所产生等离子体发射是相对干净的。因此,等离子体室及等离子体室内的光学元件由于等离子体而遭受极低水平的污染。
在一个方面中,呈液态或固态的氙靶标材料是由大小小于10微米的激光光斑以小于两皮秒的脉冲持续时间照射,使得在所述靶标材料处的激光峰值能量密度大于10^16W/cm^2。此达成从所述氙靶标材料的高效K-α产生。所产生K-α产物在氙的窄谐振K-α线处产生等离子体照射能量,其中心处于29,802电子伏特处。
在一些实施例中,液滴产生器产生液体或固体氙液滴序列,所述液体或固体氙液滴是由一或多个脉冲激光照射源照射。在一些其它实施例中,所述氙靶标材料是作为安置于低温冷却旋转鼓上的固体氙层而提供到所述激光照射光的焦点。在这些实施例中,所述低温冷却旋转鼓连续旋转以将固体氙靶标材料递送到所述激光照射光的所述焦点。
在一些实施例中,来自多个脉冲激光源的光脉冲是同时地发射的。在一些其它实施例中,来自多个脉冲激光源的光脉冲是依序发射的。在一些实施例中,来自所述脉冲激光器中的任一者的发射的波长为大约一微米或更小。在一些实施例中,每一脉冲激光器的总平均功率为大约一千瓦特或更小。
在其它方面中,等离子体室填充有维持在5托与200托之间的范围中的压力下的氙气。将所述氙气维持在此压力范围内提供适合用于氙液滴形成的环境。此外,维持在5托与200托之间的范围中的压力下的氙缓冲气体热化通过所述等离子体产生的高能氙离子及电子,包含在大约10厘米的距离内通过所述等离子体产生的基本上所有氙离子。
在另一其它方面中,所述LPP光源包含气体循环系统,所述气体循环系统将来自所述等离子体室的所蒸发氙循环回到所述氙靶标产生器。
前述内容为发明内容且因此必须含有细节的简化、概述及省略;因此,所属领域的技术人员将了解,所述发明内容仅为说明性的且不以任何方式为限制性的。在本文中所陈述的非限制性详细说明中,本文中所描述的如由权利要求书所单独定义的装置及/或过程的其它方面、发明性特征及优点将变得显而易见。
附图说明
图1是在至少一个新颖方面中图解说明包含激光产生的等离子体(LPP)光源的计量系统的简图。
图2是图解说明示范性模型构建及分析引擎的简图。
图3是产生到被测量半导体样品的硬性x射线照射光的方法的流程图。
具体实施方式
现将详细参考先前技术实例及本发明的一些实施例,附图中图解说明本发明的实例。
本文中描述用于利用干净、硬性的X射线照射源的基于x射线的半导体计量的方法及系统。更具体来说,激光产生的等离子体(LPP)光源产生具有25,000到30,000电子伏特的范围中的能量的高亮度(也就是说,大于1013个光子/(秒.mm2.mrad2)、硬性的x射线照射。为实现此高亮度,LPP光源将高度聚焦(例如,靶标上的小于10微米照射光斑)、极短持续时间(例如,小于2皮秒)激光束引导到呈液态或固态的致密氙靶标。聚焦的激光脉冲与高密度氙靶标的相互作用引发等离子体。来自等离子体的辐射由收集光学器件收集且被引导到被测量样品。由于使用非金属靶标材料,因此所产生等离子体发射是相对干净的。因此,等离子体室及等离子体室内的光学元件由于等离子体而遭受极低水平的污染。
图1在至少一个新颖方面中描绘包含激光产生的等离子体(LPP)光源110的基于x射线的计量系统100。LPP光源110包含等离子体室153、液滴产生器111以及脉冲激光照射源113A及113B。等离子体室153包含一或多个壁,该一或多个壁含有等离子体室内的缓冲气体123流。液滴产生器111将非金属馈料112的液滴序列施配到等离子体室153中。
在一个方面中,由液滴产生器111产生的氙液滴在等离子体室153中是固态或液态的。在另一方面中,非金属馈料112的每一液滴的直径小于50微米。在又另一方面中,入射于氙靶标上的激光光斑的直径小于10微米。在一些实施例中,液滴产生器111为基于商业上可用的喷墨技术的高频率流体施配器。在一个实例中,液滴产生器111以50千赫与400千赫之间的速率施配馈料112的液滴序列。
在其它方面中,提供到靶标材料的每一激发光脉冲的持续时间小于两皮秒。在一些实施例中,每一激发光脉冲的持续时间小于一皮秒。
如本文中所描述,高能量、高峰值功率、皮秒激光照射导致在靶标材料处的大于10^16W/cm^2的激光峰值能量密度。此达成从氙靶标材料的高效K-α产生(例如,大于10-5)。所产生K-α产物在氙的窄谐振K-α线处产生等离子体照射能量,其中心位于29,802电子伏特处。
如图1中所描绘,脉冲激光器113A及113B产生激发光脉冲序列。每一激发光脉冲被引导到馈料112的液滴。光束组合器147将脉冲激光器113A及113B的光输出组合以递送到等离子体室153。在一些实施例中,光束组合器147提供光束调节以进一步优化激光照射在靶标材料处的聚焦(例如,光束扩张、准直等)。激发光由照射光学器件114在极小光斑大小内聚焦到液滴上。在一些实施例,激发光以小于10微米的光斑大小聚焦到液滴上。在优选实施例中,激发光以小于5微米的光斑大小聚焦到液滴上。具有小于1.3的质量因子M2的激光光借助相对小数值孔径(NA)聚焦到小于10微米的光斑大小。因此,不需要复杂的高NA光学器件。
随着激发光的光斑大小减小,所诱导的等离子体的光斑大小减小。在优选实施例中,等离子体115的光斑大小小于10微米。
如图1中所描绘,激光照射光束通过照射窗口116引入到等离子体室153。另外,一或多个额外光学元件(例如,金属光学器件148及149)还将激光照射光束引导到靶标,但不将由等离子体115产生的x射线辐射往回反射穿过照射窗口116。x射线辐射往回反射穿过照射窗口116可能造成影响系统操作的安全风险以及照射窗口116的材料降级。在一个实施例中,最终金属光学元件149以非法向入射配置、非掠射入射配置或两者来布置以避免x射线辐射的背向反射。
在一些实施例中,来自多个脉冲激光源的光脉冲是同时发射的。在一些其它实施例中,来自多个脉冲激光源的光脉冲是依序发射的。如图1中所描绘,计算系统130将控制信号135A传递到脉冲激光器113A且将控制信号135B传递到脉冲激光器113B。在一个实例中,控制信号135A及135B致使脉冲激光器113A及113B各自同时发射激光光脉冲。在此实例中,经发射脉冲同时地经组合且作为经组合脉冲递送到靶标。通过同时地递送来自多个脉冲激光器的激光光脉冲,有效地增加提供到靶标的照射功率。在另一实例中,控制信号135A及135B致使脉冲激光器113A及113B各自及时依序发射激光光脉冲,使得在任何既定时间仅发生一个脉冲发射,且由不同脉冲激光器产生的脉冲之间的间隔为恒定的。在此实例中,经发射脉冲依序递送到靶标。通过依序递送来自多个脉冲激光器的激光光脉冲,有效地增加提供到靶标的激光照射的重复率。
如图1中所描绘,LPP源110包含两个脉冲激光器113A及113B。然而,一般来说,LPP源110可包含任何数目个脉冲激光照射源(例如,一个激光器或许多激光器)。而且,当采用多个脉冲激光源时,可依序、同时地或既依序又同时地递送脉冲激光源中的任一者的发射,从而以所要光学功率及重复率将照射光递送到靶标。举例来说,两组两个脉冲激光器可经控制使得第一组两个脉冲激光器同时地发射光且第二组两个脉冲激光器也同时地发射光,但第一组及第二组依序发射光。一般来说,提供到靶标材料的照射的重复率在从五千赫到五十千赫的范围中。
在一些实施例中,来自脉冲激光器113A及113B中的任一者的发射的波长为大约一微米或更小。一般来说,LPP 110的脉冲激光器为任何固态激光器(例如,Nd:YAG、Er:YAG、Yb:YAG、Ti:蓝宝石、Nd:钒酸盐或其它)或气体放电激光器(例如,准分子激光器)。在一些实施例中,每一脉冲激光器的总平均功率为大约一千瓦或更少。
在其它方面中,等离子体室153填充有缓冲气体123。在优选实施例中,缓冲气体123为氙气。氙气123吸收极少的通过等离子体产生的X射线辐射且对于激光产生的光学辐射为透明的。在一些实例中,对于1,000托-cm的氙气的路径长度,氙气123吸收小于10-5的通过等离子体115产生的X射线辐射。此外,氙为不会在x射线滤波器117上凝结的惰性气体。
在另一其它方面中,氙缓冲气体维持在5托与200托之间范围中的压力下。将氙气维持在此压力范围内为氙液滴形成提供适合环境。此外,维持在5托与200托之间范围中的压力下的氙缓冲气体热化通过等离子体115产生的高能氙离子及电子,包含在距等离子体115大约10厘米或更小的距离内通过等离子体115产生的基本上所有氙离子。
如果氙缓冲气体的压力过低,那么液体氙的蒸发阻止通过液滴产生器111发射的氙流的分解成离散液滴。然而,如果氙缓冲气体的压力过低,那么氙缓冲气体不能使通过等离子体115产生的所有高能氙离子停止在大约10厘米或更小的距离处。
例如激光照射窗口116、聚光器118及x射线滤波器117的光学元件对来自等离子体115的材料沉积是敏感的。在一些实施例中,等离子体115与等离子体室153的光学元件(例如,窗口116及117以及聚光器118)之间的距离为至少十厘米。以此方式,氙气123保护照射窗口116、聚光器118及x射线滤波器117免受由等离子体115产生的材料的污染。
如图1中所描绘,LPP光源110包含液滴产生器,该液滴产生器产生通过脉冲激光照射源113A及113B照射的液体或固体氙液滴序列。在一些其它实施例中,氙靶标材料是作为安置于低温冷却旋转鼓上的固体氙层(也就是说,冻结氙层)而提供到激光照射光的焦点。以此方式,在鼓的表面处产生等离子体,且收集经发射X射线辐射以用于半导体计量。在这些实施例中,低温冷却鼓连续地旋转以将固体氙靶标材料递送到激光照射光的焦点。如上文中所描述,入射于氙靶标上的激光光斑的直径小于10微米。
激发光脉冲与氙靶标(例如,氙液滴或氙薄片)的相互作用致使氙离子化以形成以极高亮度发射照射光124的等离子体115。在优选实施例中,等离子体115的亮度大于1014个光子/(秒).(mm2).(mrad2)。照射光包括具有从约25,000eV到约30,000eV的范围中的能量的光。
照射光124由聚光器118收集且聚焦到被测量样品150上。在图1中所描绘的实施例中,聚光器118聚集由等离子体115发射的照射光124且引导照射光124穿过窗口117。在一些实施例中,窗口117为x射线滤波器,该x射线滤波器经配置以对所关注波长的范围内的x射线辐射为透明的,且基本上吸收所关注波长的范围外的辐射。
聚光器120可为任何适合形状以聚集从等离子体115产生的照射光。适合实例包含椭圆形聚光器及具有多个表面廓形的聚光器。在2010年4月27日颁于科雷(KLA-Tencor)技术公司的第7,705,331号美国专利中描述用于收集从等离子体发射的光的示范性技术,所述美国专利的全文以引用方式并入本文中。
在图1中所描绘的实施例中,照射光124经由窗口117退出等离子体室153且通过镜子125朝向样品150经重新引导。另外,还采用照射光学器件126来进一步将在测量区129内入射于样品150上的照射光124塑形。照射光学器件126可包含空心光学均质器或反射光管以将照射光高效地透射到样品。在一些其它实施例中,可采用照射与收集物镜。在这些实施例中,照射光学器件126将照射光透射到物镜。
在测量区129内照射样品150致使光从样品150散射。经散射光128由检测器127检测。检测器127产生指示入射于检测器127的作用区上的经散射光的信号151。检测器127将信号151传递到计算系统130以供分析。计算系统130至少部分基于所获取信号151而确定样品150的性质。
在另一其它方面中,计算系统130经配置以产生样品的所测量结构的结构模型(例如,几何模型、材料模型或经组合几何与材料模型);产生x射线散射测量响应模型,所述模型包含来自结构模型的至少一个几何参数;且通过借助x射线散射测量响应模型执行x射线散射测量数据的拟合分析而解析至少一个样品参数值152。使用分析引擎来将所模拟x射线散射测量信号与所测量数据进行比较,借此允许确定样本的几何以及材料性质(例如电子密度)。在图1中所描绘的实施例中,计算系统130被配置为如本文中所描述的经配置以实施模型构建与分析功能性的模型构建与分析引擎。
图2是图解说明通过计算系统130实施的示范性模型构建与分析引擎101的图式。如图2中所描绘,模型构建与分析引擎101包含产生样品的所测量结构的结构模型103的结构模型构建模块102。在一些实施例中,结构模型103还包含样品的材料性质。结构模型103是作为x射线散射测量响应函数构建模块104的输入经接收的。X射线散射测量响应函数构建模块104至少部分基于结构模型103而产生全束x射线散射测量响应函数模型105。在一些实例中,x射线散射测量响应函数模型105基于x射线形式因子。
其中F为形式因子,q为散射向量,且ρ(r)为如方程序(1)中所描述的球面坐标中的样品的电子密度。然后,由方程序(2)给出x射线散射强度。
X射线散射测量响应函数模型105是作为拟合分析模块106的输入经接收的。拟合分析模块106将经模型化x射线散射测量响应与对应所测量数据进行比较以确定样品的几何以及材料性质。
在一些实例中,通过最小化卡方值实现经模型化数据到实验数据的拟合。举例来说,针对x射线散射测量,可将卡方值定义为
其中,为在“通道”j中的所测量x射线散射测量信号151,其中指标j描述一组系统参数,例如衍射级、能量、角坐标等。/>为“通道”j的经模型化x射线散射测量信号Sj,所述信号是针对一组结构(靶标)参数v1,…vL而评估,其中这些参数描述几何(CD、侧壁角度、叠对等)及材料(电子密度等)。σSAXS,j为与第j个通道相关联的不确定因素。NSAXS为x射线计量中的通道的总数目。L为表征计量靶标的参数的数目。
方程序(3)假定与不同通道相关联的不确定因素为不相关的。在其中与不同通道相关联的不确定因素相关的实例中,可计算不确定因素之间的协方差。在这些实例中,可将用于全束x射线散射测量的卡方值表达为
其中VSAXS为SAXS通道不确定因素的协方差矩阵,且T表示转置。
在一些实例中,拟合分析模块106通过对x射线散射测量数据151与x射线散射测量响应模型105执行拟合分析而解析至少一个样品参数值152。在一些实例中,优化在图1中所描绘的实施例中,将所确定值152存储于存储器190中。
如上文中所描述,通过最小化卡方值而实现x射线散射测量数据的拟合。然而,一般来说,全束x射线散射测量数据的拟合可通过其它函数来实现。
x射线散射测量计量数据的拟合对于提供对所关注几何及/或材料参数的敏感度的任一类型的x射线散射测量技术为有利的。样品参数可为确定性的(例如,CD、SWA、叠对等)或统计性的(例如,侧壁粗糙度的rms高度、粗糙度相关长度等),只要使用描述样品与x射线散射测量光束的相互作用的恰当模型即可。
一般来说,计算系统130经配置以采用即时临界尺寸(RTCD)实时存取模型参数,或其可存取预计算模型的库以确定与样品150相关联的至少一个样品参数值的值。一般来说,可使用某种形式的CD引擎来评估样品的经指派CD参数与和所测量样品相关联的CD参数之间的差。在2010年11月2日颁于科雷(KLA-Tencor)公司的第7,826,071号美国专利中描述用于计算样品参数值的示范性方法及系统,所述美国专利的全文以引用方式并入本文中。
在一些实例中,模型构建与分析引擎101通过侧馈分析、前馈分析及并行分析的任一组合而改进所测量参数的准确度。侧馈分析是指采取关于同一样品的不同区的多个数据集且将从第一数据集确定的共同参数传递到第二数据集上以供分析。前馈分析是指采取关于不同样品的数据集且使用逐步拷贝精确参数前馈方法将共同参数前向传递到后续分析。并行分析是指将非线性拟合方法并行或同时应用于多个数据集,其中在拟合期间耦合至少一个共同参数。
多重工具与结构分析是指基于回归、查找表(也就是说“库”匹配)或多个数据集的另一拟合程序的前馈、侧馈或并行分析。在2009年1月13日颁于科雷(KLA-Tencor)公司的第7,478,019号美国专利中描述用于多重工具与结构分析的示范性方法及系统,所述美国专利的全文以引用方式并入本文中。
在一个其它方面中,计量工具100包含经配置以实施如本文中所描述的光束控制功能性的计算系统(例如,计算系统130)。在图1中所描绘的实施例中,计算系统130配置为可操作以控制入射照射光束124的照射性质(例如强度、发散度、光斑大小、偏光、光谱及定位)中的任一者的光束控制器。
如图1中所图解说明,计算系统130通信地耦合到检测器127。计算系统130经配置以从检测器127接收测量数据151。在一个实例中,测量数据151包含样品的所测量响应(也就是说,衍射级的强度)的指示。基于检测器127的表面上的所测量响应的分布,由计算系统130确定照射光束124在样品150上的入射位置及区。在一个实例中,由计算系统130应用图案辨识技术以基于测量数据151而确定照射光束124在样品150上的入射位置及区。在一些实例中,计算系统130将命令信号(未展示)传递到照射光学器件126以选择所要照射光束能量且将照射光束124重新引导并重新塑形,使得入射照射光束124到达相对于样品150的所要位置及角度定向。在一些其它实例中,计算系统130将命令信号137传递到晶片定位系统140以将样品150定位并定向,使得入射照射光束124到达相对于样品150的所要位置及角度定向。在一些其它实例中,计算系统130将命令信号135A及135B以及136传递到LPP光源110以选择所要照射波长且将照射光束124重新引导并重新塑形,使得入射照射光束124到达相对于样品150的所要位置及角度定向。
在一些实施例中,期望以不同定向执行测量。此通过扩展可用于分析的数据集的数目及多样性以包含各种大角度平面外定向而增加所测量参数的精度及准确度且减少参数当中的相关性。测量具有较深较多样数据集的样品参数还减少参数当中的相关性且改进测量准确度。举例来说,在法向定向中,x射线散射测量能够解析特征的临界尺寸,但在很大程度上对特征的侧壁角度及高度不敏感。然而,通过在宽广平面外角度位置范围内收集测量数据,可解析特征的侧壁角度及高度。
如图1中所图解说明,计量工具100包含经配置以相对于散射计在大的平面外角度定向范围内既对准样品150还定向样品150的样品定位系统140。换句话说,样品定位系统140经配置以使样品150围绕与样品150的表面平面内对准的一或多个旋转轴在大角度范围内旋转。在一些实施例中,样品定位系统140经配置以使样品150围绕与样品150的表面平面内对准的一或多个旋转轴在至少90度的范围内旋转。在一些实施例中,样品定位系统经配置以使样品150围绕与样品150的表面平面内对准的一或多个旋转轴在至少60度的范围内旋转。在一些其它实施例中,样品定位系统140经配置以使样品150围绕与样品101的表面平面内对准的一或多个旋转轴在至少1度的范围内旋转。以此方式,由计量系统100在样品150的表面上的任何数目个位置上方收集样品150的经角度分辨测量。在一个实例中,计算系统130将指示样品150的所要位置的命令信号137传递到样品定位系统140的运动控制器145。作为响应,运动控制器145产生命令信号到样品定位系统140的各种致动器以实现样品150的所要定位。
通过非限制性实例方式,如图1中所图解说明,样品定位系统140包含用以将样品150固定地附接到样品定位系统140的边缘夹持卡盘141。旋转致动器142经配置以使边缘夹持卡盘141及所附接样品150相对于周边框架143旋转。在所描绘实施例中,旋转致动器142经配置以使样品150围绕图1中所图解说明的坐标系146的x轴旋转。如图1中所描绘,样品150围绕z轴的旋转为样品150的平面内旋转。围绕x轴及y轴(未展示)的旋转为样品150的使样品的表面相对于计量系统100的计量元件有效地倾斜的平面外旋转。尽管未图解说明,但第二旋转致动器经配置以使样品150围绕y轴旋转。线性致动器144经配置以使周边框架143在x方向上平移。另一线性致动器(未展示)经配置以使周边框架143在y方向上平移。以此方式,样品150的表面上的每一位置均可用于在平面外角度位置的范围内的测量。举例来说,在一个实施例中,在相对于样品150的法向定向的-45度到+45度的范围内跨过数个角度增量测量样品150的位置。
一般来说,样品定位系统140可包含用以实现所要线性及角度定位性能的机械元件的任一适合组合,包含但不限于测角置物台、六脚置物台、有角度置物台及线性置物台。
在另一其它方面中,LPP光源110包含气体循环系统120,气体循环系统120将所蒸发的氙循环回到氙靶标产生器(例如,滴液产生器111)。如图1中所描绘,气体循环系统120接收来自等离子体室153的氙气(例如,所蒸发氙)流119。由于氙缓冲气体123及所蒸发氙(也就是说,在由等离子体115加热之后)是不可区分的,因此流119包含用作缓冲气体的氙及由等离子体115蒸发的氙。气体循环系统120回收氙气且将所回收氙121输送到液滴产生器111以待重新使用。另外,气体循环系统120还回收氙气且将所回收氙122输送回到等离子体室123中。
如图1中所描绘,系统100包含聚焦在液滴上以产生等离子体115的两个激光器。然而,系统100可包含一个激光器或两个以上激光器。每一激光器可不同地或相同地配置。举例来说,激光器可经配置以产生具有可在相同或不同时间被引导到液滴的不同特性的光。在另一实例中,激光器可经配置以从相同或不同方向将光引导到液滴。在上文提及的第7,705,331号美国专利中描述用于将激发光引导到靶标的示范性技术,所述美国专利的全文以参考方式并入本文中。
图1在一个实施例中描绘透射小角度x射线散射测量(SAXS)系统。然而,如本文中所描述的采用LPP光源的其它基于x射线的计量系统可涵盖在本专利文件的范围内。在一些实例中,基于相干衍射成像(CDI)的计量系统包含如本文中所描述的LPP光源。在其它实例中,可采用LPP光源作为成像x射线计量系统的一部分。
在一些实施例中,成像物镜将所收集光引导到检测器。在一些实施例中,由光源110产生的照射光通过照射光学器件126透射到物镜。在一些实施例中,照射光学器件126及成像物镜可主要由相同元件组成并且为基本上相同的。在一些其它实施例中,由光源110产生的照射光通过照射光学器件126直接透射到样品150,而不首先经引导穿过成像物镜的元件。响应于入射于样品150上的照射光,来自样品150的光通过成像物镜收集、放大且引导到检测器。
在一些实施例中,采用设计有充足视场的成像物镜。穿过物镜的光路径应优选地包含最小数目个与反射表面的相互作用以最小化与每一相互作用相关联的吸收损失。在2008年4月1日颁于科雷(KLA-Tencor)技术公司的第7,351,980号美国专利中描述用于具有使用四镜、四通设计的所有反射组件的物镜的示范性设计,所述美国专利的全文以引用方式并入本文中。另外,还在第2010/0188738A1号美国专利公开案中描述用于具有使用四镜、六通设计的所有反射组件的物镜的示范性设计。
照射方向影响计量系统(例如计量系统100)解析晶片上的结构的方式。在一些实施例中,所论述的光学配置可在出于照射目的而具体优化的反射元件中的一或多者中具有非均匀光学性质。举例来说,涂层可经优化以由于照射路径中的高曝光能量而增加涂层耐久性。
图3图解说明根据至少一个发明性方面的适合用于产生用于基于x射线的计量的硬性x射线照射光的方法200。应认识到,可经由存储为程序指令134的一部分且由计算系统130的一或多个处理器执行的预编程算法来实施方法200的数据处理元件。虽然在图1中所描绘的系统100的上下文中呈现以下说明,但在本文中应认识到,系统100的特定结构方面不表示限制且应解释为仅具说明性。
在框201中,在等离子体室内提供氙气流。
在框202中,在等离子体室中靶标位置处提供呈固态或液态的氙靶标序列。
在框203中,产生被引导到等离子体室中的靶标位置的激发光脉冲序列。所述激发光脉冲序列中的每一脉冲具有小于两皮秒的持续时间。所述激发光脉冲序列中的脉冲与所述氙靶标序列中的对应氙靶标的相互作用致使氙靶标离子化以形成等离子体,所述等离子体发射具有在大约25,000电子伏特到大约30,000电子伏特的范围中的能量的一定量的X射线照射光。所产生X射线照射光能够用于照射被测量半导体样品。
在框204中,检测响应于X射线照射光而从样品散射的X射线辐射的量。
在框205中,基于所检测到的X射线辐射的量来确定被测量样品的至少一个所关注参数的值。
应认识到,本发明通篇所描述的各种步骤可由单计算机系统130或(替代地)多计算机系统130实施。此外,系统100的不同子系统(例如样品定位系统140、气体循环系统120、滴液产生器111、激光器113及检测器127)可包含适合用于实施本文中所描述的步骤的至少一部分的计算机系统。因此,前述说明不应解释为对本发明的限制而应解释为仅为图解说明。此外,一或多个计算系统130可经配置以执行本文中所描述的方法实施例中的任一者的任一(任何)其它步骤。
另外,计算机系统130还可以本技术中已知的任何方式通信地耦合到样品定位系统140、气体循环系统120、液滴产生器111、激光器113及检测器127。举例来说,一或多个计算系统130可分别耦合到与样品定位系统140、气体循环系统120、液滴产生器111、激光器113及检测器127相关联的计算系统。在另一实例中,样品定位系统140、气体循环系统120、液滴产生器111、激光器113及检测器127中的任一者可由耦合到计算机系统130的单计算机系统直接控制。
系统100的计算机系统130可经配置以由可包含有线及/或无线部分的传输媒体从系统的子系统(例如,样品定位系统140、气体循环系统120、液滴产生器111、激光器113及检测器127等)接收及/或获取数据或信息。以此方式,传输媒体可用作计算机系统130与系统100的其它子系统之间的数据链路。
系统100的计算机系统130可经配置以由可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,模型化输入、模型化结果等)。以此方式,传输媒体可用作计算机系统130与其它系统(例如,存储器板上系统100、外部存储器或外部系统)之间的数据链路。举例来说,计算系统130可经配置以经由数据链路从存储媒体(也就是说,存储器132)接收测量数据(例如,信号151)。举例来说,使用检测器127获得的测量结果可存储于永久或半永久存储器装置(例如,存储器132)中。就此来说,测量结果可从板上存储器或从外部存储器系统导入。此外,计算机系统130可经由传输媒体将数据发送到其它系统。例如,由计算机系统130确定的参数值152可存储于永久或半永久存储器装置(例如,存储器190)中。就此来说,测量结果可导出到另一系统。
计算系统130可包含但不限于个人计算机系统、大型计算机系统、工作站、图像计算机、并行处理器或此项技术中已知的任何其它装置。一般来说,术语“计算系统”可广义地定义为囊括具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
实施例如本文中所描述的那些方法的方法的程序指令134可经由传输媒体(例如导线、电缆或无线传输链路)传输。举例来说,如图1中所图解说明,存储于存储器132中的程序指令经由总线133传输到处理器131。程序指令134存储于计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘,或磁带。
如本文中所描述的计量技术可用于确定半导体结构的特性。示范性结构包含但不限于FinFET、低维结构(例如纳米线或石墨烯)、小于10nm的结构、薄膜、光刻结构、穿硅通孔(TSV)、存储器结构(例如DRAM、DRAM 4F2、FLASH)及高纵横比存储器结构(例如3D-NAND结构)。示范性结构特性包含但不限于几何参数(例如线边缘粗糙度、线宽度粗糙度、孔大小、孔密度、侧壁角度、轮廓、膜厚度、临界尺寸、节距)及材料参数(例如电子密度、晶粒结构、形态、定向、应力、张力、元素识别及材料组合物)。
在一些实施例中,本文中所描述的技术可实施为制作过程工具的一部分。制作过程工具的实例包含但不限于光刻曝光工具、膜沉积工具、植入工具及蚀刻工具。以此方式,使用温度测量的结果来控制制作过程。
各种实施例在本文中是针对可用于处理样品的半导体处理系统(例如,检验系统、计量系统或光刻系统)而描述。术语“样品”在本文中用于是指晶片、光罩或可由此项技术中已知的构建处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中所使用,术语“样品”一般是指晶片。然而,应理解,本文中所描述的方法及系统可用于提供此技术中已知的任何其它样品的照射。
如本文中所使用,术语“晶片"一般是指由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓及磷化铟。通常可存在此些衬底及/或在半导体制作设施中处理此些衬底。在一些情形中,晶片可仅包含衬底(也就是说,裸晶片)。替代地,晶片可包含形成在衬底上的一个或多个不同材料层。形成于晶片上的一或多个层可为“经图案化”或“未图案化”的。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为在光罩制作过程的任何阶段的光罩或者可或可不释放以供在半导体制作设施中使用的完成光罩。光罩或“掩模”一般定义为在其上形成有基本上不透明区域且配置成一图案的基本上透明衬底。举例来说,衬底可包含玻璃材料,例如石英。光罩可在光刻过程的曝光步骤期间安置于抗蚀剂覆盖的晶片上面,使得可将光罩上的图案转印到抗蚀剂。
形成于晶片上的一或多个层可为经图案化或未图案化的。举例来说,晶片可包含各自具有可重复图案特征的多个裸片。此些材料层的形成及处理可最终产生完成装置。可在晶片上形成许多不同类型的装置,且如本文中所使用的术语晶片打算囊括其上制作有本技术中已知的任一类型的装置的晶片。
在一或多个示范性实施例中,可在硬件、软件、固件或其任一组合中实施所描述的功能。如果在软件中实施,那么功能可存储于计算机可读媒体上或作为计算机可读媒体上的一或多个指令或代码而传输。计算机可读媒体包含计算机存储媒体及包含促进将计算机程序从一个位置传送到另一位置的任一媒体的通信媒体两者。存储媒体可为可由一般用途或特殊用途计算机存取的任何可用媒体。通过实例而非限制方式,此些计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储器、磁盘存储器或其它磁性存储装置或可用于载运或存储呈指令或数据结构的形式的所要程序代码构件且可由通用或专用计算机或者通用或专用处理器存取的任何其它媒体。此外,任何连接恰当地称为计算机可读媒体。举例来说,如果使用同轴缆线、光纤缆线、双绞线、数字用户线(DSL)或例如红外线、无线电及微波等无线技术从网站、服务器或其它远程源传输软件,那么同轴缆线、光纤缆线、双绞线、DSL或例如红外线、无线电及微波等无线技术均包含于媒体的定义中。如本文中所使用,磁盘及光盘包含压缩光盘(CD)、激光光盘、光学光盘、数字多功能光盘(DVD)、软盘及蓝光盘,其中磁盘通常以磁性方式再现数据,而光盘借助激光器以光学方式再现数据。以上各项的组合还应包含于计算机可读取媒体的范围内。
尽管在上文出于指导性目的而描述某些特定实施例,但本专利文件的教示内容具有一般适用性且不限于上文所描述的特定实施例。因此,可在不违背如权利要求书中所陈述的本发明的范围的情况下实践对所描述实施例的各种特征的各种修改、改动及组合。

Claims (20)

1.一种激光产生的等离子体光源,其包括:
等离子体室,其包含所述等离子体室内的氙气流;
氙靶标产生器,其在所述等离子体室中靶标位置处提供呈固态或液态的氙靶标序列;及
一或多个脉冲激光源,其产生被引导到所述等离子体室中的所述靶标位置的激发光脉冲序列,其中所述激发光脉冲序列中的每一脉冲具有小于两皮秒的持续时间,其中所述激发光脉冲序列中的脉冲与所述氙靶标序列中的对应氙靶标的相互作用致使所述氙靶标离子化以形成等离子体,所述等离子体发射具有在大约25,000电子伏特到大约30,000电子伏特的范围中的能量的一定量的X射线照射光,其中所述X射线照射光能够用于照射被测量半导体样品。
2.根据权利要求1所述的激光产生的等离子体光源,其中所述氙靶标产生器包括:
液滴产生器,其将呈固态或液态的氙液滴序列施配到所述等离子体室中。
3.根据权利要求1所述的激光产生的等离子体光源,其中所述氙靶标产生器包括:
安置于所述等离子体室中的低温冷却鼓,所述低温冷却鼓具有安置在所述低温冷却鼓的面向外表面上在每一激发光脉冲的焦点处的固体氙材料层。
4.根据权利要求1所述的激光产生的等离子体光源,其中所述一或多个脉冲激光源包含同时地发射激发光脉冲的至少两个脉冲激光源。
5.根据权利要求1所述的激光产生的等离子体光源,其中所述一或多个脉冲激光源包含依序发射激发光脉冲的至少两个脉冲激光源。
6.根据权利要求1所述的激光产生的等离子体光源,其进一步包括:
气体循环系统,其经配置以回收来自所述等离子体室的一定量的氙气且将第一量的所述所回收氙气提供到所述氙靶标产生器。
7.根据权利要求6所述的激光产生的等离子体光源,所述气体循环系统进一步经配置以将第二量的所述所回收氙气提供到所述等离子体室。
8.根据权利要求6所述的激光产生的等离子体光源,其中所述一定量的所回收氙气包含一定量的所蒸发氙靶标材料。
9.根据权利要求1所述的激光产生的等离子体光源,其中自所述等离子体室的窗口到所述等离子体的距离为至少10厘米。
10.根据权利要求1所述的激光产生的等离子体光源,其中馈料的液滴序列中的每一者的直径小于50微米。
11.根据权利要求1所述的激光产生的等离子体光源,其中所述等离子体的亮度大于1014个光子/(秒).(mm2).(mrad2)。
12.根据权利要求1所述的激光产生的等离子体光源,其中所述等离子体室内的所述氙气的压力在5托与200托之间的范围内。
13.根据权利要求1所述的激光产生的等离子体光源,其进一步包括:
聚光器,其聚集由所述等离子体发射的一定量的所述照射光且引导所述一定量的照射光穿过所述等离子体室的X射线窗口。
14.一种计量系统,其包括:
激光产生的等离子体光源,其包括:
等离子体室,其包含在所述等离子体室内的氙气流;
氙靶标产生器,其在所述等离子体室中靶标位置处提供呈固态或液态的氙靶标序列;
一或多个脉冲激光源,其产生被引导到所述等离子体室中的所述靶标位置的激发光脉冲序列,其中所述激发光脉冲序列中的每一脉冲具有小于两皮秒的持续时间,其中所述激发光脉冲序列中的脉冲与所述氙靶标序列中的对应氙靶标的相互作用致使所述氙靶标离子化以形成发射一定量的X射线照射光的等离子体;及
聚光器,其聚集由所述等离子体发射的所述X射线照射光且引导所述X射线照射光朝向被测量样品;
x射线检测器,其检测响应于入射于所述样品上的所述X射线照射光而从所述样品散射的X射线辐射的量;及
计算系统,其经配置以基于所述所检测的X射线辐射的量而确定与所述样品的模型相关联的所关注参数的值。
15.根据权利要求14所述的计量系统,其中所述计量系统被配置为透射小角度x射线散射测量系统。
16.根据权利要求14所述的计量系统,其中自所述等离子体室的窗口到所述等离子体的距离为至少10厘米。
17.根据权利要求14所述的计量系统,其中所述等离子体室内的所述氙气的压力在5托与200托之间的范围内。
18.根据权利要求14所述的计量系统,其进一步包括:
气体循环系统,其经配置以回收来自所述等离子体室的一定量的氙气且将第一量的所述所回收氙气提供到所述氙靶标产生器。
19.一种方法,其包括:
在等离子体室内提供氙气流;
在所述等离子体室中靶标位置处提供呈固态或液态的氙靶标序列;
产生被引导到所述等离子体室中的所述靶标位置的激发光脉冲序列,其中所述激发光脉冲序列中的每一脉冲具有小于两皮秒的持续时间,其中所述激发光脉冲序列中的脉冲与所述氙靶标序列中的对应氙靶标的相互作用致使所述氙靶标离子化以形成等离子体,所述等离子体发射具有在大约25,000电子伏特到大约30,000电子伏特的范围中的能量的一定量的X射线照射光,其中所述X射线照射光能够用于照射被测量半导体样品;
检测响应于所述X射线照射光而从所述样品散射的X射线辐射的量;及
基于所述所检测X射线辐射的量而确定所述样品的至少一个所关注参数的值。
20.根据权利要求19所述的方法,其进一步包括:
回收来自所述等离子体室的一定量的氙气;及
将第一量的所述所回收氙气提供到氙靶标产生器,所述氙靶标产生器提供所述氙靶标序列。
CN201880056012.2A 2017-08-30 2018-08-29 用于基于x射线的计量的明亮且干净的x射线源 Active CN111052872B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762551785P 2017-08-30 2017-08-30
US62/551,785 2017-08-30
US16/112,762 US11317500B2 (en) 2017-08-30 2018-08-26 Bright and clean x-ray source for x-ray based metrology
US16/112,762 2018-08-26
PCT/US2018/048530 WO2019046417A1 (en) 2017-08-30 2018-08-29 X-RAY SOURCE LUMINOUS AND CLEAN FOR X-RAY METROLOGY

Publications (2)

Publication Number Publication Date
CN111052872A CN111052872A (zh) 2020-04-21
CN111052872B true CN111052872B (zh) 2023-09-26

Family

ID=65435884

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880056012.2A Active CN111052872B (zh) 2017-08-30 2018-08-29 用于基于x射线的计量的明亮且干净的x射线源

Country Status (7)

Country Link
US (1) US11317500B2 (zh)
EP (1) EP3653024A4 (zh)
JP (1) JP7284746B2 (zh)
KR (1) KR102606553B1 (zh)
CN (1) CN111052872B (zh)
TW (1) TWI788406B (zh)
WO (1) WO2019046417A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
EP3923078A1 (en) * 2020-06-10 2021-12-15 ASML Netherlands B.V. Heigth measurement method and height measurement system
WO2022169631A2 (en) * 2021-01-25 2022-08-11 Bnnt, Llc Xenon-enhanced, ammonia borane filled boron nitride nanotube fusion targets
CN113376190B (zh) * 2021-06-03 2022-12-02 中国科学院高能物理研究所 光阑及光学装置
WO2024050413A1 (en) * 2022-08-30 2024-03-07 Research Instruments Corporation Picosecond laser-driven plasma x-ray source

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5606588A (en) * 1995-07-28 1997-02-25 The Regents Of The University Of Michigan Method and apparatus for generating laser plasma x-rays
US5930331A (en) * 1989-03-22 1999-07-27 Rentzepis; Peter M. Compact high-intensity pulsed x-ray source, particularly for lithography

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
JPH09320794A (ja) * 1996-05-27 1997-12-12 Nikon Corp X線発生装置
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US6711233B2 (en) * 2000-07-28 2004-03-23 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6760406B2 (en) * 2000-10-13 2004-07-06 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
SE520087C2 (sv) * 2000-10-13 2003-05-20 Jettec Ab Förfarande och anordning för alstring av röntgen- eller EUV- strålning samt användning av den
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7491954B2 (en) 2006-10-13 2009-02-17 Cymer, Inc. Drive laser delivery systems for EUV light source
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP3728495B2 (ja) 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 多層膜マスク欠陥検査方法及び装置
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
JP2004103773A (ja) * 2002-09-09 2004-04-02 Nikon Corp X線発生装置、x線露光装置及びx線フィルター
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
WO2005069451A1 (en) * 2004-01-07 2005-07-28 The Regents Of The University Of Michigan Ultra-short wavelength x-ray system
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
DE102004028943B4 (de) * 2004-06-11 2006-10-12 Xtreme Technologies Gmbh Vorrichtung zur zeitlich stabilen Erzeugung von EUV-Strahlung mittels eines laserinduzierten Plasmas
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP5301165B2 (ja) * 2005-02-25 2013-09-25 サイマー インコーポレイテッド レーザ生成プラズマeuv光源
US7351980B2 (en) 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
DE102006017904B4 (de) 2006-04-13 2008-07-03 Xtreme Technologies Gmbh Anordnung zur Erzeugung von extrem ultravioletter Strahlung aus einem energiestrahlerzeugten Plasma mit hoher Konversionseffizienz und minimaler Kontamination
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
JP5388018B2 (ja) 2007-05-07 2014-01-15 独立行政法人日本原子力研究開発機構 レーザー駆動の小型・高コントラスト・コヒーレントx線発生装置及びその発生方法
US7917241B2 (en) 2007-08-01 2011-03-29 Tel Epion Inc. Method and system for increasing throughput during location specific processing of a plurality of substrates
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US8519366B2 (en) * 2008-08-06 2013-08-27 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
DE102008049589A1 (de) 2008-09-30 2010-04-08 Carl Zeiss Smt Ag Optische Abbildungseinrichtung und Abbildungsverfahren für die Mikroskopie
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5580032B2 (ja) 2008-12-26 2014-08-27 ギガフォトン株式会社 極端紫外光光源装置
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP5748748B2 (ja) 2009-06-19 2015-07-15 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 極紫外線検査システム
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8368039B2 (en) 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US20120050706A1 (en) * 2010-08-30 2012-03-01 Media Lario S.R.L Source-collector module with GIC mirror and xenon ice EUV LPP target system
US8633459B2 (en) 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
JP6121414B2 (ja) 2012-06-22 2017-04-26 ギガフォトン株式会社 極端紫外光生成システム
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9693439B1 (en) 2013-06-20 2017-06-27 Kla-Tencor Corporation High brightness liquid droplet X-ray source for semiconductor metrology
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9570265B1 (en) 2013-12-05 2017-02-14 Sigray, Inc. X-ray fluorescence system with high flux and high flux density
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US20150285749A1 (en) 2014-04-03 2015-10-08 Massachusetts Institute Of Technology Compact X-Ray Source for CD-SAXS
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9506871B1 (en) 2014-05-25 2016-11-29 Kla-Tencor Corporation Pulsed laser induced plasma light source
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10217625B2 (en) 2015-03-11 2019-02-26 Kla-Tencor Corporation Continuous-wave laser-sustained plasma illumination source
CN107924118B (zh) * 2015-08-12 2022-08-09 Asml荷兰有限公司 量测方法、辐射源、量测设备及器件制造方法
NL2017510A (en) 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
US10880979B2 (en) 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
WO2016131069A2 (en) * 2015-12-11 2016-08-18 Johnson Kenneth Carlisle Euv light source with spectral purity filter and power recycling
CN109219780A (zh) * 2016-05-03 2019-01-15 美特瑞克斯实业公司 热电设备和系统
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930331A (en) * 1989-03-22 1999-07-27 Rentzepis; Peter M. Compact high-intensity pulsed x-ray source, particularly for lithography
US5606588A (en) * 1995-07-28 1997-02-25 The Regents Of The University Of Michigan Method and apparatus for generating laser plasma x-rays

Also Published As

Publication number Publication date
US11317500B2 (en) 2022-04-26
TW201921816A (zh) 2019-06-01
WO2019046417A1 (en) 2019-03-07
TWI788406B (zh) 2023-01-01
KR20200037419A (ko) 2020-04-08
US20190069385A1 (en) 2019-02-28
JP7284746B2 (ja) 2023-05-31
CN111052872A (zh) 2020-04-21
EP3653024A4 (en) 2021-07-14
JP2020535391A (ja) 2020-12-03
EP3653024A1 (en) 2020-05-20
KR102606553B1 (ko) 2023-11-24

Similar Documents

Publication Publication Date Title
JP7482193B2 (ja) 広帯域レーザ産生プラズマイルミネータを有するx線計量システム及び方法
CN111052872B (zh) 用于基于x射线的计量的明亮且干净的x射线源
US10748736B2 (en) Liquid metal rotating anode X-ray source for semiconductor metrology
TW201917348A (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
US11259394B2 (en) Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) Laser produced plasma illuminator with low atomic number cryogenic target
US11719652B2 (en) Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US10012606B1 (en) X-ray based metrology with primary and secondary illumination sources
KR102684457B1 (ko) 낮은 원자 번호의 극저온 타깃을 가진 레이저 생성 플라스마 조명기
KR102684458B1 (ko) 액체 시트 제트 타겟을 이용한 레이저 생성 플라즈마 조명기

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant