JP6821700B2 - 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット - Google Patents

小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット Download PDF

Info

Publication number
JP6821700B2
JP6821700B2 JP2018555477A JP2018555477A JP6821700B2 JP 6821700 B2 JP6821700 B2 JP 6821700B2 JP 2018555477 A JP2018555477 A JP 2018555477A JP 2018555477 A JP2018555477 A JP 2018555477A JP 6821700 B2 JP6821700 B2 JP 6821700B2
Authority
JP
Japan
Prior art keywords
beam shaping
sample
ray
weighing system
slit mechanism
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018555477A
Other languages
English (en)
Other versions
JP2019519759A (ja
Inventor
アレクサンダー バイカノブ
アレクサンダー バイカノブ
ニコライ アーテミーヴ
ニコライ アーテミーヴ
ジョセフ ダイアゴロ
ジョセフ ダイアゴロ
ジョン ビアテラ
ジョン ビアテラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019519759A publication Critical patent/JP2019519759A/ja
Application granted granted Critical
Publication of JP6821700B2 publication Critical patent/JP6821700B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/02Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diaphragms, collimators
    • G21K1/04Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diaphragms, collimators using variable diaphragms, shutters, choppers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K7/00Gamma- or X-ray microscopes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/309Accessories, mechanical or electrical features support of sample holder
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/316Accessories, mechanical or electrical features collimators

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Optics & Photonics (AREA)
  • Mechanical Optical Scanning Systems (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Description

記載されている諸実施形態はX線計量システム及び方法、より具体的にはその方法及びシステムにおける計測正確性の改善に関する。
(関連出願への相互参照)
本特許出願は2016年4月22日付米国暫定特許出願第62/326648号に基づき米国特許法第119条の規定による優先権を主張するものであるので、この参照を以てその主題の全容を本願に繰り入れることにする。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を標本に適用することで製造される。それら半導体デバイスの諸フィーチャ(外形特徴)及び構造階層群はそれら処理工程により形成される。例えばリソグラフィは、就中、半導体ウェハ上でのパターン生成を孕む半導体製造プロセスの一つである。半導体製造プロセスの別例としては、これに限られるものではないが化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作り込み、その上で個別の半導体デバイスへと分けるようにするとよい。
計量プロセスは半導体製造プロセス中の諸工程にて用いられるプロセスであり、それによりウェハ上の欠陥を検出して歩留まり向上を促進することができる。多数の計量ベース技術、例えばスキャタロメトリ(散乱計測)及びリフレクトメトリ(反射計測)装置並びにそれらに係る分析アルゴリズムが広く用いられ、それにより限界寸法、膜厚、組成その他のナノスケール構造パラメタが解明されている。
以前から、スキャタロメトリ限界寸法計測が、薄膜及び/又は及び反復性周期構造からなるターゲットを対象に実行されている。デバイス製造時には、通常、これらの膜及び周期構造により実際のデバイス幾何及び素材構造或いは中間デザインが代表される。デバイス(例.論理デバイス及び記憶デバイス)がより小さなナノメートルスケール寸法へと移行するにつれ、特性解明はより困難になる。デバイスに複雑な三次元幾何を取り入れることや多様な物理特性を有する素材を取り入れることは、特性解明困難性につながる。例えば、昨今のメモリ構造は高アスペクト比三次元構造であることが多く、そのために光学輻射が下方の層まで浸透しづらくなっている。赤外〜可視光を利用する光学計量ツールなら多層半透明素材に浸透可能だが、波長を長めにしてより良好な浸透深度を得ようとすると小さな異常に対する感度が十分でなくなる。加えて、複雑な構造(例.FinFET)の特徴を記述するのに必要なパラメタの個数が多いことが、パラメタ相関の増大につながっている。結果として、ターゲットの特徴を記述するパラメタを、入手可能な計測結果から信頼性よく分離させられないことが多くなる。
一例としては、長めの波長(例.近赤外)を利用し浸透問題を克服する試みが、スタック内交互配置素材の一つとしてポリシリコンが利用される3D−FLASH(登録商標)デバイスに関し行われてきた。しかしながら、鏡状構造を採る3D−FLASH(登録商標)では、生来的に、その膜スタック内のより深部へと照明が伝搬するにつれ光強度の低下が生じる。これは深部での感度ロス及び相関問題を引き起こす。この流れでSCD(スキャタロメトリ限界寸法)によりなし得るのは、少数通りの計量寸法を高精度・低相関で成功裏に抽出することだけである。
別例としては、不透明高k素材が昨今の半導体構造でますます採用されつつある。これらの素材で構成された層には、光学輻射を浸透させ得ないことが多い。結果として、薄膜スキャタロメトリツール、例えばエリプソメータ(楕円偏向計)又はリフレクトメータ(反射計)による計測が、一層困難になってきている。
これらの困難事を踏まえ、更に複雑な光学計量ツールが開発されてきた。例えば、ツールの照明角を複数通りにし、照明波長を短めにし、照明波長域を広めにし、また反射信号からの情報捕捉をより無欠にしたもの(例.従前の反射率信号やエリプソメトリ(偏向計測)信号に加え複数個のミュラー行列要素を計測するもの)が開発されてきた。しかしながら、これらの手法では、多くの先進的ターゲット(例.複雑な3D構造、10nm未満の小構造、不透明素材が採用されている構造)の計測及び計測アプリケーション(例.ラインエッジ粗さ計測及びライン幅粗さ計測)に係る基本的困難事が、信頼性よく克服されていない。
原子間力顕微鏡(AFM)及び走査型トンネリング顕微鏡(STM)は、原子分解能を達成可能であるものの、標本の表面しか探査することができない。加えて、AFM顕微鏡やSTM顕微鏡では長い走査時間が必要となる。走査型電子顕微鏡(SEM)では中程度の分解能が達成されるが、構造内の十分な深度まで到達することができない。そのため、高アスペクト比孔を良好に特性解明することができない。加えて、余儀なき標本帯電がイメージング性能に悪影響を及ぼす。X線リフレクトメータも浸透問題に悩まされており、そのため高アスペクト比構造を計測する際の有効性に限りがある。
浸透深度問題を克服するため、従来のイメージング技術例えばTEM、SEM等々では、破壊型サンプル調製技術例えば集束イオンビーム(FIB)マシニング、イオンミリング、ブランケットエッチング、選択性エッチング等々が併用されている。例えば透過型電子顕微鏡(TEM)では、高程度の分解能が達成されるし任意深度を探査可能だが、標本の破壊的分断を余儀なくされる。素材除去及び計測を数回反復することで、一般に、限界計量パラメタを計測するのに必要な情報が三次元構造全体に亘り得られる。しかし、これらの技術ではサンプル破壊や長時間処理が必須となる。これらの種類の計測を完遂するのは面倒で時間がかかるため、エッチング工程及び計量工程のドリフトによる多大な不正確性が入り込む。加えて、これらの技術では多数回の反復が必要であるため、位置合わせ(アライメント)誤差が入り込む。
透過型小角X線スキャタロメトリ(T−SAXS)システムには、困難事を抱える計測アプリケーションに対処できる見込みがある。現行のT−SAXSツールではビーム形成スリットが利用され、それにより形成された照明ビームを計測下標本上に入射させている。ビーム発散整形スリットがビーム路内で集束光学系の前段又は後段に配置され、それによりビーム発散角が決定づけられている。ビーム整形スリットがビーム路内でそのビーム発散整形スリットの後段に配置され、それによりウェハ上での入射ビームスポットサイズが決定づけられている。
不運なことに、利用できるX線源は、ビーム伝搬方向に対し直交する方向に沿い有限な寸法を有するものである。光源サイズが有限であるため、標本上の入射ビームスポットが、ビーム整形スリットのサイズと、光学系(例.集束光学系、平行化光学系等々)から見た光源の角度寸法により、決定づけられることとなろう。例えば、X線源が光学システムの焦平面にて呈する像のサイズは、そのX線源の実サイズと光学系の倍率とにより決定づけられる。光学系の倍率とは、集束光学系から像までの距離と、集束光学系から光源までの距離と、の比のことである。加えて、集束光学系の勾配及び形状誤差によりビームスポットサイズが更に増すこととなろう。スクライブライン内ではビームスポットサイズが50μm以下でなければならず、現行システムはスクライブライン内にある計量ターゲットの計測に向けた条件に合致していない。
この問題に対処するため、ビーム整形スリットのサイズを小さくすることが可能ではある。しかしながら、これは光子束の劇的な減少をもたらすので計測が不効率になる。更に、ビーム整形スリットのサイズサイズを小さくしても、ビーム発散が間断なく対計測下標本入射点でのビーム拡散に寄与するので、問題が完全に解決されるわけではない。例えば、通常のT−SAXSシステムではビーム整形スリットが計測下標本の表面から250mm超のところにある。こうしたシステムで現れる典型的ビーム発散を踏まえると、仮にビーム整形スリットのサイズが無限小であったとしても、ビームスポットサイズが30〜40μmになると思われる。無論、この構成は、そうした態で計測システムを構成すると無限小量の照明が標本上に投射されることとなるので、非現実的なものである。
ビームスポットサイズに対するビーム発散の影響は、ビーム整形スリットを標本付近に配置することで低減することができる。しかしながら、今のところ、これはT−SAXSシステムにて達成されていない。実際のT−SAXS計量システムでは、標本の計測が、その標本を反らし入来ビームに対し様々な入射角をなすようにして実行される。言い換えれば、入来ビームに対し標本を傾斜させることで、その標本の表面法線を照明ビームのビーム軸から30°以上反らしている。こうした条件下では、従来のビーム整形スリットは、標本から大きな距離で以て隔てない限りその標本と機械的に抵触する。現行システムのうちKLA−Tencor Corporationにより実現されたものでは、ビーム整形スリット・計測下標本間距離が260mmとされている。
特許文献1に記載されているかすめ入射ツールでは、ナイフエッジビームブロックが採用され計測下標本に至近配置されている。しかしながら、開示されているこのビームブロックが機能する文脈はかすめ入射ツールのみであり、直交照明或いは法線から最高で50°に至る角度での照明が必要なT−SAXSツールではない。
米国特許第7406153号明細書 米国特許第7929667号明細書 米国特許出願公開第2015/0110249号明細書 米国特許第7826071号明細書 米国特許第7478019号明細書 米国特許出願公開第2015/0300965号明細書 米国特許出願公開第2015/0117610号明細書 米国特許出願公開第2014/0019097号明細書 米国特許出願公開第2013/0304424号明細書
デバイス性能を更に向上させるため、半導体業界では、横方向スケーリングではなく縦方向集積に焦点が当てられ続けている。そのため、複雑な全三次元構造の正確な計測が、実行可能性及び持続的スケーリング改良を確実化する上で肝要である。将来の計量アプリケーションで現れる計量関連困難事としては、分解能条件の一層の精細化、多パラメタ間相関、高アスペクト比構造をはじめとする幾何構造の一層の複雑化、並びに不透明素材使用の増加によるものがある。そのため、秀逸なT−SAXS計測方法及びシステムが望まれている。
本願には、透過型小角X線スキャタロメトリ(T−SAXS)計測用照明ビームスポットサイズに対し光源サイズの有限性が及ぼす影響を低減する方法及びシステムが、記載されている。
ある態様では、ビーム整形スリットが計測下標本に至近配置される。そのビーム整形スリットは、そのT−SAXS計測システムの入射角が大きくても(例.最大60°の入射角でも)ウェハステージ構成部材と抵触しない、細長いプロファイル(外形)を有するものとされる。ビーム整形スリットを標本に至近配置することで、ビームスポットサイズに対するビーム発散の影響が低減される。加えて、入射ビームスポットのビームプロファイルが、最小限の縁部シャドウゾーン付でシャープに定まるため、計量ターゲット上でのビーム配置の正確性が高まる。
幾つかの実施形態では、相独立して作動する複数個のビーム整形スリットがビーム整形スリット機構に組み込まれる。ある実施形態では、相独立して作動する4個のビーム整形スリットを利用することで、入来X線ビームの一部分が効果的に阻止され、箱状照明断面を有する出射ビームが生成される。
更なる態様では、ビーム整形スリット機構に備わるスリットそれぞれが、そのビーム軸に沿い標本の表面から別々の距離に配置される。こうすることで、ビーム軸沿いに見たときそれらのスロットが空間的に重なり合うようにしつつ、機械的抵触が排される。
別の更なる態様では、標本の姿勢と機を一にしてビーム軸周りで回動するよう、ひいては各入射角、アジマス角又はその双方につき入射ビームのプロファイルが最適化されるよう、ビーム整形スリット機構が構成される。こうすることで、ビーム形状を計量ターゲットの形状に整合させる。
別の態様では、T−SAXSシステムの計測品質及び性能が、計測された0次ビームの特性に基づき推定される。0次ビームの被計測特性としては、これに限られるものではないがビーム形状、強度、位置、プロファイル、傾斜、回動、非対称性、それらの任意の組合せ等がある。
更なる態様では、計量システムの計測品質及び性能が0次ビーム計測結果に基づき制御される。幾つかの例では、上述した計測品質及び性能の推定結果がフェードバックコントローラに入力として供給される。そのフェードバックコントローラにより制御コマンドが送られ、それを受けその計量システムに備わる1個又は複数個の要素の状態が変化し計測システムの品質及び性能が向上する。
別の更なる態様では、被計測標本構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、少なくとも1個の幾何パラメタを含むT−SAXS応答モデルをその構造モデルから生成し、そして、その応答モデルで以て計測データの当てはめ分析を実行することで少なくとも1個の標本パラメタ値を求めるよう、計量システムが構成される。こうすることで、模擬T−SAXS信号と計測データとの比較を通じ、そのサンプルの元素種別及び組成や電子密度等、幾何特性及び素材特性を求めることが可能となる。
更なる態様では、1個又は複数個の注目パラメタの初期推定値が、計測ターゲットに対し入射X線ビームをある単一の向きにしてT−SAXS計測を実行した結果を踏まえ求められる。その初期推定値がその注目パラメタの開始値として実装され、複数通りの向きでの計測により収集された計測データに対する計測モデルの回帰に供される。こうすることで、注目パラメタの密推定値が比較的少量の情報処理労力で以て求まり、またその密推定値を開始点として実装しより大規模なデータセットに亘る回帰に供することで、その注目パラメタの精緻推定値がより少ない総情報処理労力で以て得られる。
更なる態様では、T−SAXS計測データを用いることで、検出された各次回折成分の強度計測結果に基づき被計測構造の像が生成される。幾つかの実施形態では、応答関数モデルが一般化されそれにより包括電子密度メッシュからの散乱が記述される。このモデルを信号計測結果に整合させつつ、そのモデル化メッシュ内電子密度に制約を加え連続性及び粗な縁を持たせることで、そのサンプルの三次元像がもたらされる。
以上は概要であるので、随所に単純化、一般化及び細部省略が含まれている;従って、本件技術分野に習熟した者(いわゆる当業者)には明らかな通り、この概要は専ら例証的なものであり如何様であれ限定的なものではない。本願記載の装置及び/又はプロセスの他の態様、独創的特徴及び長所については、本願中で説明される非限定的な詳細記述にて明らかとされよう。
T−SAXS計測を実行するよう構成された計量システム100を、本願記載の方法に従い計測下標本に至近配置されたビーム整形スリットと共に描いた図である。 図1に示した計量システム100を、ある大きな入射角をなすようX線輻射入射ビームに対する向きが定められた標本と共に描いた図である。 少なくとも1個の新規な態様におけるビーム整形スリット機構120の実施形態を示す図である。 X線照明ビーム116がウェハ101上に入射する具体的な向きを角度φ及びθにより記述し示す図である。 ある構成におけるビーム整形スリット機構120の鳥瞰図である。 別の構成におけるビーム整形スリット機構120の鳥瞰図である。 計量システム100の構成要素であり標本101とは別の真空環境内に収容されているものを描いた図である。 本願記載の方法に従いT−SAXSデータに基づき標本パラメタ値を求めるよう構成されたモデル構築兼分析エンジン150を描いた図である。 本願記載の如く計測下標本に至近配置されたビーム整形スリットを用いるT−SAXS計測を基礎とする構造計測方法の例200を描いたフローチャートである。
以下、その例が添付図面に描かれている本発明の背景例及び幾つかの実施形態を詳細に参照することにする。
本願には、光源サイズの有限性に端を発するビーム発散が、透過型小角X線スキャタロメトリ(T−SAXS)計測用照明ビームスポットサイズに及ぼす影響を低減する、方法及びシステムが記載されている。半導体製造環境における現実のT−SAXS計測では、標本(例.半導体ウェハ)の表面に対し広範囲の入射角に亘り計測を行うこと、並びにビームスポットサイズが小さいこと(例.有効照明スポットの差し渡しが50μm未満であること)が求められる。
ある態様におけるビーム整形スリットは計測下標本に至近(即ち100mm未満のところに)配置される。そのビーム整形スリットが、そのT−SAXS計測システムの入射角の全稼働域に亘り(例.最高で60°の入射角に至るまで)ウェハステージ構成部材と抵触しない、細長いプロファイルを有するものとされる。ビーム整形スリットを標本に至近配置することで、ビームスポットサイズに対するビーム発散の影響が低減される。加えて、入射ビームスポットのビームプロファイルが、最小限の縁部シャドウゾーンを伴いつつシャープに画定されるので、計量ターゲット上でのビーム配置の正確性が高まる。
幾つかの実施形態では、本願記載の如くT−SAXS計測を特徴とする計量ターゲットが、計測下ウェハのスクライブライン内に配置される。これらの実施形態では、そのスクライブラインの幅内に収まるようその計量ターゲットがサイズ設定される。幾つかの例ではスクライブライン幅が80μm未満とされる。幾つかの例ではスクライブラインが50μm未満とされる。一般に、半導体製造に際し採用されるスクライブラインの幅は縮小傾向にある。
幾つかの実施形態では、本願記載の如くT−SAXS計測を特徴とする計量ターゲットが計測下ウェハの能動ダイエリア内に配置され、機能的集積回路(例.メモリ、イメージセンサ、論理デバイス等々)の一部分とされる。
概して望まれるのは、照明ビームのスポットサイズを計測下計量ターゲットの横方向寸法に対し密に整合させることで、計測下計量ターゲット周囲の構造からの汚染信号を低減することである。幾つかの実施形態では、その計測下計量ターゲットの全ての横方向寸法が50μm未満とされる。幾つかの実施形態では、その計測下計量ターゲットの全ての横方向寸法が30μm未満とされる。幾つかの実施形態ではビームスポットサイズが50μm未満とされる。幾つかの実施形態ではビームスポットサイズが30nm未満とされる。これにより、スクライブライン内に所在する計量ターゲットの制御照明が可能となる。
図1には、本願で提示されている方法例に従い標本の特性を計測するT−SAXS計量ツール100の一実施形態が描かれている。図1に示すシステム100を用い、標本101の検査エリア102を照明ビームスポットにより照明し、そのエリア102全体に亘りT−SAXS計測を実行することができる。
図示実施形態に係る計量ツール100は、T−SAXS計測に適するX線輻射を生成するよう構成されたX線照明源110を備えている。幾つかの実施形態では、0.01nm〜1nmの波長を生成するようそのX線照明システム110が構成される。概して、高スループットインライン計量を実現するのに十分な光束レベルにて高輝度X線を生成しうる好適な高輝度X線照明源であれば、どのようなものでも、T−SAXS計測用X線照明の供給用に想定することができる。幾つかの実施形態によれば、X線源を可調モノクロメータ具備のものとし、可選択な様々な波長でX線輻射を送給することができる。
幾つかの実施形態によれば、15keV超の光子エネルギで以て輻射を発する1個又は複数個のX線源を採用することで、そのX線源により供給される光を、デバイス全体及びウェハ基板を貫き十分な透過率を呈する波長にすることができる。非限定的な例によれば、粒子加速器線源、液体アノード線源、回動アノード線源、静止固体アノード線源、マイクロフォーカス線源、マイクロフォーカス回動アノード線源及び逆コンプトン線源のいずれも、X線源110として採用することができる。一例としては、米国カリフォルニア州パロアルト所在のLyncean Technologies,Inc.から入手可能な逆コンプトン線源が熟慮に値しうる。逆コンプトン線源には、広範な光子エネルギに亘りX線を発生させうるという付加的長所があるので、そのX線源により、可選択な様々な波長でX線輻射を送給することができる。
X線源の例としては、固体又は液体ターゲットを砲撃しX線輻射を誘起するよう構成された電子ビーム式線源がある。高輝度液体金属X線照明生成方法及びシステムがKLA−Tencor Corp.名義の2011年4月19日付特許文献2に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
X線照明源110は、有限な横方向寸法を有する(即ちビーム軸に対し直交する方向に非ゼロの寸法を有する)光源エリアに亘りX線放射を発生させる。集束光学系111は光源輻射をターゲット上へと集束させる。光源の横方向寸法が有限であるため、ターゲット101上でのスポットサイズ102は、その光源の縁から来る光線117により定まる有限なものとなる。幾つかの実施形態では集束光学系111に楕円形の集束光学系素子が備わる。
集束光学系111・ビーム整形スリット機構120間ビーム路内にはビーム発散制御スリット112がある。ビーム発散制御スリット112は、計測下標本へと供給される照明の発散を制限している。ビーム発散制御スリット112・ビーム整形スリット機構120間ビーム路内には付加的な中間スリット113がある。中間スリット113は付加的なビーム整形を実行する。とはいえ、一般に、中間スリット113は必須なものではない。
標本101直前のビーム路内にはビーム整形スリット機構120がある。ある態様では、ビーム整形スリット機構120のスリットを標本101に至近配置することで、光源サイズの有限性に端を発するビーム発散による入射ビームスポットサイズ拡大が低減される。幾つかの実施形態では、ビーム整形スリット機構120のスリットが、標本101に対するビーム入射の個所から50mm以内に配置される。幾つかの実施形態では、ビーム整形スリット機構120のスリットが、標本101に対するビーム入射の個所から30mm以内に配置される。幾つかの実施形態では、ビーム整形スリット機構120のスリットが、標本101に対するビーム入射の個所から10mm以内に配置される。ある例では、光源サイズの有限性により生じた影によるビームスポットサイズの膨張分が、X線源のサイズが10μmでビーム整形スリット・標本101間距離が25mmの場合に約1μmとなる。
ビーム整形スリット機構120は、標本101の姿勢をある広範囲の入射角に亘り変えても標本位置決めシステム140との機械的抵触が生じないように形状設定されている。幾つかの実施形態では、照明ビームが標本101の表面に供給される際の入射角を、直交入射から60°以上変化させる。図2に、標本位置決めシステム140により標本101が位置決めされ、入射照明光ビームに対しある非直交入射角をなしているときの、T−SAXSシステム100を示す。図示の便宜上、標本101からの散乱光は図2中に描かれていない。図2に描かれているように、標本101の表面法線は、入射照明光のビーム軸に対しある角度αをなしている。幾つかの実施形態では、標本101が20°以上の角度αに向けられているときに標本位置決めシステム140との機械的抵触が生じないよう、ビーム整形スリット機構120が形状設定される。幾つかの実施形態では、標本101が40°以上の角度αに向けられているときに標本位置決めシステム140との機械的抵触が生じないよう、ビーム整形スリット機構120が形状設定される。幾つかの実施形態では、標本101が60°以上の角度αに向けられているときに標本位置決めシステム140との機械的抵触が生じないよう、ビーム整形スリット機構120が形状設定される。
大略、X線光学系はX線輻射を整形して標本101へと差し向ける。幾つかの例ではそのX線光学系がX線モノクロメータを備え、標本101上に入射するX線ビームがそれにより単色化される。一例としては、結晶モノクロメータ例えばロクスレイ・タナー・ボウエンのモノクロメータが採用され、それによりX線輻射ビームが単色化される。幾つかの例ではそのX線光学系によりX線ビームが平行化され、或いは多層X線光学系を用い1mrad拡散まで集束されて、標本101の検査エリア102上に送られる。これらの例では、その多層X線光学系がやはりビームモノクロメータとして機能する。幾つかの実施形態では、そのX線光学系に、1個又は複数個のX線平行化鏡、X線アパーチャ、X線ビームストップ、屈折型X線光学系、回折光学系例えばゾーンプレート、モンテル光学系、鏡面反射型X線光学系例えばかすめ入射楕円面鏡、ポリキャピラリ光学系例えば中空キャピラリX線導波路、多層光学系又はシステム、或いはそれらの任意の組合せを具備させる。更なる詳細が特許文献3に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
X線検出器119は、T−SAXS計測方式に従い、標本101で散乱されたX線輻射114を集め、標本101の特性のうち入射X線輻射に感応する特性を示す出力信号135を生成する。幾つかの実施形態では、散乱X線114がX線検出器119により集められている間に標本位置決めシステム140により標本101の位置及び姿勢を定めることで、角度分解された散乱X線を発生させる。
幾つかの実施形態に係るT−SAXSシステムは、(例.10超なる)広いダイナミックレンジを有する1個又は複数個の光子計数型検出器と、損傷なく最小限の寄生的後方散乱で以て直射ビーム(即ち0次ビーム)を吸収する厚手の高吸収性結晶基板とを備える。幾つかの実施形態では、単一の光子計数型検出器により被検出光子の位置及び個数が検出される。
幾つかの実施形態では、そのX線検出器により一通り又は複数通りのX線光子エネルギが分解導出され、その標本の特性を示す信号がX線エネルギ成分毎に生成される。幾つかの実施形態では、そのX線検出器119に、CCDアレイ、マイクロチャネルプレート、フォトダイオードアレイ、マイクロストリップ式比例計数器、気体充填式比例計数器、シンチレータ及び蛍光素材のうちいずれかを具備させる。
こうすることで、検出器内X線光子相互作用が、画素位置及び計数値に加えエネルギにより弁別される。幾つかの実施形態では、X線光子相互作用のエネルギを所定の上側しきい値及び所定の下側しきい値と比較することでそのX線光子相互作用が弁別される。ある実施形態では、この情報が出力信号135を媒介にして情報処理システム130へと送られ更なる処理及び格納に供される。
更なる態様では、T−SAXSシステムを利用し、標本の特性(例.構造パラメタ値)が散乱光の一通り又は複数通りの次数の回折成分に基づき求められる。図1に示す計量ツール100は情報処理システム130を備えており、それにより、検出器119により生成された信号135を捉えること並びに捉えた信号に少なくとも部分的に基づき標本の特性を求めることができる。
幾つかの例では、T−SAXSベース計量の一環として、被計測データによる所定の計測モデルの逆解によりサンプル寸法が導出される。その計測モデルは、少数(10個オーダ)の可調パラメタを有し、その標本の幾何及び光学特性並びにその計測システムの光学特性を表すものである。その逆解の方法としては、これに限られるものではないがモデルベース回帰、トモグラフィ(断層撮像)、機械学習又はそれらの任意の組合せがある。こうすることで、計測された散乱X線強度とモデル化結果との間の誤差が最小になるパラメタ化計測モデルの値が求まり、それによりターゲットプロファイルパラメタが推定される。
更なる態様では、十分な分解能及び浸透深度が提供される入射角範囲に亘りT−SAXS計測を実行することで、高アスペクト比構造がそれらの全深度を通じ特性解明される。
幾つかの実施形態では、望ましいことに、図1に示す座標系146により示されるx軸及びy軸周りでの回動により記述される、様々な姿勢にて計測が実行される。これにより、分析に利用可能なデータセットの個数及び多様性を増大・拡張し、様々な大角度の平面外姿勢が含まれるようにすることで、パラメタ計測結果の精度及び正確性が高まり且つパラメタ間相関が低減される。より深くより多様なデータセットで以て標本パラメタを計測することで、やはり、パラメタ間相関が低減され計測の正確性が改善される。例えば直交入射の場合、T−SAXSによりフィーチャの限界寸法を分解導出することができるものの、フィーチャの側壁角及び高さに対してはT−SAXSは概ね不感である。これに対し、広い平面外角度位置範囲に亘り計測データを集めることで、フィーチャの側壁角及び高さを分解導出することができる。
回折輻射強度の計測結果は、ウェハ表面法線を基準としたX線入射角と関連付けて収集される。複数通りの次数の回折成分に含まれる情報は、通常、各考察下モデルパラメタ間でユニークである。即ち、X線散乱により、注目パラメタ値に関する推定結果が小さな誤差及び少ないパラメタ間相関で以てもたらされる。
図1に描かれている計量ツール100は標本位置決めシステム140を備えており、スキャタロメータ(散乱計)のビーム軸に対し標本101を整列させるよう且つある広い入射角範囲に亘り標本101の向きを定めるよう、その標本位置決めシステム140が構成されている。言い換えれば、標本101の表面に対し面内整列している1本又は複数本の回動軸を中心に、ある広い角度範囲に亘り標本101を回動させるよう、標本位置決めシステム140が構成されている。幾つかの実施形態では、標本101の表面に対し面内整列している1本又は複数本の回動軸を中心に、少なくとも120°の範囲内で標本101を回動させるよう、標本位置決めシステム140が構成される。幾つかの実施形態では、標本101の表面に対し面内整列している1本又は複数本の回動軸を中心に、少なくとも90°の範囲内で標本101を回動させるよう、標本位置決めシステムが構成される。こうすることで、標本101の表面上の任意個数の個所に亘り、計量システム100により標本101の角度分解計測結果が収集される。ある例では、情報処理システム130により、標本101の所望位置を示すコマンド信号が標本位置決めシステム140のモーションコントローラ145へと送られる。これに応じ、モーションコントローラ145が、標本位置決めシステム140に備わる様々なアクチュエータに対するコマンド信号を生成することで、標本101の所望の位置決めが実現される。
図1に描かれている非限定的な例では、標本位置決めシステム140がエッジグリップチャック141を有し、それにより標本101が標本位置決めシステム140に固定装着されている。回動アクチュエータ142は、エッジグリップチャック141及び装着されている標本101を周囲フレーム143に対し回動させるよう構成されている。図示実施形態における回動アクチュエータ142は、図1中に描かれている座標系146のx軸周りで標本101を回動させるよう構成されている。図1に示す通り、標本101のz軸周り回動は標本101の面内回動である。x軸及びy軸(図示せず)周り回動は標本101の平面外回動であり、計量システム100のスキャタロメトリ要素群に対しその標本の表面を効果的に傾斜させる。描かれていないが、標本101をy軸周りで回動させるよう第2の回動アクチュエータが構成されている。リニアアクチュエータ144は、周囲フレーム143をx方向に並進させるよう構成されている。もう1個のリニアアクチュエータ(図示せず)が、周囲フレーム143をy方向に並進させるよう構成されている。こうすることで、標本101の表面上の各個所を、ある平面外角度位置範囲に亘る計測に利用することができる。例えばある実施形態では、標本101に直交する向きに対し−45°〜+45°の範囲内で、数通りの角度増分に亘り、標本101の所在個所が計測される。
一般に、標本位置決めシステム140には、これに限られるものではないがゴニオメータステージ、六脚ステージ、旋回ステージ及びリニアステージを含め、所望の直線位置決め性能及び角度位置決め性能を達成するための機械的構成要素を任意の好適な組合せで具備させることができる。
一般に、照明光学系システムの焦平面は計測アプリケーション毎に最適化される。こうすることで、標本内にあり計測アプリケーションに依存する様々な深度に焦平面を配置しうるようシステム100が構成される。ある例における標本位置決めシステム140は、標本101をz方向に動かしうるよう、ひいてはそのウェハをその光学システムの焦平面内で標本101内所望深度に配置しうるよう、構成される。
図3に、少なくとも1個の新規な態様におけるビーム整形スリット機構120の実施形態を示す。ビーム整形スリット機構120は、相独立して作動する複数個のビーム整形スリットを有している。図3に示す実施形態では、相独立して作動する4個のビーム整形スリット126〜129がビーム整形スリット機構120に備わっている。それら4個のビーム整形スリットにより入来ビーム115の一部分が効果的に阻止され、箱状照明断面を有する出射ビームが生成される。
図5は図3に示したビーム整形スリット機構120の鳥瞰図である。図5及び図6における描写ではビーム軸が図面紙面に対し垂直となっている。図5に示すように、入来ビーム115は大きな断面を有している。幾つかの実施形態では入来ビーム115の直径が約1mmとなる。更に、入来ビーム115のスリット126〜129内所在個所には、ビーム位置決め誤差に起因する約3mmの不確定性が現れうる。こうした入来ビームサイズやビーム所在個所不確定性を容れるべく、各スリットの長さLが約6mmとされている。図5に示すように、各スリットは、ビーム軸に対し垂直な方向に動かすことができる。図5の描写では、スリット126〜129がそのビーム軸から最大距離のところに所在している(即ちスリットが全開でありビーム整形スリット機構120内通過光を遮っていない)。
図6に示すビーム整形スリット機構120のスリット126〜129は、入来ビーム115の一部分を阻止する位置にあるので、計測下標本に送られる出退ビーム116が、小サイズ且つ明確形状のものとなる。図6に示す如く各スリット126〜129をビーム軸方向に動かすことで、所望の出射ビーム形状を実現することができる。
図3に示した例では、スリット126が細長いピボットアーム構造125に連結されている。ピボットアーム構造125をピボットジョイント124周りで枢動させると、図5及び図6を参照して述べた通り、ビーム軸に対し垂直な方向にスリット126が移動する。アクチュエータ123は、ピボットジョイント124周りでピボットアーム構造125及びスリット126を回動させることで、ピボットアーム構造125及びスリット126を位置決めする。図3に示した実施形態では、アクチュエータ123が、高剛性位置決め能力を呈するリニア圧電アクチュエータとされている。幾つかの実施形態では、ビーム軸に対し垂直な方向におけるスリット126の位置決めが、アクチュエータ123により10mm未満の位置決め不確定性で以て行われる。幾つかの実施形態では、ビーム軸に対し垂直な方向におけるスリット126の位置決めが、アクチュエータ123により約2mm以上の位置決め範囲で以て行われる。計測システム122は、ピボットアーム構造125及びスリット126の位置を精密に計測する。図3に示した実施形態では、計測システム122がリニアエンコーダとされ、それによりアクチュエータ123のずれが計測されている。こうすることで、ピボットアーム構造125及びスリット126の閉ループ位置制御が実現される。
ある態様では、細長いピボットアーム構造125によりピボットジョイント124から距離Sのところにスリット126が配置される。幾つかの実施形態ではSが100mm超とされる。幾つかの実施形態ではSが150mm超とされる。別のある態様では、細長いピボットアーム構造125により、ピボットジョイント124からの距離が距離Sを上回るところにスリット126が配置される。こうすることで、ビーム整形スリット機構120のプロファイルが標本101の表面付近で小さくなる。仮にアクチュエータが標本101の表面付近(例.100mm未満のところ)に所在しているとしたら、ビーム整形スリット機構120のプロファイルが大きくなりすぎ、とりわけ大入射角での計測中(例.αが30°超のとき)には標本位置決めシステム140の構成部材と抵触してしまうであろう。幾つかの実施形態では、スリット126と標本101の表面との間の距離Dが50mm未満とされる。幾つかの実施形態では、スリット126と標本101の表面との間の距離Dが30mm未満とされる。幾つかの実施形態では、スリット126と標本101の表面との間の距離Dが10mm未満とされる。
図3に示すように、ピボットジョイント124は、ピボットアーム構造125がフレーム121に対し回動し、アクチュエータ123がピボットアーム構造125をフレーム121に対し動かし、且つ計測システム122がフレーム121に対するピボットアーム構造125の位置を計測するよう、構成されている。同様に、スリット127〜129に係るピボットアーム構造もフレーム121に対し回動し、スリット127〜129に係るアクチュエータも対応するピボットアーム構造をフレーム121に対し動かし、そしてスリット127〜129に係る計測システムもフレーム121に対する個々のピボットアーム構造の位置を計測する。例えば、スリット127及びそれと連携しているピボットアーム構造は軸B周りで回動し、スリット128及びそれと連携しているピボットアーム構造は軸A周りで回動する。
図3に示した具体的な機構は非限定的な例として提示されている。一般に、どのような機構であれ、またどのような関連作動及び計測技術であれ、標本101の表面付近にビーム整形スリットを配置し且つ標本101から遠方にアクチュエータを配置するのに適するものであれば、本件特許出願の技術的範囲内にあるものと考えられる。例えば、ピボットジョイントではなくリニアジョイント(例.リニアベアリング構造又は屈曲機構)を利用し、細長いアーム構造125及びスリット126をビーム軸に対し垂直な方向に動かすようにしてもよい。そうした実施形態では、細長いアーム構造125及びスリット126ビーム軸に対し垂直な方向に動かせるようリニアアクチュエータを構成すればよい。別の実施形態に従いロータリアクチュエータを利用し、細長いアーム構造125及びスリット126をピボットジョイント124周りで回動させてもよい。幾つかの実施形態では各スリットの位置が相独立に制御される(即ちアクチュエータにより各スリットが相独立に動かされる)。他の幾つかの実施形態では、単一のアクチュエータにより複数個のスリットの位置が制御される。そうした実施形態のうちあるものでは、ある1個のアクチュエータによりスリット間ギャップが制御され、もう1個のアクチュエータにより計測下標本に対するスリット間ギャップのずれ位置(即ち入射X線ビームに対し垂直な方向における全スリットの位置)が制御される。
幾つかの実施形態では、ビーム整形スリット機構120の各アクチュエータが、ビーム整形スリット126〜129のいずれに比べても、標本101から少なくとも5倍遠いところに配置される。言い換えれば、ビーム軸沿い方向に沿った各ビーム整形スリット126〜129間の距離がX未満であるなら、ビーム軸沿い方向に沿った各アクチュエータ・標本101間距離が少なくとも5Xとなる。
スリット126〜129は、入射する輻射の散乱を抑え効果的に阻止する素材で構成されている。素材例としては単結晶素材、例えばゲルマニウム、ヒ化ガリウム、燐化インジウム等がある。通常は、スリット素材を鋸断するのではなく粒界沿いに開裂させることで、構造境界を過ぎる散乱を減らすことができる。加えて、入来ビームに対するスリットの向きを適宜定めることで、入来輻射・スリット素材内部構造間相互作用による散乱を最小限の量にすることができる。その結晶素材を高密度素材(例.タングステン)製の刃に装着することで、そのスリットの片側にてX線ビームを完全に阻止することができる。図3に示すように、各スリットは高さH、幅Wの長方形断面を有している(図3中のスリット126及び129から看取することができる)。幾つかの実施形態ではその幅が約0.5mm、高さが約1〜2mmとされる。図5に示す如くスリットの長さLは約6mmとされる。
更なる態様では、ビーム整形スリット機構120の各スリットが、そのビーム軸に沿い標本101の表面から別々の距離に配置される。図3に描かれているように、各スリット126〜129は互いに別の平面上にある。こうすることで、スリット126〜129をビーム軸沿いに見て空間的に重複させつつ、機械的抵触を排することができる。
別の更なる態様では、標本の姿勢と機を一にしてビーム軸周りで回動するよう、ひいては各入射角、アジマス角又はその双方に関し入射ビームのプロファイルが最適化されるよう、ビーム整形スリット機構120が構成される。こうすることで、計量ターゲットの形状に対しビーム形状を整合させる。図3に示すように、フレーム121はロータリアクチュエータ147に連結されている。ロータリアクチュエータ147は、フレーム121と、装着されている全ての機構、アクチュエータ、センサ及びスリットとを、軸C周りで回動させる。軸Cは、名目上、入来ビーム115のビーム軸に沿っている。軸Cが機械的に画定されているのに対し、ビーム軸はビームそれ自体により画定されており、ビーム位置決め誤差、揺らぎ等々による変化を被りやすい。理想世界であれば軸C及びビーム軸が完全に一線となるが、実際には僅かな偏差が存在している。幾つかの実施形態では、ビーム整形スリット機構120が軸C周りで少なくとも90°に亘り可回動とされる。幾つかの実施形態では、ビーム整形スリット機構120が軸C周りでどの向きまでも可回動とされる。
幾つかの実施形態では、X線照明源110、集束光学系111、スリット112及び113又はそれらの任意の組合せが、標本101のそれと同じ大気環境(例.ガスパージ環境)内で保持される。しかしながら、実施形態によってはそれら構成要素のうちいずれかの間及び内部での光路長が長くなり、気中X線散乱により検出器上の像にノイズがもたらされる。そのため、幾つかの実施形態では、X線照明源110、集束光学系111、スリット112及び113のうち任意のものが、真空窓により相互に分離され標本(例.標本101)から分離された局所的な真空環境内で保持される。
同様に、幾つかの実施形態では、X線検出器119が標本101のそれと同じ大気環境(例.ガスパージ環境)内で保持される。しかしながら、実施形態によっては標本101・X線検出器119間距離が長々しくなり、気中X線散乱により被検出信号中にノイズがもたらされる。そのため、幾つかの実施形態では、X線検出器のうち1個又は複数個が、真空窓により標本(例.標本101)から分離された局所的な真空環境内で保持される。
図7は、X線照明源110入り真空チャンバ160、集束光学系111入り真空チャンバ162、並びにスリット112及び113入り真空チャンバ163を描いた図である。各真空チャンバの開口は真空窓により覆われている。例えば、真空チャンバ160の開口が真空窓161により覆われている。同様に、真空チャンバ163の開口が真空窓164により覆われている。それら真空窓は、そのような素材であれ、X線輻射に対し実質的に透明な好適素材(例.カプトン(登録商標)、ベリリウム等々)で構成するとよい。各真空チャンバ内を好適な真空環境に保つことで、照明ビームの散乱を減らすことができる。好適な真空環境とは、何らかの好適真空度環境、何らかの好適パージ環境であり小原子番号気体(例.ヘリウム)が内在しているもの、それらの何らかの組合せ等のことである。こうすることで、ビーム路の極力多くを真空中に配置すること、ひいては光束を増やし散乱を減らすことができる。
幾つかの実施形態では、標本101を含め光学システム全体が真空中で保持される。とはいえ、一般に、標本位置決めシステム140の構成に関わる複雑性故に、標本101の真空中保持関連コストは高くなる。
別の更なる態様では、ビーム整形スリット機構120を真空チャンバ163と機械的に一体化させることで、大気環境にさらされるビーム路長が短縮される。一般に望ましいのは、標本101への入射に先立つところで、そのビームのうち極力多くを真空中に包み込むことである。幾つかの実施形態では、ビーム整形スリット機構120の入口にある中空円筒状空洞内までその真空ビームラインが延設される。真空窓164が真空チャンバ163の出口にありそれがビーム整形スリット機構120内にあるので、入来ビーム115をビーム整形スリット機構120の一部分にて引き続き真空中に置き、その後真空窓164を通過させてスリット126〜129及び標本101のいずれかと相互作用させることができる。
本願記載のT−SAXS計測は、半導体ウェハの表面法線に対する照明X線ビームの向き複数通りについて実行される。個々の向きは、X線照明ビームに対するウェハ101の、或いはその逆の、任意の二通りの角度回動により記述される。ある例によれば、ウェハに固定されている座標系を基準にして向きを記述することができる。図4に示すX線照明ビーム116はウェハ101上に入射しており、その具体的な向きが角度φ及びθにより記述されている。座標系XYZは計量システムに固定されており、座標系X’Y’Z’はウェハ101に固定されている。Yはウェハ101の表面と同一平面内の軸と同一線上にある。X及びZはウェハ101の表面に対し整列していない。Z’はウェハ101の表面に直交する軸と同一線上にあり、X’及びY’はウェハ101の表面に対し整列している平面内にある。図4に示すように、X線照明ビーム116はZ軸と同一線上にあり、従ってXZ平面内に存在している。角度φは、ウェハの表面法線に対するX線照明ビーム116のXZ平面内方向を記述するものである。更に、角度θはX’Z’平面に対するXZ平面の向きを記述するものである。θ及びφの併用により、ウェハ101の表面に対するX線照明ビーム116の向きが一意に定まる。この例では、ウェハ101の表面に対するX線照明ビームの向きが、ウェハ101の表面に直交する軸(即ちZ’軸)周りでの回動と、ウェハ101の表面に対し整列している軸(即ちY軸)周りでの回動とにより記述される。他の幾つかの例では、図1を参照して述べた通り、ウェハ101の表面に対するX線照明ビームの向きが、ウェハ101の表面に対し整列している第1軸と、ウェハ101の表面に対し整列しており第1軸に対し垂直な別の軸と、の周りでの回動により記述される。
別の態様では、T−SAXSシステムの計測品質及び性能が、計測された0次ビームの特性に基づき推定される。0次ビームの被計測特性としては、これに限られるものではないがビーム形状、強度、所在個所、プロファイル、傾斜、回動、非対称性、それらの任意の組合せ等がある。
幾つかの例では、照明源の総光束が、検出器により検出された光全ての総和に基づき推定される。外部擾乱が存在していない場合、その総光束はターゲットによる吸収のみに依存する。幾つかの例ではその計測がターゲット無しで実行される。
幾つかの例では、そのシステムの透過効率が、検出器により検出された光全ての総和に基づき推定される。これらの例では、照明源により放射された光が、その照明源から出た後、但し照明光学系との相互作用より前に計測される。加えて、検出器により検出された光が総和される。検出器にて検出された光と照明源により放射された光の間の光束比により、その光学システムの透過効率の推定値が求まる。幾つかの例ではその計測がターゲット無しで実行される。他の幾つかの例では、既知の吸収特性を有するターゲットが採用される。
幾つかの例では、ビーム軸に対する検出器の相対的な位置合わせ具合(アライメント)が、その検出器上の0次ビーム入射個所に基づき推定される。
幾つかの例では、その光学サブシステムにおける位置合わせ過誤(ミスアライメント)や欠陥が、検出器にて計測された0次ビームの形状(例.非対称性、粗さ、回動)に基づき推定される。ビーム整形光学系、スリット、アパーチャ、照明源等々の位置合わせ過誤や欠陥は、こうして解明することができる。多くの例では、照明光学系の傾斜誤差の大部分がビーム形状の微細構造として現れ、その微細構造が検出器にて検出される。検出されたビーム形状中の小変動は照明光学系上でのビーム位置に対応している。加えて、スリット上でのビームの位置が、シャープな縁の所在個所に対する光学系傾斜誤差のうちそのスリットに端を発するものによる微細構造の所在個所を監視することで、確認される。
更なる態様では、その計量システムの計測品質及び性能が0次ビーム計測結果に基づき制御される。幾つかの例では、上述した計測品質及び性能の推定結果が、フェードバックコントローラ(例.情報処理システム130)に入力として供給される。そのフェードバックコントローラにより制御コマンドを送り、その計量システムの1個又は複数個の構成要素の状態を変化させることで、計測システム品質及び性能を向上させる。
幾つかの例ではそれら制御コマンドが照明源に供給される。これに応じその照明源が調整され、それにより走査スポットのサイズ及び形状、照明パワー、スポットずれ、入射角等々が変更される。ある例では、光源ターゲット上に入射する電子ビームのパラメタが調整され、それにより走査スポットのサイズ及び形状、照明パワー、スポットずれ、入射角等々が変更される。
幾つかの例ではそれら制御コマンドが1個又は複数個の位置決め装置に供給され、それによりその計量システムの1個又は複数個の光学素子の所在個所が制御される。これに応じ、当該1個又は複数個の位置決め装置により1個又は複数個の光学素子の位置/姿勢が変更され、それにより入射角、照明源・照明光学系間諸点距離、ビーム位置決め、ビームスポットサイズ、光学系上でのそのビームスポットの所在個所が調整され、ひいては表面粗さ等々の影響が低減される。
一般に、本願記載の推定並びに計測品質及び性能の制御は、ビーム路内にターゲットがあってもなくても実行することができる。
別の更なる態様では、被計測標本構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、少なくとも1個の幾何パラメタを含むT−SAXS応答モデルをその構造モデルから生成し、そしてそのT−SAXS応答モデルで以てT−SAXS計測データの当てはめ分析を実行することで少なくとも1個の標本パラメタ値を求めるよう、情報処理システム130が構成される。模擬されたそのT−SAXS信号を、分析エンジンを用い計測データと比較することで、そのサンプルの幾何特性及び素材特性例えば電子密度の判別を行うことができる。図1に示した実施形態では情報処理システム130がモデル構築兼分析エンジンとして構成され、本願記載のモデル構築及び分析機能が実現されるようそのモデル構築兼分析エンジンが構成されている。
図8は、情報処理システム130により実現されるモデル構築兼分析エンジン150の例を描いた図である。図8に示すモデル構築兼分析エンジン150は、被計測標本構造の構造モデル152を生成する構造モデル構築モジュール151を有している。幾つかの実施形態では構造モデル152にその標本の素材特性も組み込まれる。その構造モデル152はT−SAXS応答関数構築モジュール153により入力として受領される。T−SAXS応答関数構築モジュール153は、その構造モデル152に少なくとも部分的に基づきT−SAXS応答関数モデル155を生成する。幾つかの例では、そのT−SAXS応答関数モデル155がX線フォームファクタ
に基づくものとされる;式中、Fはフォームファクタ、qは散乱ベクトル、ρ(r)はその標本の電子密度を球座標に従い表したものである。そして、X線散乱強度が
により与えられる。T−SAXS応答関数モデル155は当てはめ分析モジュール157により入力として受領される。その当てはめ分析モジュール157は、モデル化されたこのT−SAXS応答を対応する計測データと比較することで、その標本の幾何特性及び素材特性を求める。
幾つかの例では、モデル化されたデータの実験データへの当てはめが、χ二乗値を最小化することで達成される。例えば、T−SAXS計測に係るχ二乗値は
として定義することができる。
式中、S SAXS experimentは「チャネル」j内被計測T−SAXS信号126であり、その指数jにより一組のシステムパラメタ、例えば回折次数、エネルギ、角度座標等々が記述されている。S SAXS model(v,…,v)は、「チャネル」jに係るモデル化T−SAXS信号Sであり、幾何(CD、側壁角、オーバレイ等々)及び素材(電子密度等々)を記述する一組の構造(ターゲット)パラメタv,…,vに関し値が与えられている。σSAXS,jは第jチャネルに係る不確定性である。NSAXSはX線計量チャネルの総数である。Lはその計量ターゲットを特徴付けるパラメタの個数である。
等式(4)では、別々のチャネルに係る不確定性間に相関がないことが仮定されている。別々のチャネルに係る不確定性間に相関がある例では、それら不確定性間の共分散を計算することができる。こうした例では、T−SAXS計測に係るχ二乗値を
と表すことができる。
式中、VSAXSはSAXSチャネル不確定性の共分散行列であり、Tは転置を表している。
幾つかの例では、当てはめ分析モジュール157により、T−SAXS計測データ135を対象にT−SAXS応答モデル155で以て当てはめ分析を実行することで、少なくとも1個の標本パラメタ値が導出される。幾つかの例ではχ SAXSが最適化される。
先に述べたように、T−SAXSデータの当てはめはχ二乗値の最小化により達成される。とはいえ、一般に、T−SAXSデータの当てはめは他の関数により達成してもよい。
T−SAXS計量データの当てはめは、注目している幾何及び/又は素材パラメタに対し有感なものであれば、どのような種類のT−SAXSテクノロジ向けにも役立つものである。標本に対するT−SAXSビームの相互作用を記述する適正なモデルが用いられる限り、標本パラメタは決定論的なもの(例.CD、SWA等々)でも統計的なもの(例.側壁粗さのrms高さ、粗さ相関長等々)でもかまわない。
一般に、情報処理システム130はリアルタイム限界寸法計測(RTCD)を利用しモデルパラメタにリアルタイムアクセスするよう構成されるが、情報処理システム130が事前算出済モデルのライブラリにアクセスして標本101に係る少なくとも1個の標本パラメタ値を求めるようにしてもよい。一般に、ある種の形態のCDエンジンを用いることで、割り当てられている標本CDパラメタと、被計測標本に係るCDパラメタとの間の差異を、評価することができる。標本パラメタ値算出方法及びシステムの例がKLA−Tencor Corp.名義の2010年11月2日付特許文献4に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
幾つかの例では、モデル構築兼分析エンジン150により、フィードサイドウェイ分析、フィードフォワード分析及びパラレル分析の任意な組合せで以て、パラメタ計測結果の正確性が改善される。フィードサイドウェイ分析とは、同じ標本の別エリア上で複数個のデータセットを採取し、第1データセットから求めた共通パラメタを第2データセット側に引き渡して分析に供することである。フィードフォワード分析とは、別々の標本上でデータセットを採取し、ステップ的コピーイグザクトパラメタフィードフォワード手法を用い爾後の分析に共通パラメタを先行供給することである。パラレル分析とは、複数個のデータセットに対する非線形当てはめ方法論の並列的又は同時的適用であり、少なくとも1個の共通パラメタが当てはめ中に結合されるもののことである。
複数ツール兼構造分析とは、フィードフォワード、フィードサイドウェイ又はパラレル分析のうち、回帰、ルックアップテーブル(即ち「ライブラリ」マッチング)、或いは複数個のデータセットの他の当てはめ手順に、依拠するもののことである。複数ツール兼構造分析方法及びシステムの例がKLA−Tencor Corp.名義の2009年1月13日付特許文献5に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
別の更なる態様では、1個又は複数個の注目パラメタの初期推定値が、計測ターゲットに対する入射X線ビームの向き一通りでT−SAXS計測を実行した結果を踏まえ導出される。それら初期推定値は注目パラメタの開始値として実装され、複数通りの向きでのT−SAXS計測により収集された計測データによる計測モデルの回帰に供される。こうすることで、注目パラメタの初期推定値が比較的少量の情報処理労力で以て求まり、またその密推定値をかなり大きなデータセットに亘る回帰向けの開始点として実装することで、注目パラメタの精緻推定値が少量の総情報処理労力で以て得られる。
別の態様に係る計量ツール100は、本願記載のビーム制御機能が実現されるよう構成された情報処理システム(例.情報処理システム130)を備える。図1に示した実施形態では、入射照明ビーム116の照明特性のうちいずれか、例えば強度、発散、スポットサイズ、偏向、スペクトラム及び配置を制御するよう動作させうるビームコントローラとして、情報処理システム130が構成されている。
図1に描かれているように、情報処理システム130は検出器119に可通信結合されている。情報処理システム130は、検出器119から計測データ135を受領するよう構成されている。一例に係る計測データ135は、計測された標本応答(即ち諸次回折成分の強度)の指示子を含むものである。検出器119の表面上における応答計測結果の分布に基づき、標本101上における照明ビーム116の入射個所及びエリアが情報処理システム130により導出される。ある例では、情報処理システム130によりパターン認識技術が適用され、それにより標本101上における照明ビーム116の入射個所及びエリアが計測データ135に基づき導出される。幾つかの例では、情報処理システム130によりコマンド信号137がX線照明源110に送られ、それにより所望の照明波長が選択され或いはそのX線放射が方向転換される。幾つかの例では、情報処理システム130によりコマンド信号136をビーム整形スリット機構120に送り、それによりビームスポットサイズを変化させることで、入射照明ビーム116が所望のビームスポットサイズ及び向きで以て標本101に到来するようにする。ある例では、コマンド信号136を受け、図3に示すロータリアクチュエータ147が、ビーム整形スリット機構120を標本101に対し所望の姿勢まで回動させる。別のある例では、コマンド信号136を受け、各スリット126〜129に係るアクチュエータが位置を変化させることで、所望の形状及びサイズになるまで入射ビーム116を再整形する。他の幾つかの例では、情報処理システム130によりコマンド信号をウェハ位置決めシステム140に送り、標本101の位置及び姿勢を定めることで、標本101に対し所望の個所及び角度姿勢にて入射照明ビーム116が到来するようにする。
更なる態様では、T−SAXS計測データを用い、被計測構造の像が、検出された回折次数の強度計測結果に基づき生成される。幾つかの実施形態では、包括電子密度メッシュからの散乱を記述するようT−SAXS応答関数モデルが一般化される。計測された信号にこのモデルを整合させつつ、そのモデル化メッシュ内電子密度に制約を加え連続性及び粗なエッジを持たせることで、そのサンプルの三次元像が得られる。
幾何学的モデルベースパラメトリック逆変換がT−SAXS計測をベースにした限界寸法(CD)計量に望ましいとはいえ、それと同じT−SAXS計測データから生成された標本のマップは有用なものであり、被計測標本がその幾何モデルの仮定事項から逸脱しているときに、それによりモデル誤差を識別及び補正することができる。
幾つかの例では、その像が、同じスキャタロメトリ計測データの幾何学的なモデルベースパラメトリック逆変換により推定された構造特性と比較される。相違を利用し被計測構造の幾何モデルを更新すること及び計測性能を向上させることができる。正確なパラメトリック計測モデルに至るこの集束能は、集積回路を計測しその製造プロセスを制御、監視及びトラブルシュートするに当たりひときわ重要である。
幾つかの例では、その像が電子密度、吸光率、複素屈折率又はそれら素材特性の組合せについての二次元(2D)マップとされる。幾つかの例では、その像が電子密度、吸光率、複素屈折率又はそれら素材特性の組合せについての三次元(3D)マップとされる。そのマップは比較的少数の物理的制約を用い生成される。幾つかの例では、1個又は複数個の注目パラメタ例えば限界寸法(CD)、側壁角(SWA)、オーバレイ、エッジ配置誤差、ピッチウォーク等々が、生成されたマップから直に推定される。他の幾つかの例では、サンプルの幾何又は素材が逸脱し、モデルベースCD計測用パラメトリック構造モデルで想定している期待値域から外れているときに、そのマップを用いウェハ処理がデバッグされる。ある例では、そのマップと、その被計測パラメタに従いパラメトリック構造モデルにより予測された構造の表現物との間の差異を用い、そのパラメトリック構造モデルが更新されその計測性能が改善される。更なる詳細が特許文献6に記載されているので、この参照を以てその全容を本願に繰り入れることにする。付加的な詳細が特許文献7に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
更なる態様では、モデル構築兼分析エンジン150を利用し、X線計測光学計測結合分析モデルが生成される。幾つかの例では、例えば厳密結合波分析(RWCA)に基づく光学シミュレーションによりマクスウェルの方程式が解かれ、光学信号例えば反射率が様々な偏向、偏向、エリプソメトリパラメタ、位相変化等々に関し算出される。
1個又は複数個の注目パラメタの値が、複数通りの入射角にて検出されたX線回折次数の強度及び検出された光学強度の、結合幾何パラメタ化応答モデルによる結合当てはめ分析に依拠し判別される。光学強度を計測するための光学計量ツールは、X線計量システム例えば図1に示したシステム100と機械的に一体化されていてもよいし、一体化されていなくてもよい。更なる詳細が特許文献8及び9に記載されているので、それら文献それぞれの全容をこの参照を以て本願に繰り入れることにする。
一般に、計量ターゲットを特徴付けるアスペクト比は、その計量ターゲットの最大高さ寸法(即ちウェハ表面に対し直交している方向の寸法)を最大横方向寸法(即ちウェハ表面に対し整列している方向の寸法)により除したものとして定義される。幾つかの実施形態では、その計測下計量ターゲットのアスペクト比が少なくとも20とされる。幾つかの実施形態では、その計量ターゲットのアスペクト比が少なくとも40とされる。
ご認識頂くべきことに、本件開示の随所に記載の諸ステップは、単一のコンピュータシステム130で実行してもよいし、それに代え複数個のコンピュータシステム130で実行してもよい。更に、システム100に備わる様々なサブシステム、例えば標本位置決めシステム140に、本願記載のステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを組み込んでもよい。従って、上掲の記述は本発明に対する限定事項として解されるべきではなく、単なる例証として解されるべきである。更に、本願記載の方法実施形態のうちいずれかを構成している他のいずれかのステップ(群)を実行するよう、当該1個又は複数個の情報処理システム130を構成してもよい。
加えて、コンピュータシステム130は、どのようなものであれ本件技術分野で既知な要領にて、X線照明源110、ビーム整形スリット機構120及び検出器119に可通信結合させてもよい。例えば、それぞれX線照明源110、ビーム整形スリット機構120及び検出器119に係る情報処理システム群に、1個又は複数個の情報処理システム130を結合させてもよい。また例えば、X線照明源110、ビーム整形スリット機構120及び検出器119のうちいずれを、コンピュータシステム130に結合された単一のコンピュータシステムにより直に制御してもよい。
コンピュータシステム130は、伝送媒体例えば有線及び/又は無線区間を有するそれにより本システムのサブシステム(例.X線照明源110、ビーム整形スリット機構120、検出器119等)からデータ又は情報を受領及び/又は捕捉するよう、構成することができる。こうすることで、その伝送媒体を、コンピュータシステム130とシステム100に備わる他のサブシステムとの間のデータリンクとして働かせることができる。
計量システム100のコンピュータシステム130は、伝送媒体例えば有線及び/又は無線区間を有するそれにより他システムからデータ又は情報(例.計測結果、モデリング入力、モデリング結果等々)を受領及び/又は捕捉するよう、構成することができる。こうすることで、その伝送媒体を、コンピュータシステム130と他システム(例.計量システム100のオンボードメモリ、外部メモリ又は外部システム)との間のデータリンクとして働かせることができる。例えば、データリンクを介し格納媒体(即ちメモリ132又は180)から計測データ(例.信号135)を受け取るよう、情報処理システム130を構成するとよい。一例としては、検出器119を用い取得した分光結果を恒久的又は半恒久的記憶デバイス(例.メモリ132又は180)内に格納させることができる。この場合、その計測結果をオンボードメモリから、或いは外部メモリシステムからインポートすることができる。更に、伝送媒体を介しコンピュータシステム130が他システムへとデータを送るようにしてもよい。一例としては、コンピュータシステム130により求められた標本パラメタ値170を恒久的又は半恒久的記憶デバイス(例.メモリ180)内に格納させることができる。この場合、計測結果を他システムにエキスポートすることができる。
情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、並列プロセッサその他、本件技術分野で既知なあらゆる装置が包含されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包含されるよう、広く定義することができる。
方法例えば本願記載のそれを実行するためのプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送するようにしてもよい。例えば、図1に描かれているように、メモリ132に格納されているプログラム命令134がバス133に載せプロセッサ131へと伝送される。プログラム命令134はコンピュータ可読媒体(例.メモリ132)に格納される。コンピュータ可読媒体の例としてはリードオンリメモリ、ランダムアクセスメモリ、磁気ディスク、光ディスク及び磁気テープがある。
幾つかの実施形態では、本願記載のスキャタロメトリ分析が製造プロセスツールの一部分として実現される。製造プロセスツールの例としては、これに限られるものではないが、リソグラフィック露出ツール、成膜ツール、インプラントツール及びエッチングツールがある。こうすることで、T−SAXS分析の結果を用い製造プロセスを制御することができる。ある例では、1個又は複数個のターゲットから収集されたT−SAXS計測データが製造プロセスツールへと送られる。そのT−SAXS計測データが本願記載の如く分析され、その結果を用いその製造プロセスツールの動作が調整される。
本願記載のスキャタロメトリ計測を用い、様々な半導体構造の特性を求めることができる。構造の例としては、これに限られるものではないが、FinFET、低次元構造例えばナノワイヤやグラフェン、サブ10nm構造、リソグラフィック構造、スルー基板ビア(TSV)、メモリ構造例えばDRAM、DRAM4F2、FLASH(登録商標)、MRAM及び高アスペクト比メモリ構造がある。構造特性の例としては、これに限られるものではないが、幾何パラメタ例えばラインエッジ粗さ、ライン幅粗さ、孔(ポア)サイズ、孔密度、側壁角、プロファイル、限界寸法、ピッチ、厚み、オーバレイと、素材パラメタ例えば電子密度、組成、グレイン構造、モルホロジ、応力、歪み及び元素種別とがある。幾つかの実施形態では計量ターゲットが周期的構造とされる。他の幾つかの実施形態では計量ターゲットが非周期性とされる。
幾つかの例では、これに限られるものではないがスピン注入磁化反転ランダムアクセスメモリ(STT−RAM)、三次元NANDメモリ(3D−NAND)又は立体NANDメモリ(V−NAND(登録商標))、ダイナミックランダムアクセスメモリ(DRAM)、三次元FLASH(登録商標)メモリ(3D−FLASH(登録商標))、抵抗変化型ランダムアクセスメモリ(Re−RAM)及び相変化ランダムアクセスメモリ(PC−RAM)等、高アスペクト比半導体構造の限界寸法、厚み、オーバレイ及び素材特性の計測が本願記載のT−SAXS計測システムで以て実行される。
図9は、本発明の計量システム100による実行に適する方法200を描いたものである。ある態様によれば、ご認識頂けるように、予めプログラミングされているアルゴリズムを情報処理システム130に備わる1個又は複数個のプロセッサにより実行することで、方法200の諸データ処理ブロックを実行することができる。以下の記述は計量システム100の文脈に沿い提示されているが、ここでご認識頂けるように、計量システム100の特定の構造的側面が限定事項を体現しているわけではなく、それらは専ら例証として解されるべきである。
ブロック201では、ある量のX線輻射がある有限な放射エリアに亘り生成される。
ブロック202では、上記生成された量のX線輻射のうち計測下標本上に入射するもののビームが、そのX線輻射ビームのビーム路内で複数個のビーム整形スリットを位置決めすることで整形される。それら複数個のビーム整形スリットは、それぞれ、そのX線輻射ビーム沿いの方向に沿いその計測下標本の100mm以内に配置される。
ブロック203では、その計測下標本が、X線輻射入射ビームに対し複数通りの入射角をなし位置決めされる。それら複数通りの入射角は、直交入射と、直交入射から少なくとも40°と、の間の範囲内とする。
ブロック204では、それら複数通りの入射角それぞれにて、X線輻射入射ビームに応じ、その計測下標本からの散乱輻射の量に係る一通り又は複数通りの強度が検出される。
ブロック205では、その計測下標本上に配置された計測ターゲットに係る注目パラメタの値が、検出された強度に基づき導出される。
本願記載の語「限界寸法」には、構造のあらゆる限界寸法(例.下部限界寸法、中部限界寸法、上部限界寸法、側壁角、格子高さ等々)、任意の2個以上の構造間の限界寸法(例.2個の構造間の距離)、並びに2個以上の構造間のずれ(例.重なり合う格子構造間のオーバレイ位置ずれ等々)が包含される。構造の例としては三次元構造、パターン化構造、オーバレイ構造等々がある。
本願記載の語「限界寸法アプリケーション」や「限界寸法計測アプリケーション」にはあらゆる限界寸法計測が包含される。
本願記載の語「計量システム」には、限界寸法アプリケーション及びオーバレイ計量アプリケーションを含め、その態様を問わず標本の特性解明に少なくとも部分的に採用されるシステム全てが包含される。とはいえ、これらの技術用語により本願記載の語「計量システム」の範囲が制限されるわけではない。加えて、本願記載の計量システムはパターニング済ウェハ及び/又は未パターニングウェハの計測向けに構成することができる。この計量システムはLED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール又はマルチモード検査ツール(1個又は複数個のプラットフォームから同時にデータを得るものを含む)や、本願記載の計測技術から利を受ける他のあらゆる計量又は検査ツールとして、構成することができる。
本願では、標本の処理に使用可能な半導体処理システム(例.検査システムやリソグラフィシステム)に関し様々な実施形態が記述されている。本願で用いられている語「標本」は、本件技術分野で既知な手段により処理(例.印刷又は欠陥検査)可能なウェハ、レティクルその他のサンプル全てを指している。
本願中の用語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板を指している。その例としては、これに限られるものではないが、単結晶シリコン、ヒ化ガリウム及び燐化インジウムがある。そうした基板は半導体製造設備にて目撃及び/又は処理されることが多い。場合によってはウェハが基板のみで構成されうる(いわゆるベアウェハ)。そうではなく、ウェハが、基板上に形成された1個又は複数個の異種素材層を有していることもある。ウェハ上に形成された1個又は複数個の層が「パターニング」されていることも「未パターニング」なこともありうる。例えば、ウェハ内に複数個のダイがありそれらが可反復パターンフィーチャを有していることがありうる。
「レティクル」は、レティクル製造プロセスのいずれかの段階にあるレティクルでもレティクルの完成品でもよく、また半導体製造設備での使用向けにリリースされているものでもされていないものでもよい。レティクル或いは「マスク」は、一般に、その上にほぼ不透明な領域が形成されておりその領域がパターンをなしているほぼ透明な基板として定義される。その基板は、例えば、ガラス素材例えばアモルファスSiOを含有する。レティクルをレジストで覆われたウェハの上方に配し、リソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストへと転写することができる。
ウェハ上に形成された1個又は複数個の層はパターニング済でも未パターニングでもよい。例えば、ウェハ内に複数個のダイがありそれらがそれぞれ可反復パターンフィーチャを有するようにしてもよい。そうした素材層の形成及び処理によって、最終的にはデバイスの完成品を得ることができる。多種類のデバイスをウェハ上に形成しうるところ、本願中の用語ウェハは、本件技術分野で既知な任意種類のデバイスがその上に作り込まれるウェハを包含する趣旨のものである。
1個又は複数個の例示的実施形態では、上述の機能がハードウェア、ソフトウェア、ファームウェア又はそれらの任意の組合せの態で実現されうる。ソフトウェアの態で実現する際には、それらの機能が1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納され又はその媒体上で伝送されうる。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体の双方、例えばコンピュータプログラムをある場所から別の場所へと転送するのに役立つ媒体全てが包含される。格納媒体は、汎用又は専用コンピュータによるアクセスが可能な入手可能媒体であれば、どのような媒体でもよい。限定としてではなく例として言うなら、そうしたコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置をはじめ、命令又はデータ構造の形態を採る所望のプログラムコード手段の搬送又は格納に使用することが可能で、且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサがアクセスすることが可能な、任意の媒体を以て構成することができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、ソフトウェアをウェブサイト、サーバその他のリモートソースから送信するに当たり同軸ケーブル、光ファイバケーブル、ツイストペア、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波が用いられるのであれば、それら同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波は媒体の定義に収まる。本願中の用語ディスク(disk/disc)には、コンパクトディスク(CD)、レーザディスク、XRF(登録商標)ディスク、ディジタルバーサタイルディスク(DVD)、フロッピーディスク及びブルーレイ(登録商標)ディスクを含め、通常はデータが磁気的に再生されるディスク(disk)及びレーザで以てデータが光学的に再生されるディスク(disc)が包含される。上掲のものの組合せもまたコンピュータ可読媒体の範囲内に包含させるべきである。
教示目的である特定の諸実施形態を上述したが、本件特許出願の教示は一般的な適用可能性を有するものであり、上述の具体的諸実施形態に限定されるものではない。従って、上述の諸実施形態の諸特徴については、特許請求の範囲中で説明されている発明の技術的範囲から離隔することなく、様々な修正、適合化並びに組合せを実施することができる。また、本開示は、以下を含むことを付言する。
(1)ある量のX線輻射をある有限な放射エリアに亘り生成するステップと、
上記生成された量のX線輻射のうち計測下標本上に入射するもののビームを、そのX線輻射ビームのビーム路内に複数個のビーム整形スリットを位置決めすることで整形するステップであり、それら複数個のビーム整形スリットそれぞれが、そのX線輻射ビーム沿いの方向に沿いその計測下標本の100mm以内に配置されるステップと、
計測下標本を上記X線輻射入射ビームに対し複数通りの入射角に位置決めするステップであり、それら複数通りの入射角が、直交入射と、直交入射から少なくとも40°と、の間の範囲内にあるステップと、
上記複数通りの入射角にて上記X線輻射入射ビームに応じ計測下標本により散乱された輻射の量に係る一通り又は複数通りの強度を検出するステップと、
計測下標本上に配置された計測ターゲットに係る注目パラメタの値を、検出された強度に基づき求めるステップと、
を有する方法。
(2)上記(1)に記載の方法であって、上記複数個のビーム整形スリットの位置決めに当たり、コマンド信号を送ることで、少なくとも1個のアクチュエータにより、上記ビーム整形スリットのうち少なくとも1個をX線輻射ビーム沿いの方向に対し垂直な方向に動かす方法。
(3)上記(1)に記載の方法であって、更に、上記標本上に入射するX線輻射ビームの軸に名目上整列している軸周りで上記複数個のビーム整形スリットを回動させるステップを有する方法。

Claims (17)

  1. 計量システムであって、
    ある量のX線輻射を生成するよう構成されており、ある有限な放射エリアを有するX線照明源と、
    そのX線照明源と計測下標本との間のビーム路内に所在するビーム整形スリット機構であり、
    その標本の至近に所在する複数個のビーム整形スリット、
    そのビーム整形スリット機構のフレームに連結されており、それぞれ、複数個のビーム整形スリットのいずれに比べても上記標本から遠方に所在する複数個のアクチュエータ、
    上記複数個のビーム整形スリットのうち1個及び上記アクチュエータのうち1個にそれぞれ連結された複数個のアーム構造、並びに
    上記フレームに対するそれらアーム構造のうち1個のずれを計測するようそれぞれ構成された複数個の計測システム、
    を有し、上記複数個のビーム整形スリットそれぞれにより上記量のX線輻射のうち一部分が阻止され、計測下標本上に入射するX線輻射のビームスポットサイズがそれにより定まるビーム整形スリット機構と、
    そのX線輻射入射ビームに応じ計測下標本により散乱された輻射の量に係る強度を同時検出するよう構成されたX線検出器と、
    を備える計量システム。
  2. 請求項1に記載の計量システムであって、上記複数個のビーム整形スリットそれぞれと計測下標本との間の距離が100mm未満である計量システム。
  3. 請求項2に記載の計量システムであって、上記アクチュエータそれぞれと上記標本との間の、X線輻射入射ビーム沿い方向に沿った距離が、上記複数個のビーム整形スリットそれぞれとその標本との間の、X線輻射入射ビーム沿い方向に沿った最大距離の5倍超である計量システム。
  4. 請求項1に記載の計量システムであって、更に、
    上記X線輻射入射ビームに対し計測下標本を複数通りの入射角に位置決めしうるよう構成された標本位置決めシステムを備え、それら複数通りの入射角が、直交入射と、直交入射から少なくとも20°と、の間の範囲内にある計量システム。
  5. 請求項1に記載の計量システムであって、更に、
    情報処理システムを備え、その情報処理システムが、
    上記ビーム整形スリット機構にコマンド信号を送ることで、少なくとも1個のアクチュエータにより、少なくとも1個のビーム整形スリットを、上記X線輻射入射ビームのビーム軸に対し垂直な方向に動かすよう、構成されている計量システム。
  6. 請求項5に記載の計量システムであって、上記少なくとも1個のアクチュエータが、上記少なくとも1個のビーム整形スリットを、上記X線輻射入射ビームのビーム軸に対し垂直な方向に、少なくとも3mmに亘り10μm未満の位置決め不確定性で以て動かす計量システム。
  7. 請求項1に記載の計量システムであって、上記複数個のアーム構造それぞれが、対応するピボットジョイントにて上記フレームに連結されており、そのアーム構造に連結されているアクチュエータが、そのアーム構造を当該対応するピボットジョイント周りで回動させ、且つ、取り付けられているビーム整形スリットを上記X線輻射入射ビームのビーム軸に対し垂直な方向に動かす計量システム。
  8. 請求項1に記載の計量システムであって、上記複数個のアクチュエータそれぞれが圧電アクチュエータである計量システム。
  9. 請求項1に記載の計量システムであって、上記ビーム整形スリットそれぞれが開裂単結晶素材を含む計量システム。
  10. 請求項1に記載の計量システムであって、X線照明源・ビーム整形スリット機構間ビームラインのうち一部分が真空チャンバ内に収容されており、その真空チャンバの一部分がそのビーム整形スリット機構と機械的に一体化されている計量システム。
  11. 請求項1に記載の計量システムであって、上記ビーム整形スリット機構が、更に、
    上記ビーム整形スリット機構のフレームに連結されたロータリアクチュエータを備え、そのロータリアクチュエータが、上記標本上に入射するX線輻射ビームの軸に名目上整列している軸周りでそのビーム整形スリット機構を回動させるよう構成されている計量システム。
  12. 請求項1に記載の計量システムであって、上記複数個のビーム整形スリットそれぞれが、上記標本上に入射するX線輻射ビーム沿いの方向に沿いその計測下標本から別々の距離に所在している計量システム。
  13. X線照明源・計測下標本間ビーム路内に所在するビーム整形スリット機構であって、
    その標本の至近に所在する複数個のビーム整形スリットと、
    本ビーム整形スリット機構のフレームに連結されており、それぞれ、複数個のビーム整形スリットのいずれに比べても上記標本から遠方に所在する複数個のアクチュエータと、
    上記複数個のビーム整形スリットのうち1個及び上記アクチュエータのうち1個にそれぞれ連結された複数個のアーム構造と、
    上記フレームに対するそれらアーム構造のうち1個のずれを計測するようそれぞれ構成された複数個の計測システムと、
    を備え、上記複数個のビーム整形スリットそれぞれにより上記量のX線輻射のうち一部分が阻止され、それにより計測下標本上に入射するX線輻射のビームスポットサイズが定まるビーム整形スリット機構。
  14. 請求項13に記載のビーム整形スリット機構であって、上記複数個のビーム整形スリットそれぞれと計測下標本との間の距離が50mm未満であるビーム整形スリット機構。
  15. 請求項13に記載のビーム整形スリット機構であって、上記アクチュエータそれぞれと上記標本との間の、X線輻射入射ビーム沿い方向に沿った距離が、上記複数個のビーム整形スリットそれぞれとその標本との間の、X線輻射入射ビーム沿い方向に沿った最大距離の5倍超であるビーム整形スリット機構。
  16. 請求項13に記載のビーム整形スリット機構であって、更に、
    情報処理システムを備え、その情報処理システムが、
    本ビーム整形スリット機構にコマンド信号を送ることで、少なくとも1個のアクチュエータにより、少なくとも1個のビーム整形スリットを、上記X線輻射入射ビームのビーム軸に対し垂直な方向に動かすよう、構成されているビーム整形スリット機構。
  17. 請求項13に記載のビーム整形スリット機構であって、更に、
    本ビーム整形スリット機構のフレームに連結されたロータリアクチュエータを備え、そのロータリアクチュエータが、上記標本上に入射するX線輻射ビームの軸に名目上整列している軸周りで本ビーム整形スリット機構を回動させるよう構成されているビーム整形スリット機構。
JP2018555477A 2016-04-22 2017-04-24 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット Active JP6821700B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662326648P 2016-04-22 2016-04-22
US62/326,648 2016-04-22
US15/495,634 2017-04-24
PCT/US2017/029215 WO2017185101A1 (en) 2016-04-22 2017-04-24 Beam shaping slit for small spot size transmission small angle x-ray scatterometry
US15/495,634 US10359377B2 (en) 2016-04-22 2017-04-24 Beam shaping slit for small spot size transmission small angle X-ray scatterometry

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021000793A Division JP7001846B2 (ja) 2016-04-22 2021-01-06 X線計量方法

Publications (2)

Publication Number Publication Date
JP2019519759A JP2019519759A (ja) 2019-07-11
JP6821700B2 true JP6821700B2 (ja) 2021-01-27

Family

ID=60090118

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018555477A Active JP6821700B2 (ja) 2016-04-22 2017-04-24 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
JP2021000793A Active JP7001846B2 (ja) 2016-04-22 2021-01-06 X線計量方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021000793A Active JP7001846B2 (ja) 2016-04-22 2021-01-06 X線計量方法

Country Status (5)

Country Link
US (1) US10359377B2 (ja)
JP (2) JP6821700B2 (ja)
KR (1) KR102184603B1 (ja)
CN (1) CN109073902B (ja)
WO (1) WO2017185101A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10684238B2 (en) 2016-01-11 2020-06-16 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191167A (ja) * 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
WO2019219737A1 (en) * 2018-05-15 2019-11-21 Xenocs Sas Method and apparatus for x-ray scattering material analysis
CN112654861B (zh) * 2018-07-05 2024-06-11 布鲁克科技公司 小角度x射线散射测量
US10910188B2 (en) 2018-07-25 2021-02-02 Varian Medical Systems, Inc. Radiation anode target systems and methods
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
WO2020194986A1 (ja) 2019-03-28 2020-10-01 株式会社リガク 透過型小角散乱装置
PL3719484T3 (pl) * 2019-04-04 2024-05-13 Malvern Panalytical B.V. Urządzenie i sposób kształtowania wiązki promieniowania rentgenowskiego
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
JP7221536B2 (ja) * 2019-12-27 2023-02-14 株式会社リガク 散乱測定解析方法、散乱測定解析装置、及び散乱測定解析プログラム
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
CN113945174B (zh) * 2021-10-21 2023-10-17 中国工程物理研究院激光聚变研究中心 一种x射线投影测量图像尺寸校准方法
WO2023092059A1 (en) * 2021-11-22 2023-05-25 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on planar substrate
CN116296290B (zh) * 2023-03-21 2024-02-20 之江实验室 一种激光衍射发散角检测方法和装置

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8201343A (nl) 1982-03-31 1983-10-17 Philips Nv Roentgen analyse apparaat met instelbare strooistralenspleet.
JPH05118999A (ja) * 1991-10-07 1993-05-14 Technos Kenkyusho:Kk X線分析装置
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
GB0031040D0 (en) 2000-12-20 2001-01-31 Koninkl Philips Electronics Nv X-ray diffractometer
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
JP5074054B2 (ja) 2007-02-16 2012-11-14 株式会社日立メディコ X線画像診断装置
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
CN102648081B (zh) 2009-10-09 2014-12-10 宇部兴产株式会社 制造聚酰亚胺膜的方法和拉幅机装置
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
JP2013192751A (ja) * 2012-03-21 2013-09-30 Toshiba Corp X線診断装置及びx線診断装置の制御方法
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9161728B2 (en) 2012-05-25 2015-10-20 Kabushiki Kaisha Toshiba X-ray diagnosis apparatus and X-ray diagnosis assisting method
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
CN204116252U (zh) * 2014-08-27 2015-01-21 中国科学技术大学 一种立式超小角x射线散射装置
KR101596748B1 (ko) * 2015-10-08 2016-02-24 테크밸리 주식회사 얼라인수단을 구비하는 소각산란분석장치 및 이를 이용한 엑스선빔의 조정방법

Also Published As

Publication number Publication date
KR102184603B1 (ko) 2020-11-30
US20170307548A1 (en) 2017-10-26
CN109073902A (zh) 2018-12-21
JP2019519759A (ja) 2019-07-11
JP7001846B2 (ja) 2022-01-20
US10359377B2 (en) 2019-07-23
WO2017185101A1 (en) 2017-10-26
CN109073902B (zh) 2020-12-29
KR20180128516A (ko) 2018-12-03
JP2021063829A (ja) 2021-04-22

Similar Documents

Publication Publication Date Title
JP7001846B2 (ja) X線計量方法
US11955391B2 (en) Process monitoring of deep structures with X-ray scatterometry
JP6815401B2 (ja) 高アスペクト比構造向けx線スキャタロメトリ計量
JP6892921B2 (ja) 小角x線スキャトロメトリベースの計測システムの較正
JP7376666B2 (ja) 透過型小角x線散乱計量システム
JP7133030B2 (ja) X線依拠計測システムの校正及びアライメント用多層ターゲット
JP6906630B2 (ja) 小角x線スキャタロメトリ用x線ズームレンズ
JP2023033401A (ja) X線スキャトロメトリシステムのフルビーム計測

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200421

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20200421

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20200423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201208

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210106

R150 Certificate of patent or registration of utility model

Ref document number: 6821700

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250