KR20180128516A - 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿 - Google Patents

송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿 Download PDF

Info

Publication number
KR20180128516A
KR20180128516A KR1020187033896A KR20187033896A KR20180128516A KR 20180128516 A KR20180128516 A KR 20180128516A KR 1020187033896 A KR1020187033896 A KR 1020187033896A KR 20187033896 A KR20187033896 A KR 20187033896A KR 20180128516 A KR20180128516 A KR 20180128516A
Authority
KR
South Korea
Prior art keywords
sample
incident
ray
slit
slits
Prior art date
Application number
KR1020187033896A
Other languages
English (en)
Other versions
KR102184603B1 (ko
Inventor
알렉산더 비카노프
니콜라이 알테미에프
조셉 디레골로
존 비아텔라
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20180128516A publication Critical patent/KR20180128516A/ko
Application granted granted Critical
Publication of KR102184603B1 publication Critical patent/KR102184603B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/02Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diaphragms, collimators
    • G21K1/04Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diaphragms, collimators using variable diaphragms, shutters, choppers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0927Systems for changing the beam intensity distribution, e.g. Gaussian to top-hat
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/04Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and forming images of the material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4296Coupling light guides with opto-electronic elements coupling with sources of high radiant energy, e.g. high power lasers, high temperature light sources
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K7/00Gamma- or X-ray microscopes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/309Accessories, mechanical or electrical features support of sample holder
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/316Accessories, mechanical or electrical features collimators

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Optics & Photonics (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Mechanical Optical Scanning Systems (AREA)

Abstract

T-SAXS(Transmission, Small-Angle X-ray Scatterometry) 측정을 위한 조명 빔 스폿 크기에 대한 유한 소스 크기의 효과를 감소시키기 위한 방법 및 시스템이 본 명세서에 설명된다. 가느다란(slender) 프로파일을 갖는 빔 성형 슬릿은 측정 대상 시료에 아주 근접하여 위치되며, 빔 입사각의 전체 범위에 걸쳐 웨이퍼 스테이지 구성요소와 간섭하지 않는다. 일 실시예에서, 4개의 독립적으로 작동되는 빔 성형 슬릿은 입사하는 x선 빔의 일부를 효과적으로 차단하고, 박스 형상의 조명 단면을 갖는 출력 빔을 생성하는데 사용된다. 일 양태에서, 빔 성형 슬릿의 각각은 빔 축과 정렬된 방향으로 시료로부터 상이한 거리에 위치된다. 또 다른 양태에서, 빔 성형 슬릿은 시료의 배향과 함께 빔 축을 중심으로 회전하도록 구성된다.

Description

송신, 소형 각도 X선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
관련 출원에 대한 상호 참조
본 특허 출원은 35 U.S.C. §119 하에서 2016년 4월 22일자로 출원된 미국 가출원 제62/326,648호로부터 우선권을 주장하며, 이러한 가출원의 주제(subject matter)는 그 전체가 본 명세서에 참고로 통합된다.
기술 분야
설명된 실시예는 x선 계측(metrology) 시스템 및 방법에 관한 것으로, 보다 상세하게는 측정 정확도를 향상시키기 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는, 일반적으로 시료에 적용되는 일련의 프로세싱 단계들에 의해 제조된다. 반도체 디바이스의 다양한 피처(feature) 및 복수의 구조 레벨은, 이러한 프로세싱 단계들에 의해 형성된다. 예를 들어, 그 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 추가의 반도체 제조 프로세스의 예는, 화학적-기계적 연마, 에칭, 증착(deposition), 및 이온 주입을 포함하지만, 이에 한정되지는 않는다. 복수의 반도체 디바이스는 단일 반도체 웨이퍼 상에 제조된 후, 개별 반도체 디바이스로 분리될 수도 있다.
계측 프로세스는 웨이퍼의 결함을 검출하여 높은 수율을 촉진하기 위해 반도체 제조 프로세스 중에 다양한 단계들에서 사용된다. 스캐터로메트리(scatterometry) 및 반사 측정(reflectometry) 구현 및 관련 분석 알고리즘을 비롯한 복수의 계측 기반 기술은, 일반적으로 나노 크기 구조물의 임계 치수, 막 두께, 조성 및 다른 파라미터를 특성화하는데 사용된다.
종래에는, 스캐터로메트리 임계 치수 측정은 박막 및/또는 반복된 주기 구조물로 이루어진 타겟에서 수행된다. 디바이스 제조 동안에, 이러한 막과 주기적인 구조물은 일반적으로 실제 디바이스 기하학적 구조 및 재료 구조 또는 중간 설계를 나타낸다. 디바이스(예를 들어, 로직 및 메모리 디바이스)가 보다 작은 나노미터 규모의 치수로 이동함에 따라, 특성화가 더욱 어려워진다. 복잡한 3차원 기하학적 구조와 다양한 물리적 특성을 가진 재료를 통합한 디바이스는 특성화의 어려움에 기여한다. 예를 들어, 현대의 메모리 구조물은 종종 광학 방사선이 바닥 층을 침투하기 어렵게 만드는 높은 종횡비의 3차원 구조물이다. 적외선 내지 가시광선을 이용하는 광학 계측 툴은, 많은 층의 반투명 재료를 통과할 수 있지만, 침투 깊이가 좋은 더 긴 파장은 작은 변형에 충분한 민감도를 제공하지 못한다. 또한, 복잡한 구조물(예를 들어, FinFET)을 특성화하는데 필요한 파라미터의 수가 증가함에 따라, 파라미터 상관관계가 증가하게 된다. 결과적으로, 타겟을 특성화하는 파라미터는 종종 이용 가능한 측정으로 신뢰성있게 분리될 수 없다.
일 예에서, 스택에서 교대하는 재료 중 하나로서 폴리실리콘을 이용하는 3D 플래시 디바이스에 대한 침투 문제를 극복하기 위해 더 긴 파장(예를 들어, 근적외선)이 사용되었다. 그러나, 3D FLASH의 미러와 같은 구조물은 본질적으로 조명이 막 스택으로 더 깊숙이 전파될 때 광의 강도를 감소시킨다. 이는 감도 손실과 상관관계 문제를 심화시킨다. 이 시나리오에서, SCD는 민감도가 높고 상관관계가 낮은 감소된 세트의 계측 치수를 성공적으로 추출할 수 있다.
다른 예에서, 불투명한 하이-k 재료는, 현대의 반도체 구조물에 점점 더 많이 사용되고 있다. 광학 방사선은 종종 이러한 재료로 구성된 층을 관통할 수 없다. 결과적으로, 엘립소미터 또는 반사계와 같은 박막 스캐터로메트리 툴을 사용한 측정은, 점차 어려워지고 있다.
이러한 문제에 응답하여, 보다 복잡한 광학 계측 툴이 개발되어 있다. 예를 들어, 복수의 조명 각도, 보다 짧은 조명 파장, 더 넓은 범위의 조명 파장, 및 반사된 신호로부터의 더 완전한 정보 획득(예를 들어, 보다 일반적인 반사율 또는 엘립소메트리 신호 이외에도 다중 뮬러(Mueller) 매트릭스 요소의 측정)을 갖춘 툴이 개발되어 있다. 그러나, 이러한 접근법은 많은 진보된 타겟(예를 들어, 복잡한 3D 구조물, 10 nm보다 작은 구조물, 불투명한 재료를 사용하는 구조물)의 측정 및 측정 애플리케이션(예를 들어, 라인 에지 거칠기 및 선폭 거칠기 측정)과 연관된 근본적인 문제를 신뢰성있게 극복하지 못하였다.
원자력 현미경(atomic force microscope; AFM)과 스캐닝-터널링 현미경(scanning-tunneling microscope; STM)은 원자 분해능을 달성할 수 있지만, 시료의 표면만을 조사할 수 있다. 또한, AFM 및 STM 현미경은 긴 스캐닝 시간이 필요하다. 스캐닝 전자 현미경(SEM)은, 중간 분해능 레벨을 달성하지만, 구조물을 충분히 깊게 관통시킬 수는 없다. 따라서, 높은 종횡비의 구멍은 잘 특성화되지 않는다. 또한, 필요한 시료의 충전은 이미징 성능에 악영향을 미친다. x선 반사계는 또한 높은 종횡비 구조물을 측정할 때 유효성을 제한하는 침투 문제로 인해 어려움을 겪는다.
침투 깊이 문제를 극복하기 위해, TEM, SEM 등과 같은 종래의 이미징 기술은 포커싱된 이온빔(focused ion beam; FIB) 가공, 이온 밀링, 블랭킷 또는 선택적 에칭 등과 같은 파괴적인 샘플 준비 기술과 함께 사용된다. 예를 들어, 투과 전자 현미경(TEM)은 높은 분해능 레벨을 달성하고, 임의의 깊이를 조사할 수 있지만, TEM은 시료의 파괴적인 절편(sectioning)을 필요로 한다. 재료 제거 및 측정에 대한 여러 반복은, 일반적으로 3차원 구조 전반에 걸친 중요한 계측 파라미터를 측정하는데 필요한 정보를 제공한다. 그러나, 이러한 기술은 샘플 파괴와 긴 프로세스 시간을 필요로 한다. 이러한 유형의 측정을 완료하는 복잡성 및 시간은, 에칭 및 계측 단계의 드리프트로 인해 큰 부정확성을 초래한다. 또한, 이러한 기술은 등록 오류를 유발하는 많은 반복을 필요로 한다.
T-SAXS(Transmission, Small-Angle X-Ray Scatterometry) 시스템은 까다로운 측정 애플리케이션을 처리할 같은 조짐을 보여왔다. 현재의 T-SAXS 툴은 측정 대상 시료에 입사하는 조명 빔을 형성하도록 빔 형성 슬릿을 사용한다. 빔 발산(divergence) 성형 슬릿은 포커싱 광학 기기의 전후에 빔 경로 내에 위치하여, 빔의 발산 각을 규정한다. 빔 성형 슬릿은 웨이퍼 상에 입사하는 빔 스폿의 크기를 규정하기 위해 빔 발산 성형 슬릿 이후의 빔 경로 내에 위치된다.
불행하게도, 이용 가능한 x선 소스는 빔 전파 방향에 직각인 방향으로 유한 치수를 갖는다. 유한 소스 크기로 인해, 시료에 입사하는 빔 스폿은, 빔 성형 슬릿의 크기 및 광학 기기(예를 들어, 포커싱 광학 기기, 콜리메이팅(collimating) 광학 기기 등)로부터의 소스의 각도 치수에 의해 규정된다. 예를 들어, 광학 시스템의 초점 평면에서의 x선 소스의 이미지 크기는, 광학 기기의 실제 크기 및 배율에 의해 규정된다. 광학 기기의 배율은, 포커싱 옵틱(optic)으로부터 이미지까지의 거리와 포커싱 옵틱으로부터 소스까지의 거리의 비율이다. 또한, 포커싱 광학 기기의 기울기 및 도형 오차는 빔 스폿 크기를 추가로 증가시킬 것이다. 현재의 시스템은 50 마이크로미터 이하의 빔 스폿 크기가 요구되는 스크라이브 라인에 위치되는 계측 타겟의 측정 요건을 충족시키지 못한다.
이러한 문제를 해결하기 위해, 빔 성형 슬릿의 크기를 감소시킬 수 있다. 그러나 이는 광자 플럭스를 대폭 감소시켜, 측정 값을 비효율적으로 만든다. 또한, 빔 성형 슬릿의 크기를 감소시키는 것은, 빔 발산이 항상 측정 대상 시료에 대한 입사 지점에서의 빔 확산에 기여하기 때문에 문제를 완전히 해결하지는 못한다. 예를 들어, 일반적인 T-SAXS 시스템에서는, 빔 성형 슬릿이 측정 대상 시료의 표면으로부터 250 밀리미터 보다 크게 떨어져 있다. 이러한 시스템에 존재하는 일반적인 빔 발산의 경우에, 빔 성형 슬릿의 크기가 극히 작더라도 30-40 마이크로미터의 빔 스폿 크기가 예상된다. 물론, 이러한 배열은 측정 시스템이 그러한 방식으로 구성되는 경우에, 시료에 극소량의 조명이 투사되기 때문에 실용적이지 않다.
빔 스폿 크기에 대한 빔 발산의 영향은 빔 성형 슬릿을 시료에 더 가깝게 위치시킴으로써 감소될 수 있다. 그러나, 현재의 관행에서, 이것은 T-SAXS 시스템에 대해서는 달성되지 않았다. 효과적인 T-SAXS 계측 시스템은 인입되는 빔에 대하여 상이한 입사각으로 배향된 시료의 측정을 수행한다. 다시 말해, 시료의 표면 법선이 조명 빔의 빔 축으로부터 30도 이상만큼 배향되도록, 입사 빔에 대해 시료가 기울어져 있다. 이러한 조건하에서, 종래의 빔 성형 슬릿은 시료로부터 상당한 거리를 두고 떨어져 있지 않으면, 시료와 기계적으로 간섭된다. 현재의 KLA-Tencor Corporation에 의해 구현된 시스템에서, 빔 성형 슬릿과 측정 대상 시료 사이의 거리는 260 밀리미터이다.
미국 특허 제7,406,153호는 측정 대상 시료에 아주 근접하여 나이프 에지 빔 블록을 사용하는 그레이징(grazing) 입사 툴을 기술하고 있다. 그러나, 개시된 빔 블록은 법선 조명 또는 법선으로부터 50도까지의 각도에서의 조명이 요구되는 T-SAXS 툴이 아닌, 그레이징 입사 툴의 맥락에서만 기능한다.
디바이스 성능을 더욱 향상시키기 위해, 반도체 산업은 수평 스케일링보다는 수직 통합에 계속 집중하고 있다. 따라서, 복잡하고 완전한 3차원 구조물을 정확하게 측정하는 것이 생존력과 지속적인 스케일링 개선을 보장하는데 중요하다. 미래의 계측 애플리케이션은 점점 더 작은 분해능 요구, 다중 파라미터 상관관계, 높은 종횡비 구조를 포함한 점점 더 복잡한 기하학적 구조 및 불투명한 재료의 사용 증가로 인해 계측에 대한 문제점을 제시한다. 따라서, 개선된 T-SAXS 측정을 위한 방법 및 시스템이 요구된다.
T-SAXS(Transmission, Small-Angle X-ray Scatterometry) 측정을 위한 조명 빔 스폿 크기에 대한 유한 소스 크기의 효과를 감소시키기 위한 방법 및 시스템이 본 명세서에 설명된다.
일 양태에서, 빔 성형 슬릿은 측정 대상 시료(specimen under measurement)에 아주 근접하게 위치된다. 빔 성형 슬릿은, T-SAXS 측정 시스템의 입사각이 큰 경우(예를 들어, 입사각이 최대 60도 까지)에도 웨이퍼 스테이지 구성요소와 간섭하지 않는 가느다란(slender) 프로파일을 갖는다. 빔 성형 슬릿을 시료에 아주 근접하게 위치시킴으로써, 빔 스폿 크기에 대한 빔 발산(divergence) 효과가 최소화된다. 또한, 입사 빔 스폿의 빔 프로파일이 에지에서 최소의 섀도우 존으로 예리하게 규정되기 때문에, 계측 타겟 상의 빔 배치 정확도가 개선된다.
일부 실시예에서, 빔 성형 슬릿 기구는 복수의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 일 실시예에서, 4개의 독립적으로 작동되는 빔 성형 슬릿이 인입 x선 빔의 일부를 효과적으로 차단하고, 상자 형상의 조명 단면을 갖는 출력 빔을 생성하는데 사용된다.
추가의 양태에서, 빔 성형 슬릿 기구의 슬릿의 각각은 빔 축을 따라 시료의 표면으로부터 상이한 거리에 위치된다. 이러한 방식으로, 기계적 간섭없이 상기 빔 축을 따라 보여질 때, 슬릿은 공간적으로 중첩된다.
다른 추가의 양태에서, 빔 성형 슬릿 기구는 각 입사각, 방위각, 또는 양자에 대해 입사 빔의 프로파일을 최적화하기 위해 시료의 배향과 함께 빔 축을 중심으로 회전하도록 구성된다. 이러한 방식으로, 빔 형상은 계측 타겟의 형상과 매치된다.
다른 양태에 있어서, T-SAXS 시스템의 측정 품질 및 성능은 측정된 0차 빔의 특성에 기초하여 추정된다. 0차 빔의 측정된 특성은 빔 형상, 강도, 위치, 프로파일, 기울기, 회전, 비대칭, 또는 이들의 임의의 조합을 포함하지만, 이에 한정되지는 않는다.
추가의 양태에 있어서, 계측 시스템의 측정 품질 및 성능은, 측정된 0차 빔에 기초하여 제어된다. 일부 예들에서, 전술한 측정 품질 및 성능의 추정치는 피드백 제어기에 입력으로서 제공된다. 피드백 제어기는 측정 시스템의 품질 및 성능을 향상시키는 계측 시스템의 하나 이상의 요소 상태를 변경시키는 제어 커맨드를 전달한다.
다른 추가의 양태에 있어서, 계측 시스템은 시료의 측정된 구조의 구조 모델(예를 들어, 기하학적 모델, 재료 모델, 또는 결합된 기하학적 및 재료 모델)을 생성하고, 구조 모델로부터 적어도 하나의 기하학적 파라미터를 포함하는 T-SAXS 응답 모델을 생성하고, 그 응답 모델을 이용하여 특정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 표본 파라미터 값을 분석하도록 구성된다. 이러한 방식으로, 시뮬레이션된 T-SAXS 신호와 측정된 데이터의 비교는, 전자 밀도 및 원소 식별 및 샘플 조성과 같은 재료 특성뿐만 아니라 기하학적 특성의 결정을 가능하게 한다.
추가의 양태에 있어서, 관심 대상의 하나 이상의 파라미터의 값의 초기 추정은, 측정 타겟에 대한 입사 x선 빔의 단일 배향에서 수행된 T-SAXS 측정에 기초하여 결정된다. 초기 추정 값은 복수의 배향에서의 측정으로부터 수집된 측정 데이터를 사용하여 측정 모델의 회귀에 대한 관심 파라미터의 시작 값으로서 구현된다. 이러한 방식으로, 관심 대상의 파라미터의 근사 추정이, 비교적 적은 양의 계산 노력으로 결정되고, 훨씬 더 큰 데이터 세트에 대한 회귀에 대한 시작점으로서 이러한 근사 추정을 구현함으로써, 관심 대상의 파라미터의 전체적인 계산 노력이 적어진다.
추가의 양태에 있어서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정 구조의 이미지를 생성하는데 사용된다. 일부 실시예에서, 응답 함수 모델은 일반 전자 밀도 메시로부터의 산란을 설명하기 위해 일반화된다. 측정된 신호와 이 모델을 정합시키고, 이 메시에서 모델링된 전자 밀도를 제한하면서 연속성과 희소한 에지를 적용하여, 샘플의 3차원 이미지를 제공한다.
전술한 내용은 개요이므로, 필요에 따라 세부 사항의 단순화, 일반화, 및 생략을 포함하며, 결론적으로, 당업자는 개요가 단지 예시적인 것이며 임의의 방식으로 제한하지 않음을 이해할 것이다. 본 명세서에 설명된 디바이스 및/또는 프로세스의 다른 양태, 진보된 피처, 및 이점은 본 명세서에 제시된 비제한적인 상세한 설명에서 명백해질 것이다.
도 1은 본 명세서에 설명된 방법에 따라 측정 대상 시료에 아주 근접한 빔 성형 슬릿을 사용하여 T-SAXS 측정을 수행하도록 구성된 계측 시스템(100)을 도시하는 도면이다.
도 2는 큰 입사각에서의 x선 방사선의 입사 빔에 대하여 배향된 시료와 함께 도 1에 표시된 계측 시스템(100)을 도시하는 도면이다.
도 3은 적어도 하나의 신규한 양태에서 빔 성형 슬릿 기구(120)의 실시예를 도시한다.
도 4는 각도(φ 및 θ)로 설명된 특정 배향으로 웨이퍼(101) 상에 입사하는 x선 조명 빔(116)을 도시한다.
도 5는 하나의 구성의 빔 성형 슬릿 기구(120)의 평면도를 도시한다.
도 6은 다른 구성의 빔 성형 슬릿 기구(120)의 평면도를 도시한다.
도 7은 시료(101)으로부터 분리된 진공 환경에 포함된 계측 시스템(100)의 요소들을 도시하는 도면이다.
도 8은 본 명세서에 설명된 방법에 따라 T-SAXS 데이터에 기초하여 시료 파라미터 값을 분석하도록 구성된 모델 구축 및 분석 엔진(150)을 도시하는 도면이다.
도 9는 본 명세서에 설명된 바와 같이 측정 대상 시료에 아주 근접한 빔 성형 슬릿을 갖는 T-SAXS 측정에 기초한 구조물을 측정하는 예시적인 방법(200)을 도시하는 흐름도를 표시한다.
이제, 배경 예들과 본 발명의 일부 실시예들에 대해 상세히 참조할 것이며, 그 예들은 첨부 도면들에 도시되어 있다.
T-SAXS(Transmission, Small-Angle X-ray Scatterometry) 측정을 위한 조명 빔 스폿 크기에 대한 유한 소스 크기에 의해 규정된 빔 발산의 효과를 감소시키기 위한 방법 및 시스템이 본 명세서에 설명된다. 반도체 제조 환경에서의 실용적인 T-SAXS 측정은 시료(예를 들어, 반도체 웨이퍼)의 표면 및 작은 빔 스폿 크기(예를 들어, 유효 조명 스폿 전체에 걸쳐서 50 마이크로미터 미만)와 관련하여 넓은 범위의 입사각을 측정해야 한다.
일 양태에서, 빔 성형 슬릿은 측정 대상 시료(즉, 100 밀리미터 미만)에 아주 근접하여 위치된다. 빔 성형 슬릿은 T-SAXS 측정 시스템의 전체 입사각의 동작 범위(예를 들어, 최대 60도의 입사각)에 걸쳐 웨이퍼 스테이지 구성요소와 간섭되지 않는 가느다란 프로파일을 갖는다. 빔 성형 슬릿을 시료에 아주 근접하게 위치시킴으로써, 빔 스폿 크기에 대한 빔 발산 효과가 최소화된다. 또한, 입사 빔 스폿의 빔 프로파일이 에지에서 최소의 섀도우 존으로 예리하게 규정되기 때문에, 계측 타겟 상의 빔 배치 정확도가 개선된다.
일부 실시예에서, 본 명세서에 설명된 바와 같은 T-SAXS 측정을 특징으로 하는 계측 타겟은 측정 대상 웨이퍼의 스크라이브 라인 내에 위치된다. 이들 실시예에서, 계측 타겟은 스크라이브 라인의 폭 내에 맞도록 크기가 정해진다. 일부 예에서, 스크라이브 라인 폭은 80 마이크로미터 미만이다. 일부 예에서, 스크라이브 라인은 50 마이크로미터 미만이다. 일반적으로, 반도체 제조에 사용되는 스크라이브 라인의 폭은 점점 작아지고 있다.
일부 실시예들에서, 본 명세서에 설명된 바와 같은 T-SAXS 측정을 특징으로 하는 계측 타겟은, 측정 대상 웨이퍼의 활성 다이 영역 내에 위치되며, 기능적 집적 회로(예를 들어, 메모리, 이미지 센서, 로직 디바이스 등)의 일부이다.
일반적으로, 조명 빔 스폿 크기는 측정 대상의 계측 타겟을 둘러싸고 있는 구조물로부터의 오염 신호를 최소화하기 위해 측정 대상의 계측 타겟의 측면 치수와 밀접하게 매치되는 것이 바람직하다. 일부 실시예에서, 측정 대상의 계측 타겟은 임의의 측면 치수에서 50 마이크로미터 미만이다. 일부 실시예에서, 측정 대상의 계측 타겟은 임의의 측면 치수에서 30 마이크로미터 미만이다. 일부 실시예에서, 빔 스폿 크기는 50 마이크로미터 미만이다. 일부 실시예에서, 빔 스폿 크기는 30 나노미터 미만이다. 이는 스크라이브 라인 내에 위치되는 계측 타겟의 제어된 조명을 가능하게 한다.
도 1은 본 명세서에 제시된 예시적인 방법에 따라 시료의 특성을 측정하기 위한 T-SAXS 계측 툴(100)의 실시예를 도시한다. 도 1에 도시된 바와 같이, 시스템(100)은 조명 빔 스폿에 의해 조명된 시료(101)의 검사 영역(102)에 걸쳐 T-SAXS 측정을 수행하는데 사용될 수도 있다.
도시된 실시예에서, 계측 툴(100)은 T-SAXS 측정에 적합한 x선 방사선을 생성하도록 구성된 x선 조명 소스(110)를 포함한다. 일부 실시예에서, x선 조명 시스템(110)은 0.01 나노미터 내지 1 나노미터 사이의 파장을 생성하도록 구성된다. 일반적으로, 높은 처리량, 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 x선을 생성할 수 있는 임의의 적합한 고휘도 x선 조명 소스는, T-SAXS 측정을 위한 x선 조명을 공급하도록 고려될 수도 있다. 일부 실시예에서, x선 소스는 x선 소스가 상이한, 선택 가능한 파장으로 x선 방사선을 전달할 수 있게 하는 조정 가능한 모노크로메이터(tunable monochromator)를 포함한다.
일부 실시예에서, 15keV보다 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 x선 소스가 사용되어, x선 소스가 웨이퍼 기판뿐만 아니라 전체 디바이스를 통한 충분한 투과를 허용하는 파장의 광을 공급하도록 보장한다. 비한정적인 예로서, 입자 가속기 소스, 액체 애노드 소스, 회전 애노드 소스, 고정식 고체 애노드 소스, 마이크로 포커스 소스, 마이크로 포커스 회전 애노드 소스, 및 역 콤프턴(Inverse Compton) 소스 중 임의의 것이, x선 소스(110)로서 사용될 수도 있다. 일 예로서, 미국 캘리포니아주 팔로 알토(Palo Alto) 소재의 Lyncean Technologies, Inc.에서 입수할 수 있는 역 콤프턴 소스가 고려될 수도 있다. 역 콤프턴 소스는 광자 에너지의 범위에 걸쳐 x선을 생성할 수 있다는 추가적인 이점을 가지므로, x선 소스가 서로 다른, 선택 가능한 파장의 x선 방사선을 제공할 수 있다.
예시적인 x선 소스는 고체 또는 액체 타겟을 폭격하여 x선 방사선을 자극하도록 구성된 전자 빔 소스를 포함한다. 고휘도, 액체 금속 x선 조명을 생성하는 방법 및 시스템은, KLA-Tencor Corp.에 2011년 4월 19일자로 발행된 미국 특허 제7,929,667호에 개시되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다.
x선 조명 소스(110)는 유한 측방 치수(즉, 빔 축에 직교하는 0이 아닌 치수)를 갖는 소스 영역에 걸쳐 x선 방사선을 생성한다. 포커싱 광학 기기(111)는 소스 방사선을 타겟에 포커싱한다. 유한의 측방 소스 치수는, 소스의 에지로부터 오는 광선(117)에 의해 규정된 타겟(101) 상의 유한 스폿 크기(102)를 초래한다. 일부 실시예에서, 포커싱 광학 기기(111)는 타원형의 포커싱 광학 요소를 포함한다.
빔 발산 제어 슬릿(112)은 포커싱 광학 기기(111)와 빔 성형 슬릿 기구(120) 사이의 빔 경로에 위치된다. 빔 발산 제어 슬릿(112)은 측정 대상 시료에 제공된 조명의 발산을 제한한다. 추가의 중간 슬릿(113)은 빔 발산 제어 슬릿(112)과 빔 성형 슬릿 기구(120) 사이의 빔 경로에 위치된다. 중간 슬릿(113)은 추가의 빔 성형을 제공한다. 그러나, 일반적으로 중간 슬릿(113)은 선택적이다.
빔 성형 슬릿 기구(120)는 시료(101) 직전의 빔 경로에 위치된다. 일 양태에서, 빔 성형 슬릿 기구(120)의 슬릿은 유한 소스 크기에 의해 규정된 빔 발산으로 인한 입사 빔 스폿 크기의 확장을 최소화하기 위해 시료(101)에 아주 근접하여 위치된다. 일부 실시예에서, 빔 성형 슬릿 기구(120)의 슬릿은 시료(101)의 빔 입사 위치의 50 밀리미터 내에 위치된다. 일부 실시예에서, 빔 성형 슬릿 기구(120)의 슬릿은 시료(101)의 빔 입사 위치의 30 밀리미터 내에 위치된다. 일부 실시예에서, 빔 성형 슬릿 기구(120)의 슬릿은 시료(101)의 빔 입사 위치의 10 밀리미터 내에 위치된다. 일 예에서, 유한 소스 크기에 의해 생성된 섀도우로 인한 빔 스폿 크기의 확장은, 10 마이크로미터 x선 소스 크기에 대해 약 1 마이크로미터이고, 빔 성형 슬릿과 시료(101) 사이에는 25 밀리미터의 거리를 가진다.
빔 성형 슬릿 기구(120)는 시료(101)가 넓은 입사각 범위로 배향될 때 시료 위치결정 시스템(140)과 기계적 간섭이 없도록 형성된다. 일부 실시예에서, 조명 빔은 수직 입사로부터 60도만큼 변하는 입사각으로 시료(101)의 표면에 제공된다. 도 2는 시료 위치결정 시스템(140)이 입사 조명 광의 빔에 대해 비수직 입사각으로 시료(101)를 위치 결정시킬 때의 T-SAXS 시스템(100)을 도시한다. 예시를 위하여, 시료(101)로부터 산란된 광은 도 2에 도시되어 있다. 도 2에 도시된 바와 같이, 시료(101)의 표면 법선은 입사 조명 광의 빔 축에 대하여 각도 α로 배향된다. 일부 실시예에서, 빔 성형 슬릿 기구(120)는 시료(101)가 20도 이상의 각도 α로 배향될 때 시료 위치결정 시스템(140)과 기계적 간섭이 없도록 형성된다. 일부 실시예에서, 빔 성형 슬릿 기구(120)는 시료(101)가 40도 이상의 각도 α로 배향될 때 시료 위치결정 시스템(140)과 기계적 간섭이 없도록 형성된다. 일부 실시예에서, 빔 성형 슬릿 기구(120)는 시료(101)가 60도 이상의 각도 α로 배향될 때 시료 위치결정 시스템(140)과 기계적 간섭이 없도록 형성된다.
일반적으로, x선 광학 기기는 시료(101)에 x선 방사선을 형성하여 그 방사선을 시료(101)에 전달한다. 일부 예에서, x선 광학 기기는 시료(101)에 입사하는 x선 빔을 단색화하기 위한 x선 모노크로메이터를 포함한다. 일 예에서, Loxley-Tanner-Bowen 모노크로메이터와 같은 결정 모노크로메이터는, x선 방사선의 빔을 단색화하기 위해 사용된다. 일부 예에서, x선 광학 기기는 다층 x선 광학 기기를 사용하여 1 밀리 라디안 발산보다 작게 시료(101)의 검사 영역(102) 상으로 x선 빔을 콜리메이팅 또는 포커싱한다. 이러한 예에서, 다층 x선 광학 기기는 빔 모토크로메이터로도 기능한다. 일부 실시예에서, x선 광학 기기는, 하나 이상의 x선 콜리메이팅 미러, x선 조리개, x선 빔 정지 기기, 굴절 x선 광학 기기, 존 플레이트와 같은 회절 광학 기기, 몬텔(Montel) 광학 기기, 그레이징 입사 타원형 미러와 같은 스페큘러 x선 광학 기기, 중공 모세관 x선 도파관과 같은 폴리카필러리 광학 기기, 다층 광학 기기 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 더 상세한 내용은, 미국 특허 공보 제2015/0110249호에 개시되어 있으며, 그 내용은 그 전체가 본 명세서에 참고로 통합된다.
x선 검출기(119)는 시료(101)로부터 산란된 x선 방사선(114)을 수집하고, T-SAXS 측정 방식에 따라 입사 x선 방사선에 민감한 시료(101)의 특성을 나타내는 출력 신호(135)를 생성한다. 일부 실시예에서, 산란된 x선(114)은 x선 검출기(119)에 의해 수집되는 반면에, 시료 위치결정 시스템(140)은 시료(101)를 위치시키고 배향시켜 각도 분해된 산란 x선을 생성한다.
일부 실시예에서, T-SAXS 시스템은 높은 동적 범위(예를 들어, 105보다 큼)를 가지는 하나 이상의 광자 계수 검출기 및 손상없이 그리고 최소한의 기생적 후방 산란을 가진 다이렉트 빔(즉, 0차 빔)을 흡수하는 고흡수성의 두꺼운 결정 기판을 포함한다. 일부 실시예에서, 단일 광자 계수 검출기는 검출된 광자의 위치 및 수를 검출한다.
일부 실시예에서, x선 검출기는 하나 이상의 x선 광자 에너지를 분해하고, 시료의 특성을 나타내는 각각의 x선 에너지 성분에 대한 신호를 생성한다. 일부 실시예에서, x선 검출기(119)는 CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기, 가스 충전 비례 계수기, 신틸레이터, 또는 형광 재료 중 임의의 것을 포함한다.
이러한 방식으로, 검출기 내의 x선 광자 상호작용은 픽셀 위치 및 카운트 수뿐만 아니라 에너지에 의해 판별된다. 일부 실시예에서, x선 광자 상호작용은 x선 광자 상호작용의 에너지를 미리 결정된 상위(upper) 임계 값 및 미리 결정된 하위(lower) 임계 값과 비교함으로써 판별된다. 일 실시예에서, 이 정보는 추가의 프로세싱 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 전달된다.
추가의 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시료의 특성(예를 들어, 구조 파라미터 값)을 결정하는데 사용된다. 도 1에 도시된 바와 같이, 계측 툴(100)은 검출기(119)에 의해 생성된 신호(135)를 획득하고, 획득된 신호에 적어도 부분적으로 기초하여 시표의 특성을 결정하도록 사용된 컴퓨팅 시스템(130)을 포함한다.
일부 예에서, T-SAXS에 기초한 계측은 측정된 데이터를 갖는 미리 결정된 측정 모델의 역 솔루션에 의해 샘플의 치수를 결정하는 것을 포함한다. 측정 모델은 몇 가지(10개 정도) 조정 가능한 파라미터를 포함하며 시료의 기하학 및 광학 특성과 측정 시스템의 광학 특성을 나타낸다. 역 해법의 방법은, 모델 기반 회귀, 단층 촬영, 기계 학습, 또는 이들의 임의의 조합을 포함하지만, 이에 한정되지는 않는다. 이러한 방식으로, 타겟 프로파일 파라미터는 측정된 산란 x선 강도와 모델링된 결과 간의 오차를 최소화하는 파라미터화된 측정 모델의 값을 해결함으로써 추정된다.
추가의 양태에서, T-SAXS 측정은 충분한 깊이 및 전체 종횡비를 특징짓기 위해 충분한 해상도 및 침투 깊이를 제공하는 입사각 범위에 걸쳐 수행된다.
일부 실시예에서, 도 1에 도시된 좌표계(146)에 의해 표시된 x 축 및 y 축 주위의 회전에 의해 설명된 상이한 배향에서 측정을 수행하는 것이 바람직하다. 이는 측정된 파라미터의 정밀도와 정확도를 증가시키고, 분석에 이용할 수 있는 데이터 세트의 수와 다양성을 확장하여 다양한 큰 각도의 면외 방향 배향을 포함하도록, 파라미터 간의 상관관계를 감소시킨다. 더 깊고 다양한 데이터 세트로 시료 파라미터를 측정하면 또한 파라미터 간의 상관관계를 감소시키고 측정 정확도를 향상시킬 수 있다. 예를 들어, 수직 배향에서, T-SAXS는 피처의 임계 치수를 해석할 수 있지만, 피처의 측벽 각도 및 높이에 크게 영향을 받지 않는다. 그러나 광범위한 면외 각도 위치에 걸쳐 측정 데이터를 수집함으로써, 피처의 측벽 각도와 높이를 분석할 수 있다.
웨이퍼 표면 수직에 대한 x선 입사각의 함수로서 회절된 방사선의 강도의 측정치가 수집된다. 다중 회절 차수에 포함된 정보는 일반적으로 고려중인 각 모델 파라미터 사이에서 고유하다. 따라서, x선 산란은 작은 오차 및 감소된 파라미터 상관관계를 갖는 관심 대상의 파라미터의 값에 대한 추정 결과를 산출한다.
도 1에 도시된 바와 같이, 계측 툴(100)은 스캐터로미터의 빔 축에 대하여 넓은 범위의 입사각에 걸쳐 시료(101)를 정렬하고 시료(101)를 배향시키도록 구성된 시료 위치결정 시스템(140)을 포함한다. 다시 말해서, 시료 위치결정 시스템(140)은 시료(101)의 표면과 면내 정렬된 하나 이상의 회전 축을 중심으로 큰 각도 범위에 걸쳐 시료(101)을 회전시키도록 구성된다. 일부 실시예에서, 시료 위치결정 시스템(140)은, 시편(101)의 표면과 면내 정렬된 하나 이상의 회전 축을 중심으로 적어도 120도의 범위 내에서 시료(101)를 회전시키도록 구성된다. 일부 실시예에서, 시료 위치결정 시스템은 시료(101)의 표면과 면내 정렬된 하나 이상의 회전 축을 중심으로 적어도 90도의 범위 내에서 시료(101)를 회전시키도록 구성된다. 이러한 방식으로, 시료(101)의 각도 분해 측정은 시료(101)의 표면 상의 임의의 수의 위치에 걸쳐 계측 시스템(100)에 의해 수집된다. 일 예에서, 컴퓨팅 시스템(130)은 시료(101)의 원하는 위치를 나타내는 시료 위치결정 시스템(140)의 움직임 제어기(145)에 커맨드 신호를 전달한다. 이에 응답하여, 움직임 제어기(145)는 시료(101)의 원하는 위치 결정을 달성하기 위해 시료 위치결정 시스템(140)의 다양한 액츄에이터에 대한 커맨드 신호를 생성한다.
비한정적인 예로서, 도 1에 도시된 바와 같이, 시료 위치결정 시스템(140)은 시료(101)를 시료 위치결정 시스템(140)에 고정식으로 부착하기 위한 에지 그립 척(141)을 포함한다. 회전 액츄에이터(142)는 주변 프레임(143)에 대해 에지 그립 척(141) 및 부착된 시료(101)를 회전시키도록 구성된다. 도시된 실시예에서, 회전 액츄에이터(142)는 도 1에 도시된 좌표계(146)의 x축을 중심으로 시료(101)를 회전시키도록 구성된다. 도 1에 도시된 바와 같이, z축을 중심으로 하는 시료(101)의 회전은 시료(101)의 면내 회전이다. x축 및 y축(도시되지 않음)을 중심으로 하는 회전은, 계측 시스템(100)의 스캐터로메트리 요소에 대하여 시료의 표면을 효과적으로 기울이는 시료(101)의 면외 회전이다. 도시되지는 않았지만, 제2 회전 액츄에이터는 y축을 중심으로 시료(101)를 회전시키도록 구성된다. 선형 액츄에이터(144)는 x방향으로 주변 프레임(143)을 병진 이동시키도록 구성된다. 다른 선형 액츄에이터(도시되지 않음)는 y방향으로 주변 프레임(143)을 병진 이동시키도록 구성된다. 이러한 방식으로, 시료(101)의 표면 상의 모든 위치는 면외 각도 위치의 범위에 걸쳐 측정에 이용 가능하다. 예를 들어, 일 실시예에서, 시료(101)의 위치는 시료(101)의 수직 배향에 대하여 -45도 내지 +45도의 범위 내에서 수 개의 각도 증분에 걸쳐 측정된다.
일반적으로, 시료 위치결정 시스템(140)은 고니오미터(goniometer) 스테이지, 헥사포드 스테이지, 각 스테이지 및 선형 스테이지를 포함하지만 이에 한정되지 않는, 원하는 선형 및 각도 위치결정 성능을 달성하기 위한 기계적 요소의 임의의 적절한 조합을 포함할 수도 있다.
일반적으로, 조명 광학 시스템의 초점 평면은 각 측정 애플리케이션을 위하여 최적화되어 있다. 이러한 방식으로, 시스템(100)은 측정 애플리케이션에 따라 시료 내의 다양한 깊이에서 초점면을 위치시키도록 구성된다. 일 예에서, 시료 위치결정 시스템(140)은 시료(101) 내의 원하는 깊이에서 광학 시스템의 초점면 내에 웨이퍼를 위치시키기 위해 z방향으로 시료(101)를 이동시키도록 구성된다.
도 3은 적어도 하나의 신규한 양태에서 빔 성형 슬릿 기구(120)의 실시예를 도시한다. 빔 성형 슬릿 기구(120)는 복수의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 도 3에 도시된 실시예에서, 빔 성형 슬릿 기구(120)는 4개의 독립적으로 작동되는 빔 성형 슬릿(126-129)을 포함한다. 이러한 4개의 빔 성형 슬릿은, 입사 빔(115)의 일부를 효과적으로 차단하고, 박스 형상의 조명 단면을 갖는 출력 빔을 생성한다.
도 5는 도 3에 도시된 빔 성형 슬릿 기구(120)의 평면도를 도시한다. 도 5 및 도 6에 도시된 바와 같이, 빔 축은 도면 페이지에 대하여 수직이다. 도 5에 도시된 바와 같이, 인입 빔(115)은 큰 단면을 갖는다. 일부 실시예에서, 인입 빔(115)은 대략 1 밀리미터의 직경을 갖는다. 또한, 슬릿(126-129) 내의 인입 빔(115)의 위치는, 빔 포인팅 오차로 인해 약 3 밀리미터의 불확실성을 가질 수도 있다. 인입 빔의 크기 및 빔 위치의 불확실성을 수용하기 위해, 각 슬릿은 약 6 밀리미터의 길이(L)를 갖는다. 도 5에 도시된 바와 같이, 각각의 슬릿은 빔 축에 수직인 방향으로 이동 가능하다. 도 5의 도면에서, 슬릿(126-129)은 빔 축으로부터 최대 거리에 위치된다(즉, 슬릿은 완전히 개방되고, 슬릿은 빔 성형 슬릿 기구(120)를 통과하는 광을 제한하지 않음).
도 6은 인입 빔(115)의 일부를 차단하는 위치에서 빔 성형 슬릿 기구(120)의 슬릿(126-129)을 도시하여, 측정 대상 시료에 전달된 인출 빔(116)이 감소된 크기 및 잘 규정된 형상을 갖는다. 도 6에 도시된 바와 같이, 각각의 슬릿(126-129)은 원하는 출력 빔 형상을 얻기 위해 빔 축을 향해 안쪽으로 이동한다.
도 3에 도시된 바와 같이, 예로서, 슬릿(126)은 가느다란 피봇 아암 구조물(125)에 결합된다. 피봇 아암 구조물(125)은 피봇 조인트(124)를 중심으로 피봇되어, 슬릿(126)이 도 5 및 도 6을 참조하여 설명된 바와 같이 빔 축에 수직인 방향으로 이동하게 한다. 액츄에이터(123)는 피봇 아암 구조물(125) 및 슬릿(126)을 피봇 조인트(124)를 중심으로 회전시킴으로써 피봇 아암 구조물(125) 및 슬릿(126)을 위치결정한다. 도 3에 도시된 실시예에서, 액츄에이터(123)는 높은 강성 위치결정 능력을 제공하는 선형의 압전 액츄에이터이다. 일부 실시예에서, 액츄에이터(123)는 10 마이크로미터 미만의 위치결정 불확실성으로 빔 축에 수직인 방향으로 슬릿(126)을 위치결정한다. 일부 실시예에서, 액츄에이터(123)는 대략 2 밀리미터 이상의 위치 결정 범위로 빔 축에 수직인 방향으로 슬릿(126)을 위치 결정한다. 측정 시스템(122)은 피봇 아암 구조물(125) 및 슬릿(126)의 위치를 정확하게 측정한다. 도 3에 도시된 실시예에서, 측정 시스템(122)은 액츄에이터(123)의 변위를 측정하는 선형 인코더이다. 이러한 방식으로, 피봇 아암 구조물(125) 및 슬릿(126)의 폐루프 위치 제어가 실현된다.
일 양태에서, 가느다란 피봇 아암 구조물(125)은, 피봇 조인트(124)로부터 거리 S 만큼 떨어지게끔 슬릿(126)을 위치시킨다. 일부 실시예에서, S는 100 밀리미터보다 크다. 일부 실시예에서, S는 150 밀리미터보다 크다. 또 다른 양태에서, 가느다란 피봇 아암 구조물(125)은 액츄에이터(123)로부터 거리 S보다 큰 거리만큼 떨어지게끔 슬릿(126)을 위치시킨다. 이러한 방식으로, 빔 성형 슬릿 기구(120)의 프로파일은 시료(101)의 표면 근처에서 작다. 액츄에이터가 시료(101)의 표면에 근접하여 위치되면(예를 들어, 100 밀리미터 미만), 빔 성형 슬릿 기구(120)의 프로파일은 너무 커서, 특히 측정 동안에 큰 입사각(예를 들어, 30도 보다 큰 α)에서 시료 위치결정 시스템(140)의 구성 요소와 간섭될 수 있다. 일부 실시예에서, 슬릿(126)과 시료(101)의 표면 사이의 거리 D는 50 밀리미터 미만이다. 일부 실시예에서, 슬릿(126)과 시료(101)의 표면 사이의 거리 D는 30 밀리미터 미만이다. 일부 실시예에서, 슬릿(126)과 시료(101)의 표면 사이의 거리 D는 10 밀리미터 미만이다.
도 3에 도시된 바와 같이, 피봇 조인트(124)는, 피봇 아암 구조물(125)이 프레임(121)에 대해 회전하고, 액츄에이터(123)가 프레임(121)에 대해 피봇 아암 구조물(125)을 이동시키고, 측정 시스템(122)이 프레임(121)에 대해 피봇 아암 구조물의 위치를 측정하도록 구성된다. 이와 유사하게, 슬릿(127-129)과 연관된 피벗 아암 구조물은 또한 프레임(121)에 대해 회전하고, 슬릿(127-129)과 연관된 액츄에이터는 프레임(121)에 대해 각각의 피봇 아암 구조물을 이동시키고, 슬릿(127-129)과 연관된 측정 시스템은 프레임(121)에 대한 각각의 피봇 아암 구조물의 위치를 측정한다. 예를 들어, 슬릿(127) 및 이와 연관된 피봇 아암 구조물은, 축 B를 중심으로 회전하고, 슬릿(128) 및 이와 연관된 피봇 아암 구조물은 축 A를 중심으로 회전한다.
도 3에 도시된 특정 기구는, 비제한적인 예로서 제공된다. 일반적으로, 시료(101)의 표면에 근접하게 빔 성형 슬릿을 위치시키고 시료(101)로부터 더 멀리 떨어지게끔 액츄에이터를 위치시키는데 적합한 임의의 기구, 및 연관된 작동 및 측정 기술은, 이 특허 문헌의 범위 내에서 고려된다. 예를 들어, 피봇 조인트 대신에, 선형 조인트(예를 들어, 선형 베어링 구조물 또는 만곡(flexure) 기구)는, 가느다란 아암 구조물(125) 및 슬릿(126)을 빔 축에 수직인 방향으로 이동시킬 수 있도록 사용될 수도 있다. 이들 실시예에서, 선형 액츄에이터는 가느다란 아암 구조물(125) 및 슬릿(126)을 빔 축에 수직인 방향으로 이동시키도록 구성될 수도 있다. 또 다른 실시예에서, 회전식 액츄에이터는 피봇 조인트(124)를 중심으로 가느다란 아암 구조물(125) 및 슬릿(126)을 회전시키도록 사용될 수도 있다. 일부 실시예에서, 각각의 슬릿의 위치는 독립적으로 제어된다(즉, 액츄에이터는 각각의 슬릿을 독립적으로 이동시킴). 일부 다른 실시예에서, 단일 액츄에이터는 하나 보다 많은 슬릿의 위치를 제어한다. 이들 실시예 중 일부에서, 단일 액츄에이터는 슬릿 사이의 갭을 제어하고, 또 다른 액츄에이터는 측정 대상 시료에 대한 슬릿 갭의 오프셋 위치(즉, 입사 x선 빔에 수직인 방향으로의 모든 슬릿의 위치)를 제어한다.
일부 실시예에서, 빔 성형 슬릿 기구(120)의 액츄에이터의 각각은, 빔 성형 슬릿(126-129) 중 임의의 것보다 시료(101)로부터 적어도 5배 더 멀리 위치된다. 다시 말해서, 빔 축과 정렬된 방향으로 빔 형성 슬릿(126-129)의 각각 사이의 거리가 X보다 가깝다면, 빔 축과 정렬된 방향으로의 액츄에이터의 각각과 시료(101) 사이의 거리는, 적어도 5배이다.
슬릿(126-129)은 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 재료로 구성된다. 예시적인 재료는 게르마늄, 갈륨 비소, 인화 인듐 등의 단결정 재료를 포함한다. 일반적으로, 슬릿 재료는 구조적 경계를 가로지르는 산란을 최소화하기 위해, 톱니 모양이 아닌 입자 경계를 따라 쪼개진다. 또한, 슬릿은, 인입 방사선과 슬릿 재료의 내부 구조 사이의 상호 작용이 최소량의 산란을 생성하도록, 인입 빔에 대하여 배향된다. 결정 재료는 슬릿의 일 측 상의 x선 빔을 완전하게 차단하기 위해 고밀도 재료(예를 들어, 텅스텐)로 제조된 블레이드에 부착된다. 도 3에 도시된 바와 같이, 각각의 슬릿은 높이(H) 및 폭(W)을 갖는 직사각형 단면[슬릿(126 및 129)에 대해 도 3에서 볼 수 있음]을 갖는다. 일부 실시예에서, 폭은 대략 0.5 밀리미터이고 높이는 약 1-2 밀리미터이다. 도 2에 도시된 바와 같이, 슬릿의 길이(L)는 약 6 밀리미터이다.
추가의 양태에 있어서, 빔 성형 슬릿 기구(120)의 슬릿의 각각은, 빔 축을 따라 시료(101)의 표면으로부터 상이한 거리에 위치된다. 도 3에 도시된 바와 같이, 슬릿(126-129)의 각각은 다른 것에 대해 면 외에 위치된다. 이러한 방식으로, 슬릿(126-129)은 기계적 간섭없이 빔 축을 따라 볼 때 공간적으로 중첩될 수 있다.
또 다른 추가의 양태에서, 빔 성형 슬릿 기구(120)는 각 입사각, 방위각, 또는 양자에 대해 입사 빔의 프로파일을 최적화하기 위해 시료의 배향과 함께 빔 축선을 중심으로 회전하도록 구성된다. 이러한 방식으로, 빔 형상은 계측 타겟의 형상과 매치된다. 도 3에 도시된 바와 같이, 프레임(121)은 회전식 액츄에이터(147)에 결합된다. 회전식 액츄에이터(147)는 프레임 (121) 및 모든 부착된 기구, 액츄에이터, 센서, 및 슬릿을 축 C를 중심으로 회전시킨다. 축 C는 인입 빔(115)의 빔 축과 공칭적으로(nominally) 정렬된다. 축 C는 기계적으로 규정된 축이지만, 빔 축은 빔 자체에 의해 규정되며, 이는 빔 포인팅 오차, 변동 등으로 인해 변경될 수 있다. 완벽한 세계에서, 축 C와 빔 축은 완벽하게 정렬되지만, 실제로는 공칭 편차가 존재한다. 일부 실시예에서, 빔 성형 슬릿 기구(120)는 축 C를 중심으로 적어도 90도 만큼 회전될 수 있다. 일부 실시예에서, 빔 성형 슬릿 기구(120)는 축 C를 중심으로 임의의 배향으로 회전될 수 있다.
일부 실시예에서, x선 조명 소스(110), 포커싱 광학 기기(111), 슬릿(112 및 113), 또는 이들의 임의의 조합은, 시료(101)와 동일한 대기 환경(예를 들어, 가스 퍼지 환경)에서 유지된다. 그러나, 일부 실시예에서, 이들 요소 중 임의의 것 사이에서 그리고 이들 요소 중 임의의 것 내에서의 광 경로 길이는 길고, 공기 중의 x선 산란은 검출기 상의 이미지에 노이즈를 부여한다. 따라서, 일부 실시예에서, x선 조명 소스(110), 포커싱 광학 기기(111), 및 슬릿(112 및 113) 중 임의의 것은, 진공 창에 의해 서로 그리고 시료(예를 들어, 시료(101))로부터 분리된 국부적인 진공 환경에서 유지된다.
이와 유사하게, 일부 실시예에서, x선 검출기(119)는 시료(101)와 동일한 대기 환경(예를 들어, 가스 퍼지 환경)에서 유지된다. 그러나, 일부 실시예에서, 시료(101)과 x선 검출기(119) 사이의 거리는 너무 길고, 공기 중의 x선 산란은 검출된 신호에 노이즈를 부여한다. 따라서, 일부 실시예에서, 하나 이상의 x선 검출기는, 진공 창에 의해 시료(예를 들어, 시료(101))로부터 분리된 국부적인 진공 환경에서 유지된다.
도 7은 x선 조명 소스(110)를 포함하는 진공 챔버(160), 포커싱 광학 기기(111)를 포함하는 진공 챔버(162), 및 슬릿(112 및 113)을 포함하는 진공 챔버(163)를 도시하는 도면이다. 각각의 진공 챔버의 개구는 진공 창으로 덮혀있다. 예를 들어, 진공 챔버(160)의 개구는 진공 창(161)에 의해 덮혀있다. 이와 유사하게, 진공 챔버(163)의 개구는 진공 창(164)에 의해 덮혀있다. 진공 창은 x선 방사선(예를 들어, 캡톤(Kapton), 베릴륨 등)에 대해 실질적으로 투명한 임의의 적절한 재료로 구성될 수도 있다. 조명 빔의 산란을 최소화하기 위해 각 진공 챔버 내에 적절한 진공 환경이 유지된다. 적절한 진공 환경은 임의의 적절한 진공 레벨, 작은 원자 번호(예를 들어, 헬륨)를 갖는 가스, 또는 이들의 임의의 조합을 포함하는 임의의 적절한 퍼지된 환경을 포함할 수도 있다. 이러한 방식으로, 플럭스를 최대화하고 산란을 최소화하기 위해 가능한 한 많은 빔 경로가 진공에 위치된다.
일부 실시예에서, 시료(101)를 포함하는 전체 광학 시스템은 진공 상태로 유지된다. 그러나, 일반적으로, 시료(101)을 진공 상태로 유지하는 것과 연관된 비용은, 시료 위치결정 시스템(140)의 구성과 연관된 복잡성 때문에 높다.
다른 추가의 양태에서, 빔 성형 슬릿 기구(120)는, 대기 환경에 따라 빔 경로 길이를 최소화하기 위해 진공 챔버(163)와 기계적으로 통합된다. 일반적으로, 시료(101)에 입사하기 전에 가능한 한 많은 양의 빔을 진공 상태로 캡슐화하는 것이 바람직하다. 일부 실시예에서, 진공 빔 라인은 빔 성형 슬릿 기구(120)의 입력에서 공동(hollow)의 원통형 캐비티로 연장된다. 진공 창(164)은 인입 빔(115)이 빔 성형 슬릿 기구(120)의 일부 내에서 진공 상태로 유지되고 슬릿(126-129)과 시료(101) 중 임의 것과의 상호 작용 이전에 진공 창(164)을 통과하도록, 빔 성형 슬릿 기구(120) 내의 진공 챔버(163)의 출력에 위치된다.
본 명세서에 설명된 바와 같이, T-SAXS 측정은 반도체 웨이퍼의 표면 법선에 대해 조사하는 x선 빔의 복수의 배향에서 수행된다. 각각의 배향은 x선 조명 빔에 대한 웨이퍼(101)의 임의의 2회 각 회전에 의해 설명되거나 또는 그 반대일 수 있다. 일 예에서, 배향은 웨이퍼에 고정된 좌표계와 관련하여 설명될 수 있다. 도 4는 각도(φ 및 θ)로 설명된 특정 배향으로 웨이퍼(101) 상에 입사하는 x선 조명 빔(116)을 도시한다. 좌표 프레임 XYZ는 계측 시스템에 고정되고 좌표 프레임 X’Y’Z’는 웨이퍼(101)에 고정된다. Y는 웨이퍼(101)의 표면과 평행 한 축과 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z’는 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X’ 및 Y’는 웨이퍼(101)의 표면과 정렬된 평면 내에 있다. 도 4에 도시된 바와 같이, x선 조명 빔(116)은 Z축과 정렬되고, 이에 따라 XZ 평면 내에 놓인다. 각도(θ)는 XZ 평면에서의 웨이퍼의 표면 법선에 대한 x선 조명 빔(116)의 배향을 나타낸다. 또한, 각도(θ)는 X’Z’평면에 대한 XZ 평면의 배향을 나타낸다. 함께, θ 및 φ는 웨이퍼(101)의 표면에 대한 x선 조명 빔(116)의 배향을 고유하게 규정한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x선 조명 빔의 배향은, 웨이퍼(101)의 표면에 수직인 축(즉, Z’축)을 중심으로 한 회전 및 웨이퍼(101)의 표면과 정렬된 축(즉, Y 축)을 중심으로 한 회전에 의해 설명된다. 일부 다른 예에서, 웨이퍼(101)의 표면에 대한 x선 조명 빔의 배향은, 도 1을 참조하여 설명된 바와 같이 웨이퍼(101)의 표면과 정렬된 제1 축 및 웨이퍼(101)의 표면과 정렬되고 제1 축에 수직인 다른 축을 중심으로 한 회전에 의해 설명된다.
다른 양태에서, T-SAXS 시스템의 측정 품질 및 성능은 측정된 0차 빔의 특성에 기초하여 추정된다. 0차 빔의 측정된 특성은 빔 형상, 강도, 위치, 프로파일, 기울기, 회전, 비대칭, 또는 이들의 임의의 조합을 포함하지만, 이에 한정되지는 않는다.
일부 예에서, 조명 소스의 전체 플럭스는 검출기에 의해 검출된 모든 광의 합에 기초하여 추정된다. 외부 섭동(perturbation)이 없는 경우, 총 측정된 플럭스는 타겟 흡수에만 의존한다. 일부 예에서, 측정은 타겟없이 수행된다.
일부 예에서, 시스템의 송신 효율은 검출기에 의해 검출된 모든 광의 합에 기초하여 추정된다. 이들 예에서, 조명 소스에 의해 방출된 광은 그것이 조명 소스를 벗어날 때 측정되지만, 조명 광학 기기와 상호 작용하기 전에 측정된다. 또한, 검출기에 의해 검출된 광은 합산된다. 검출기에서 검출된 광과 조명 소스에 의해 방출된 광 사이의 플럭스의 비율은, 광학 시스템의 송신 효율의 추정치를 제공한다. 일부 예에서, 측정은 타겟없이 수행된다. 일부 다른 예에서, 공지된 흡수 특성을 갖는 타겟이 사용된다.
일부 예에서, 검출기의 빔 축에 대한 상대적인 정렬은, 검출기 상의 0차 빔의 입사 위치에 기초하여 추정된다.
일부 예에서, 광학 서브시스템의 결함 또는 오정렬은 검출기에서 측정된 0차 빔의 형상(예를 들어, 비대칭성, 거칠기, 회전)에 기초하여 추정된다. 빔 성형 광학 기기, 슬릿, 개구, 조명 소스 등의 결함 또는 오정렬이 이러한 방식으로 특성화될 수도 있다. 많은 예들에서, 조명 광학 기기의 기울기에서의 오차는, 검출기에서 검출된 빔 형상의 미세 구조로서 나타난다. 검출된 빔 형상의 작은 변화는 조명 옵틱 상의 빔 위치에 대응한다. 또한, 슬릿에 대한 빔의 위치는, 슬릿으로 인한 예리한 에지의 위치에 대한 광학 슬로프 오차로 인한 미세 구조물의 위치를 모니터링함으로써 확인된다.
추가의 양태에서, 계측 시스템의 측정 품질 및 성능은, 측정된 0차 빔에 기초하여 제어된다. 일부 예에서, 전술한 측정 품질 및 성능의 추정치는 피드백 제어기(예를 들어, 컴퓨팅 시스템(130))에 입력으로서 제공된다. 피드백 제어기는 측정 시스템의 품질 및 성능을 향상시키는 계측 시스템의 하나 이상의 요소 상태를 변경시키는 제어 커맨드를 전달한다.
일부 예에서, 제어 커맨드는 조명 소스에 제공된다. 이에 응답하여, 조명 소스는 스캐닝된 스폿 크기 및 형상, 조명 파워, 스폿 오프셋, 입사각 등을 변경하도록 조정된다. 일 예에서, 소스 타겟에 입사하는 전자 빔의 파라미터는, 스캐닝된 스폿 크기 및 형상, 조명 파워, 스폿 오프셋, 입사각 등을 변경하도록 조정된다.
일부 예에서, 제어 커맨드는 계측 시스템의 하나 이상의 광학 요소의 위치를 제어하는 하나 이상의 위치결정 디바이스에 제공된다. 이에 응답하여, 하나 이상의 위치결정 디바이스는, 표면 거칠기 등의 영향을 최소화하기 위하여 입사각, 조명 소스와 조명 광학 기기 사이의 초점 거리, 빔 위치결정, 빔 스폿 크기, 광학 기기 상의 빔 스폿의 위치를 조정하도록, 하나 이상의 광학 요소의 위치/배향을 변경한다.
일반적으로, 본 명세서에 설명된 측정 품질 및 성능의 추정 및 제어는, 빔 경로에 존재하는 타겟을 사용하거나 사용하지 않고 수행될 수도 있다.
또 다른 추가의 양태에서, 컴퓨팅 시스템(130)은, 시료의 측정된 구조의 구조 모델(예를 들어, 기하학적 모델, 재료 모델, 또는 결합된 기하학적 및 재료 모델)을 생성하고, 상기 구조 모델로부터 적어도 하나의 기하학적 모델을 포함하는 T-SAXS 응답 모델을 생성하고, T-SAXS 응답 모델을 사용하여 T-SAXS 측정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 시료 파라미터 값을 분석하도록 구성된다. 분석 엔진은, 시뮬레이션된 T-SAXS 신호를 측정된 데이터와 비교하여, 샘플의 전자 밀도와 같은 재료 특성뿐만 아니라 기하학적 특성을 결정할 수 있도록 사용된다. 도 1에 도시된 실시예에서, 컴퓨팅 시스템(130)은 본 명세서에 설명된 바와 같이 모델 구축 및 분석 기능을 구현하도록 구성된 모델 구축 및 분석 엔진으로서 구성된다.
도 8은 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 모델 구축 및 분석 엔진(150)을 도시하는 도면이다. 도 8에 도시된 바와 같이, 모델 구축 및 분석 엔진(150)은 시료의 측정된 구조의 구조 모델(152)을 생성하는 구조 모델 구축 모듈(151)을 포함한다. 일부 실시예에서, 구조 모델 (152)은 또한 시료의 재료 특성을 포함한다. 구조 모델(152)은 T-SAXS 응답 함수 구축 모듈(153)에 입력으로서 수신된다. T-SAXS 응답 함수 구축 모듈(153)은 구조 모델(152)에 적어도 부분적으로 기초하여 T-SAXS 응답 함수 모델(155)을 생성한다. 일부 예들에서, T-SAXS 응답 함수 모델(155)은 x선 형식(form) 인자에 기초한다.
Figure pct00001
(2)
여기서 F는 형식 인자이고, q는 산란 벡터이며,
Figure pct00002
는 시료의 구면(spherical) 좌표에서의 전자 밀도이다. 그 후, x선 산란 강도는 아래와 같이 주어진다.
Figure pct00003
(3)
T-SAXS 응답 함수 모델(155)은 피팅 분석 모듈(157)에 입력으로서 수신된다. 피팅 분석 모듈(157)은 모델링된 T-SAXS 응답을 대응하는 측정 데이터와 비교하여 시료의 재료 특성뿐만 아니라 기하학적 특성을 결정한다.
일부 예에서, 모델링된 데이터를 실험 데이터에 피팅하는 것은 카이 제곱 값(chi-squared value)을 최소화함으로써 달성된다. 예를 들어, T-SAXS 측정의 경우, 카이 제곱 값은 다음과 같이 규정될 수 있다.
Figure pct00004
(4)
여기서, Sj SAXS experiment는 “채널”j에서 측정된 T-SAXS 신호이고, 여기서 인덱스 j는 회절 차수, 에너지, 각도 좌표 등과 같은 일 세트의 시스템 파라미터를 설명한다.
Figure pct00005
는 일 세트의 구조(타겟) 파라미터 v1, ..., vL에 대하여 평가된 “채널”j에 대한 모델링된 T-SAXS 신호 Sj이며, 여기서 이들 파라미터는 기하학적(CD, 측벽 각도, 오버레이 등) 및 재료(전자 밀도 등)를 설명한다. σSAXS,j는 j번째 채널과 연관된 불확실성이다. NSAXS는 X선 계측에서의 총 채널 수이다. L은 계측 대상을 특성화하는 파라미터의 수이다.
식 (4)는 서로 다른 채널과 연관된 불확실성은 상관되어 있지 않다고 가정한다. 서로 다른 채널과 연관된 불확실성이 상관되는 예에서는, 불확실성 간의 공분산을 계산할 수 있다. 이러한 예에서, T-SAXS 측정을 위한 카이 제곱 값은 다음과 같이 표현될 수 있다.
Figure pct00006
(5)
여기서 VSAXS는 SAXS 채널 불확실성의 공분산 행렬이고, T는 전치를 나타낸다.
일부 예에서, 피팅 분석 모듈(157)은 T-SAXS 응답 모델(155)을 사용하여 T-SAXS 측정 데이터(135)에 대한 피팅 분석을 수행함으로써 적어도 하나의 시료 파라미터 값을 분석한다. 일부 예에서,
Figure pct00007
는 최적화되어 있다.
전술한 바와 같이, T-SAXS 데이터의 피팅은 카이 제곱 값의 최소화에 의해 달성된다. 그러나, 일반적으로, T-SAXS 데이터의 피팅은 다른 함수들에 의해 달성될 수도 있다.
T-SAXS 계측 데이터의 피팅은, 관심 대상의 기하학적 파라미터 및/또는 재료 파라미터에 민감도를 제공하는 임의의 유형의 T-SAXS 기술에 유리하다. 시료와의 T-SAXS 빔 상호작용을 설명하는 적절한 모델이 사용되는 한, 시료 파라미터는 결정론적(예를 들어, CD, SWA 등) 또는 통계적(예를 들어, 측벽 거칠기의 rms 높이, 거칠기 상관 길이 등)일 수 있다.
일반적으로, 컴퓨팅 시스템(130)은, 실시간 임계 치수(Real Time Critical Dimensioning; RTCD)를 사용한 실시간 모델 파라미터에 액세스하도록 구성하거나, 시료와 연관된 적어도 하나의 시료 파라미터의 값을 결정하기 위해 사전 계산된 모델의 라이브러리에 액세스할 수 있다. 일반적으로, CD 엔진의 일부 형식은, 시료의 할당된 CD 파라미터와 측정된 시료와 연관된 CD 파라미터 간의 차이를 평가하는데 사용될 수도 있다. 시료 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에 대하여 2010년 11월 2일자로 발행된 미국 특허 제7,826,071호에 개시되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다.
일부 예에서, 모델 구축 및 분석 엔진(150)은 피드 사이드웨이 분석, 피드 포워드 분석, 및 병렬 분석의 임의의 조합에 의해 측정된 파라미터의 정확도를 향상시킨다. 피드 사이드웨이 분석은 동일한 시료의 서로 다른 영역에서 복수의 데이터 세트를 취하여, 제1 데이터세트로부터 결정된 공통 파라미터를 분석용 제2 데이터세트로 전달하는 것을 지칭한다. 피드 포워드 분석은 서로 다른 시료에서의 데이터 세트를 취하여, 공통 파라미터를 단계적 카피의 정확한 파라미터 피드 포워드 접근 방법을 사용하여 후속 분석으로 전달하는 것을 지칭한다. 병렬 분석은 피팅 중에 적어도 하나의 공통 파라미터가 결합되는 복수의 데이터세트에 비선형 피팅 방법론을 병렬 또는 동시 적용하는 것을 지칭한다.
복수의 툴 및 구조 분석은, 회귀, 룩업 테이블(즉, “라이브러리” 매칭), 또는 복수의 데이터세트의 다른 피팅 절차에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 지칭한다. 복수의 툴 및 구조 분석을 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에 대하여 2009년 1월 13일자로 발행된 미국 특허 제7,478,019호에 개시되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다.
또 다른 추가의 양태에서, 관심 대상의 하나 이상의 파라미터의 값의 초기 추정치는 측정 타겟에 대한 입사 x선 빔의 단일 배향에서 수행된 T-SAXS 측정에 기초하여 결정된다. 초기 추정 값은 복수의 배향에서의 T-SAXS 측정으로부터 수집된 측정 데이터를 가진 측정 모델의 회귀에 대한 관심 대상의 파라미터의 시작 값으로 구현된다. 이러한 방식으로, 관심 대상의 파라미터의 근사 추정치가 비교적 적은 양의 계산 노력으로 결정되고, 훨씬 더 큰 데이터 세트에 대한 회귀에 대한 시작점으로서 이 근사 추정치를 구현함으로써, 관심 대상의 파라미터의 정제된 추정치가 더 적은 전체적인 계산 노력으로 획득된다.
또 다른 양태에서, 계측 툴(100)은 본 명세서에 설명된 바와 같이 빔 제어 기능을 구현하도록 구성된 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(130))을 포함한다. 도 1에 도시된 실시예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)의 강도, 발산, 스폿 크기, 편광, 스펙트럼, 및 위치결정과 같은 조명 특성 중 임의의 것을 제어하도록 동작 가능한 빔 제어기로서 구성된다.
도 1에 도시된 바와 같이, 컴퓨팅 시스템(130)은 검출기(119)에 통신 가능하게 결합된다. 컴퓨팅 시스템 (130)은 검출기(119)로부터 측정 데이터(135)를 수신하도록 구성된다. 일 예에서, 측정 데이터(135)는 시료의 측정된 응답의 표시(즉, 회절 차수의 강도)를 포함한다. 검출기(119)의 표면 상의 측정된 응답의 분포에 기초하여, 시료(101) 상의 조명 빔(116)의 입사 위치 및 영역은 컴퓨팅 시스템(130)에 의해 결정된다. 일 예에서, 패턴 인식 기술은 측정 데이터(135)에 기초하여 시료(101) 상의 조명 빔(116)의 입사 위치 및 영역을 결정하기 위해 컴퓨팅 시스템 (130)에 의해 적용된다. 일부 예에서, 컴퓨팅 시스템(130)은 커맨드 신호(137)를 x선 조사 소스(110)에 전달하여, 원하는 조명 파장을 선택하거나 x선 방출을 리다이렉트한다. 일부 예에서, 컴퓨팅 시스템(130)은 커맨드 신호(136)를 빔 성형 슬릿 기구(120)에 전달하여, 입사 조명 빔(116)이 원하는 빔 스폿 크기 및 배향으로 시료(101)에 도달하도록, 빔 스폿 크기를 변경한다. 일 예에서, 커맨드 신호(136)는 도 3에 도시된 회전식 액츄에이터(147)로 하여금, 빔 성형 슬릿 기구(120)를 시료(101)에 대하여 원하는 배향으로 회전시키게 한다. 또 다른 예에서, 커맨드 신호(136)는 각각의 슬릿(126-129)과 연관된 액츄에이터로 하여금 입사 빔(116)을 원하는 형상 및 크기로 재성형하도록 위치를 변경하게 한다. 일부 다른 예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)이 시료(101)에 대하여 원하는 위치 및 각도 배향에 도달하도록, 시료(101)를 위치 결정하고 배향시키기 위해 웨이퍼 위치결정 시스템(140)에 커맨드 신호를 전달한다.
추가의 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정 구조의 이미지를 생성하는데 사용된다. 일부 실시예에서, T-SAXS 응답 함수 모델은 일반 전자 밀도 메시로부터의 산란을 설명하기 위해 일반화된다. 측정된 신호와 이 모델을 매칭시키고, 이 메시에서 모델링된 전자 밀도를 제한하면서 연속성과 희소한 에지를 적용하여 샘플의 3 차원 이미지를 제공한다.
기하학적 모델 기반 파라메트릭 반전은 T-SAXS 측정에 기초한 임계 치수(CD) 계측에 선호되지만, 동일한 T-SAXS 측정 데이터로부터 생성된 시료의 맵은, 측정된 시료가 기하학적 모형의 가정으로부터 벗어날 때, 모델 오차를 식별하고 정정하는데 유용하다.
일부 예에서, 이미지는 동일한 스캐터로메트리 측정 데이터의 기하학적, 모델 기반 파라 메트릭 반전에 의해 추정된 구조적 특성과 비교된다. 불일치는 측정된 구조의 기하학적 모델을 업데이트하고 측정 성능을 향상시키는데 사용된다. 정확한 파라메트릭 측정 모델에 수렴하는 능력은 제조 프로세스를 제어, 모니터링, 및 문제 해결하기 위해 집적 회로를 측정할 때 특히 중요하다.
일부 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절 지수, 또는 이들 재료 특성의 조합의 2차원(2-D) 맵이다. 일부 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절 지수, 또는 이들 재료 특성의 조합의 3차원(3-D) 맵이다. 맵은 비교적 적은 물리적 제약을 사용하여 생성된다. 일부 예에서, 임계 치수(CD), 측벽 각도(SWA), 오버레이, 에지 배치 오차, 피치 워크 등과 같은 하나 이상의 관심 대상 파라미터는 결과 맵으로부터 직접 추정된다. 일부 다른 예들에서, 맵은 샘플 기하학적 구조 또는 재료들이 모델 기반 CD 측정을 위해 사용되는 파라메트릭 구조 모델에 의해 예상되는 기대 값들의 범위를 벗어날 때, 웨이퍼 프로세스를 디버깅하는데 유용하다. 일 예에서, 측정된 파라미터에 따라 파라메트릭 구조 모델에 의해 예측된 구조의 맵 및 렌더링 간의 차이는, 파라메트릭 구조 모델을 업데이트하고 그것의 측정 성능을 개선하는데 사용된다. 더 상세한 내용은 미국 특허 공보 제2015/0300965호에 개시되어 있으며, 그 내용은 본 명세서에 그 전체가 참고로 통합되어 있다. 추가의 세부 사항은 미국 특허 공보 제2015/0117610호에 개시되어 있으며, 그 내용은 본 명세서에 그 전체가 참고로 통합되어 있다.
추가의 양태에서, 모델 구축 및 분석 엔진(150)은 결합된 x선 및 광학 측정 분석을위한 모델을 생성하는데 사용된다. 일부 예들에서, 광학 시뮬레이션은 예를 들어, 맥스웰(Maxwell)의 방정식을 해결하여 상이한 편광에 대한 반사율, 엘립소메트릭 파라미터, 위상 변화 등과 같은 광학 신호를 계산하는 엄격한 결합파 분석(rigorous coupled-wave analysis; RCWA)에 기초한다.
하나 이상의 관심 파라미터의 값은, 복수의 상이한 입사각에서의 x선 회절 차수의 검출 된 강도, 및 결합되고 기하학적으로 파라미터화된 응답 모델로 검출된 광학 강도의 결합된 피팅 분석에 기초하여 결정된다. 광학 강도는 도 1에 도시된 시스템(100)과 같은 x선 계측 시스템과 기계적으로 통합되거나 통합되지 않을 수도 있는 광학적 계측 툴에 의해 측정된다. 더 상세한 내용은 미국 특허 공보 제2014/0019097호 및 미국 특허 공보 제2013/0304424호에 개시되어 있으며, 각각의 내용은 그 전체가 본 명세서에 참고로 통합되어 있다.
일반적으로, 계측 타겟은 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)를 계측 타겟의 최대 측방 치수(즉, 웨이퍼 표면과 정렬된 치수)로 나눈 것으로 규정되는 종횡비를 특징으로 한다. 일부 실시예들에서, 측정 대상 계측 타겟은 적어도 20의 종횡비를 갖는다. 일부 실시예에서, 계측 타겟은 적어도 40의 종횡비를 갖는다.
본 개시 내용 전체에 걸쳐 설명된 다양한 단계는 단일 컴퓨터 시스템(130) 또는 대안적으로 복수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것을 인식해야 한다. 또한, 시료 위치결정 시스템(140)과 같은 시스템(100)의 상이한 서브시스템은, 본 명세서에 설명된 단계들의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 전술한 설명은 본 발명에 대한 제한으로 해석되어서는 안되며, 단지 예시일 뿐이다. 또한, 하나 이상의 컴퓨팅 시스템(130)은 본 명세서에 설명된 임의의 방법 실시예의 임의의 다른 단계(들)을 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(130)은 당해 분야에 공지된 임의의 방식으로 x선 조명 소스(110), 빔 성형 슬릿 기구(120), 및 검출기(119)에 통신 가능하게 결합될 수도 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(130)은 각각 x선 조명 소스(110), 빔 성형 슬릿 기구(120), 및 검출기(119)와 연관된 컴퓨팅 시스템에 결합될 수도 있다. 다른 예에서, x선 조명 소스(110), 빔 성형 슬릿 기구(120), 및 검출기(119) 중 임의의 것이 컴퓨터 시스템(130)에 결합된 단일 컴퓨터 시스템에 의해 직접 제어될 수도 있다.
컴퓨터 시스템(130)은, 유선 및/또는 무선 부분을 포함할 수도 있는 송신 매체에 의해 시스템의 서브 시스템(예를 들어, x선 조명 소스(110), 빔 성형 슬릿 기구(120), 검출기(119) 등)으로부터 수신된 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수도 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은, 유선 및/또는 무선 부분을 포함할 수도 있는 송신 매체에 의해, 다른 시스템으로부터 데이터 또는 정보(예를 들어, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들어, 메모리 온보드 계측 시스템(100), 외부 메모리 또는 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들어, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 180))로부터 측정 데이터(예를 들어, 신호(135))를 수신하도록 구성될 수도 있다. 예를 들어, 검출기(119)를 사용하여 획득된 스펙트럼 결과는 영구적 또는 반영구적 메모리 디바이스(예를 들어, 메모리(132 또는 180))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 온보드 메모리 또는 외부 메모리 시스템에서 가져올 수 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 다른 시스템에 데이터를 전송할 수도 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정된 시료 파라미터 값(170)은 영구적 또는 반영구적 메모리 디바이스(예를 들어, 메모리 (180))에 저장될 수도 있다. 이와 관련하여, 측정 결과를 다른 시스템으로 내보낼 수도 있다.
컴퓨팅 시스템(130)은 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당해 분야에 공지된 임의의 다른 디바이스를 포함할 수 있지만, 이에 한정되지는 않는다. 일반적으로, “컴퓨팅 시스템”이라는 용어는 메모리 매체로부터 명령을 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하도록 광범위하게 규정될 수도 있다.
본 명세서에 설명된 것과 같은 방법을 구현하는 프로그램 명령(134)은 유선, 케이블, 또는 무선 송신 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들어, 도 1에 도시된 바와 같이, 메모리(132)에 저장된 프로그램 명령은 버스(133)를 통해 프로세서(131)로 송신된다. 프로그램 명령(134)은 컴퓨터 판독 가능한 매체(예를 들어, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능한 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
일부 실시예에서, 본 명세서에 설명된 바와 같은 스캐터로메트리 분석은 제조 프로세스 툴의 일부로서 구현된다. 제조 프로세스 툴의 예로는 리소그래피 노광 툴, 막 증착 툴, 임플란트 툴, 및 에칭 툴을 포함하지만, 이에 한정되지는 않는다. 이러한 방식으로, T-SAXS 분석의 결과는 제조 프로세스를 제어하는데 사용된다. 일 예시에서, 하나 이상의 타겟으로부터 수집된 T-SAXS 측정 데이터는 제조 프로세스 툴로 보내진다. T-SAXS 측정 데이터는 본 명세서에 설명된 바와 같이 분석되고, 결과는 제조 프로세스 툴의 동작을 조정하는데 사용된다.
본 명세서에 설명된 바와 같은 스캐터로메트리 측정은 다양한 반도체 구조물의 특성을 결정하는데 사용될 수도 있다. 예시적인 구조물은 FinFET, 나노와이어 또는 그라핀(graphene)과 같은 저차원 구조물, 서브 10nm 구조물, 리소그래피 구조물, TSV(through substrate via), DRAM, DRAM 4F2, FLASH, MRAM과 같은 메모리 구조물 및 높은 종횡비의 메모리 구조물을 포함하지만, 이에 한정되지는 않는다. 예시적인 구조적 특징은 라인 에지 거칠기, 라인 폭 거칠기, 기공 크기, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이, 그리고 전자 밀도, 조성, 입자 구조, 형태(morphology), 응력, 변형, 및 원소 식별과 같은 재료 파라미터와 같은 기하학적 파라미터를 포함하지만, 이에 한정되지는 않는다. 일부 실시예에서, 계측 타겟은 주기적 구조이다. 일부 다른 실시예에서, 계측 타겟은 비주기적(aperiodic)이다.
일부 실시예에서, 임계 치수, 두께, 오버레이, 및 높은 종횡비의 반도체 구조물의 재료 특성의 측정은, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access; STT-RAM), 3차원 NAND 메모리(3D-NAND) 또는 수직 NAND 메모리(V-NAND), 동적 랜덤 액세스 메모리(DRAM), 3차원 FLASH 메모리(3D-FLASH), 저항성 랜덤 액세스 메모리(Re-RAM), 및 위상 변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM)는 본 명세서에 설명된 T-SAXS 측정 시스템으로 수행된다.
도 9는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(200)을 도시한다. 일 양태에서, 방법(200)의 데이터 프로세싱 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수도 있음이 인식된다. 다음의 설명은 계측 시스템(100)의 맥락에서 제시되지만, 계측 시스템(100)의 특정의 구조적 양태는 제한을 나타내지 않으며, 단지 예시적인 것으로 해석되어야 한다는 것이 본 명세서에서 인식된다.
블록(201)에서, x선 방사선의 양이 유한 방출 영역에 걸쳐 생성된다.
블록(202)에서, 측정 대상 시료에 입사하는 상기 생성된 양의 x선 방사선의 빔은, x선 방사선의 빔의 빔 경로에 복수의 빔 성형 슬릿을 위치 결정함으로써 성형된다. 복수의 빔 성형 슬릿의 각각은 x선 방사선의 빔과 정렬된 방향으로 측정 대상 시료의 100 밀리미터 내에 위치된다.
블록(203)에서, 측정 대상 시료는 복수의 입사각에서 x선 방사선의 입사 빔에 대하여 위치 결정된다. 복수의 입사각은 수직 입사와 상기 수직 입사로부터 적어도 40도 사이의 범위를 가진다.
블록(204)에서, 복수의 입사각의 각각에서의 x선 방사선의 입사 빔에 응답하여, 측정 대상 시료로부터 산란된 방사선의 양과 연관된 하나 이상의 강도가 검출된다.
블록(205)에서, 측정 대상 시료에 배치된 측정 타겟과 연관된 관심 파라미터의 값은 검출된 강도에 기초하여 결정된다.
본 명세서에 설명된 바와 같이, “임계 치수”라는 용어는, 구조물의 임의의 임계 치수(예를 들어, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2이상의 구조물 사이의 임계 치수(예를 들어, 2개의 구조물 사이의 거리), 및 2개 이상의 구조물 사이의 변위(예를 들어, 오버레이 격자 구조물 사이의 오버레이 변위 등)를 포함한다. 구조물은 3차원 구조물, 패터닝된 구조물, 오버레이 구조물 등을 포함할 수도 있다.
본 명세서에 설명된 바와 같이, “임계 치수 애플리케이션” 또는 “임계 치수 측정 애플리케이션”이라는 용어는 임의의 임계 치수 측정을 포함한다.
본 명세서에 설명된 바와 같이, "계측 시스템"이라는 용어는 임계 치수 애플리케이션 및 오버레이 계측 애플리케이션을 포함하여 임의의 양태에서 시료를 특성화하기 위해 적어도 부분적으로 사용되는 임의의 시스템을 포함한다. 그러나, 당해 분야에서의 이러한 용어는 본 명세서에 설명된 바와 같이 “계측 시스템”이라는 용어의 범위를 제한하지는 않는다. 또한, 본 명세서에 설명된 계측 시스템은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은, LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴 또는 다중 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시에 포함함), 및 본 명세서에 설명된 측정 기술로부터 이익을 얻을 수 있는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
다양한 실시예는 본 명세서에서 시료를 프로세싱하는데 사용될 수 있는 반도체 프로세싱 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)에 대해 설명된다. 본 명세서에서 “시료(specimen)”라는 용어는, 웨이퍼, 레티클, 또는 당해 분야에 공지된 수단에 의해 프로세싱(예를 들어, 결함을 인쇄 또는 검사)될 수 있는 임의의 다른 샘플을 지칭하는데 사용된다.
본 명세서에 사용된 바와 같이, “웨이퍼”라는 용어는 일반적으로 반도체 또는 비반도체 재료로 형성된 기판을 지칭한다. 예로는 단결정 실리콘, 갈륨 비소, 및 인화 인듐을 포함하지만 이에 한정되지는 않는다. 이러한 기판은 일반적으로 반도체 제조 설비에서 발견 및/또는 프로세싱될 수도 있다. 일부 경우에, 웨이퍼는 기판(즉, 베어 웨이퍼)만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성된 하나 이상의 층은, “패터닝되거나” 또는 “패터닝되지 않을” 수도 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수도 있다.
“레티클”은 레티클 제조 프로세스의 임의의 단계에서의 레티클이거나, 반도체 제조 설비에서 사용하기 위해 배포되거나 또는 배포되지 않을 수 있는 완성된 레티클일 수도 있다. 레티클 또는 “마스크”는 일반적으로 상부에 형성된 실질적으로 불투명한 영역을 가지며 패턴으로 구성된 실질적으로 투명한 기판으로 규정된다. 기판은 예를 들어 비정질 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 프로세스의 노광 단계 동안에 레지스트가 덮인 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수도 있다. 예를 들어, 웨이퍼는 각각이 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수도 있다. 이러한 재료 층의 형성 및 프로세싱은 궁극적으로 완성된 디바이스를 초래할 수도 있다. 많은 다른 유형의 디바이스가 웨이퍼 상에 형성될 수도 있으며, 본 명세서에서 사용된 웨이퍼라는 용어는 당해 분야에 공지된 임의의 유형의 디바이스가 제조되는 웨이퍼를 포함하도록 의도된다.
하나 이상의 예시적인 실시예에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되는 경우, 기능은 컴퓨터 판독 가능한 매체 상에 하나 이상의 명령 또는 코드로서 저장되거나 송신될 수도 있다. 컴퓨터 판독 가능한 매체는 컴퓨터 저장 매체 및 한 장소에서 다른 장소로 컴퓨터 프로그램의 전달을 용이하게 하는 임의의 매체를 포함하는 통신 매체 모두를 포함한다. 저장 매체는 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 예를 들어, 그러한 컴퓨터 판독 가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광 디스크 저장 장치, 자기 디스크 저장 장치 또는 다른 자기 저장 디바이스, 또는 원하는 프로그램 코드 수단을 명령 또는 데이터 구조의 형태로 휴대하거나 저장하는데 사용될 수 있고 그리고 범용 또는 특수 목적 컴퓨터, 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결은 적절하게 컴퓨터 판독 가능한 매체로 지칭된다. 예를 들어, 동축 케이블, 광섬유 케이블, 트위스트 페어, 디지털 가입자 회선(DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹 사이트, 서버 또는 다른 원격 소스로부터 소프트웨어를 송신한 경우, 동축 케이블, 광섬유 케이블, 트위스트 페어, DSL, 또는 적외선, 무선 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 본 명세서에서 사용되는 원반(disk) 및 디스크(disc)는, CD(compact disc), 레이저 디스크, XRF 디스크, DVD(digital versatile disc), 플로피 디스크 및 블루레이 디스크를 포함하며, 원반(disk)은 일반적으로 데이터를 자기적으로 재생하는 반면에 디스크는 레이저로 광학적으로 데이터를 재생한다. 상기의 조합은 또한 컴퓨터 판독 가능한 매체의 범위 내에 포함되어야 한다.
임의의 특정 실시예가 교시 목적을 위해 위에서 설명되었지만, 이 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 전술한 특정 실시예에 한정되지 않는다. 따라서, 청구 범위에서 설명된 본 발명의 범위를 벗어나지 않고 설명된 실시예들의 다양한 피처의 다양한 변경, 개조, 및 조합이 실시될 수 있다.

Claims (20)

  1. 계측(metrology) 시스템으로서,
    임의의 양의 x선 방사선을 생성시키도록 구성된 x선 조명 소스 - 상기 x선 조명 소스는 유한 방출 영역을 가짐 - 와,
    상기 x선 조명 소스와 측정 대상 시료(specimen under measurement) 사이의 빔 경로에 위치된 빔 성형 슬릿 기구로서, 상기 빔 성형 슬릿 기구는,
    상기 시료에 아주 근접하여 위치되는 복수의 빔 성형 슬릿;
    상기 빔 성형 슬릿 기구의 프레임에 결합되는 복수의 액츄에이터 - 상기 복수의 액츄에이터의 각각은 복수의 빔 성형 슬릿 중 임의의 것보다 상기 시료로부터 더 멀리 위치됨 - ;
    복수의 아암 구조물 - 각각의 아암 구조물은 상기 복수의 빔 형성 슬릿 중 하나의 빔 형성 슬릿 및 상기 복수의 액츄에이터 중 하나의 액츄에이터에 결합됨 - ; 및
    상기 프레임에 대해 상기 복수의 아암 구조물 중 하나의 아암 구조물의 변위를 측정하도록 각각 구성된 복수의 측정 시스템 - 상기 복수의 빔 형성 슬릿의 각각은 측정 대상 시료에 입사하는 x선 방사선의 빔 스폿 크기를 규정하기 위해 상기 x선 방사선의 양의 일부를 차단함 - ;
    을 포함하는, 빔 성형 슬릿 기구와,
    상기 x선 방사선의 입사 빔에 응답하여 측정 대상 시료로부터 산란된 방사선의 양과 연관된 강도를 동시에 검출하도록 구성된 x선 검출기
    를 포함하는 계측 시스템.
  2. 제1항에 있어서, 상기 복수의 빔 형성 슬릿의 각각과 상기 측정 대상 시료 사이의 거리는 100 밀리미터 미만인 것인 계측 시스템.
  3. 제2항에 있어서, 상기 x선 방사선의 입사 빔과 정렬되는 방향으로의 상기 액츄에이터의 각각과 상기 시료 사이의 거리는, x선 방사선의 입사 빔과 정렬된 방향으로의 상기 복수의 빔 성형 슬릿의 각각과 상기 시료 사이의 최대 거리의 5배보다 큰 것인 계측 시스템.
  4. 제1항에 있어서, 복수의 입사각에서 x선 방사선의 입사 빔에 대하여 측정 대상 시료를 위치 결정하도록 구성된 시료 위치결정 시스템을 더 포함하며,
    상기 복수의 입사각은, 수직(normal) 입사와 상기 수직 입사로부터 적어도 20도 사이의 범위를 가지는 것인 계측 시스템.
  5. 제1항에 있어서, 컴퓨팅 시스템을 더 포함하며, 상기 컴퓨팅 시스템은,
    적어도 하나의 액츄에이터로 하여금, 상기 x선 방사선의 입사 빔의 빔 축에 수직인 방향으로 적어도 하나의 빔 성형 슬릿을 이동시키도록 하는 빔 성형 슬릿 기구에 커맨드 신호를 전달하도록 구성되는 것인 계측 시스템.
  6. 제5항에 있어서, 상기 적어도 하나의 액츄에이터는, 상기 x선 방사선의 입사 빔의 빔 축에 수직인 방향으로 10 마이크로미터 미만의 위치 결정 불확실성과 함께 적어도 3 밀리미터 만큼 상기 적어도 하나의 빔 성형 슬릿을 이동시키는 것인 계측 시스템.
  7. 제1항에 있어서, 상기 복수의 아암 구조물의 각각은 대응하는 피봇 조인트에서 상기 프레임에 결합되고, 상기 아암 구조물에 결합된 상기 액츄에이터는, 상기 아암 구조물로 하여금, 상기 대응하는 피봇 조인트를 중심으로 회전하게 하며, 부착 된 빔 성형 슬릿을 x선 방사선의 입사 빔의 빔 축에 수직인 방향으로 이동시키게 하는 것인 계측 시스템.
  8. 제1항에 있어서, 상기 복수의 액츄에이터의 각각은 압전 액츄에이터인 것인 계측 시스템.
  9. 제1항에 있어서, 상기 빔 성형 슬릿의 각각은 절단된(cleaved) 단결정 재료를 포함하는 것인 계측 시스템.
  10. 제1항에 있어서, 상기 x선 조명 소스와 상기 빔 성형 슬릿 기구 사이의 빔 라인의 일부는, 진공 챔버 내에 포함되고, 상기 진공 챔버의 일부는 상기 빔 성형 슬릿 기구와 기계적으로 통합되는 것인 계측 시스템.
  11. 제1항에 있어서, 상기 빔 성형 슬릿 기구는, 상기 빔 성형 슬릿 기구의 프레임에 결합되는 회전식(rotary) 액츄에이터를 더 포함하며, 상기 회전식 액츄에이터는, 상기 시료에 입사하는 x선 방사선의 빔의 축과 공칭적으로 정렬된 축을 중심으로 상기 빔 성형 슬릿 기구를 회전시키도록 구성되는 것인 계측 시스템.
  12. 제1항에 있어서, 상기 복수의 빔 성형 슬릿의 각각은 상기 시료에 입사하는 상기 x선 방사선의 빔과 정렬되는 방향으로 상기 측정 대상 시료로부터 상이한 거리에 위치되는 것인 계측 시스템.
  13. x선 조명 소스와 측정 대상 시료 사이의 빔 경로에 위치된 빔 성형 슬릿 기구로서,
    상기 시료에 아주 근접하여 위치되는 복수의 빔 성형 슬릿과,
    상기 빔 성형 슬릿 기구의 프레임에 결합되는 복수의 액츄에이터 - 상기 복수의 액츄에이터의 각각은 복수의 빔 성형 슬릿 중 임의의 빔 성형 슬릿보다 상기 시료로부터 더 멀리 위치됨 - 와,
    복수의 아암 구조물 - 각각의 아암 구조물은 상기 복수의 빔 성형 슬릿 중 하나의 빔 성형 슬릿과 상기 복수의 액츄에이터 중 하나의 액츄에이터에 결함됨 - 과,
    상기 프레임에 대해 상기 아암 구조물 중 하나 아암 구조물의 변위를 측정하도록 각각 구성된 복수의 측정 시스템 - 상기 복수의 빔 성형 슬릿의 각각은, 상기 측정 대상 시료에 입사되는 x선 방사선의 빔 스폿 크기를 규정하기 위하여 x선 방사선의 양의 일부를 차단함 -
    을 포함하는 빔 성형 슬릿 기구.
  14. 제13항에 있어서, 상기 복수의 빔 형성 슬릿의 각각과 상기 측정 대상 시료 사이의 거리는 50 밀리미터 미만인 것인 빔 성형 슬릿 기구.
  15. 제13항에 있어서, 상기 x선 방사선의 입사 빔과 정렬되는 방향으로의 상기 엑츄에이터의 각각과 상기 시편 사이의 거리는, x선 방사선의 입사 빔과 정렬되는 방향으로의 상기 복수의 빔 성형 슬릿의 각각과 상기 시료 사이의 최대 거리의 5배 보다 큰 것인 빔 성형 슬릿 기구.
  16. 제13항에 있어서, 컴퓨팅 시스템을 더 포함하며, 상기 컴퓨팅 시스템은,
    적어도 하나의 액츄에이터로 하여금, 상기 x선 방사선의 입사 빔의 빔 축에 수직인 방향으로 적어도 하나의 빔 성형 슬릿을 이동시키도록 하는 빔 성형 슬릿 기구에 커맨드 신호를 전달하도록 구성되는 것인 빔 성형 슬릿 기구.
  17. 제13항에 있어서, 상기 빔 성형 슬릿 기구의 프레임에 결합되는 회전식 액츄에이터를 더 포함하며, 상기 회전식 액츄에이터는, 상기 시료에 입사하는 x선 방사선의 빔의 축과 공칭적으로 정렬된 축을 중심으로 상기 빔 성형 슬릿 기구를 회전시키도록 구성되는 것인 빔 성형 슬릿 기구.
  18. 방법에 있어서,
    유한 방출 영역에 걸쳐 x선 방사선의 양을 생성하는 단계와,
    상기 x선 방사선의 빔의 빔 경로에 복수의 빔 형성 슬릿을 위치 결정함으로써, 측정 대상 시료에 입사하는 상기 생성된 양의 x선 방사선의 빔을 성형하는 단계 - 상기 복수의 빔 성형 슬릿의 각각은 x선 방사선의 빔과 정렬된 방향으로의 측정 대상 시료의 100 밀리미터 이내에 위치됨 - 와,
    복수의 입사각에서 x선 방사선의 입사 빔에 대하여 측정 대상 시료를 위치 결정하는 단계 - 상기 복수의 입사각은 수직 입사와 상기 수직 입사로부터 적어도 40도 사이의 범위를 가짐 - 와,
    상기 복수의 입사각의 각각에서의 상기 x선 방사선의 입사 빔에 응답하여 상기 측정 대상 시료로부터 산란된 방사선의 양과 연관된 하나 이상의 강도를 검출하는 단계와,
    검출된 강도에 기초하여 측정 대상 시료 상에 배치된 측정 타겟과 연관된 관심 대상 파라미터의 값을 결정하는 단계를 포함하는 방법.
  19. 제18항에 있어서, 상기 복수의 빔 형성 슬릿의 위치 결정은, 적어도 하나의 액츄에이터로 하여금, x선 방사선의 빔과 정렬된 방향에 수직인 방향으로 상기 빔 형성 슬릿 중 적어도 하나의 빔 성형 슬릿를 이동시키도록 하는 커맨드 신호를 전달하는 것을 포함하는 것인 방법.
  20. 제18항에 있어서, 상기 복수의 빔 성형 슬릿을, 상기 시료에 입사하는 상기 x선 방사선의 빔의 축과 공칭적으로 정렬된 축을 중심으로 회전시키는 단계를 더 포함하는 방법.
KR1020187033896A 2016-04-22 2017-04-24 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿 KR102184603B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662326648P 2016-04-22 2016-04-22
US62/326,648 2016-04-22
US15/495,634 2017-04-24
PCT/US2017/029215 WO2017185101A1 (en) 2016-04-22 2017-04-24 Beam shaping slit for small spot size transmission small angle x-ray scatterometry
US15/495,634 US10359377B2 (en) 2016-04-22 2017-04-24 Beam shaping slit for small spot size transmission small angle X-ray scatterometry

Publications (2)

Publication Number Publication Date
KR20180128516A true KR20180128516A (ko) 2018-12-03
KR102184603B1 KR102184603B1 (ko) 2020-11-30

Family

ID=60090118

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187033896A KR102184603B1 (ko) 2016-04-22 2017-04-24 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿

Country Status (5)

Country Link
US (1) US10359377B2 (ko)
JP (2) JP6821700B2 (ko)
KR (1) KR102184603B1 (ko)
CN (1) CN109073902B (ko)
WO (1) WO2017185101A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10684238B2 (en) 2016-01-11 2020-06-16 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191167A (ja) * 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
EP3612821B1 (en) * 2018-05-15 2021-07-07 Xenocs SAS Method and apparatus for x-ray scattering material analysis
JP7308233B2 (ja) 2018-07-05 2023-07-13 ブルカー テクノロジーズ リミテッド 小角x線散乱計測計
US10910188B2 (en) * 2018-07-25 2021-02-02 Varian Medical Systems, Inc. Radiation anode target systems and methods
CN112602184A (zh) * 2018-07-31 2021-04-02 朗姆研究公司 确定图案化的高深宽比结构阵列中的倾斜角度
JP7210065B2 (ja) * 2019-03-28 2023-01-23 株式会社リガク 透過型小角散乱装置
EP3719484B1 (en) * 2019-04-04 2024-02-14 Malvern Panalytical B.V. X-ray beam shaping apparatus and method
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
JP7221536B2 (ja) * 2019-12-27 2023-02-14 株式会社リガク 散乱測定解析方法、散乱測定解析装置、及び散乱測定解析プログラム
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
CN113945174B (zh) * 2021-10-21 2023-10-17 中国工程物理研究院激光聚变研究中心 一种x射线投影测量图像尺寸校准方法
WO2023092059A1 (en) * 2021-11-22 2023-05-25 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on planar substrate
CN116296290B (zh) * 2023-03-21 2024-02-20 之江实验室 一种激光衍射发散角检测方法和装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008200075A (ja) * 2007-02-16 2008-09-04 Hitachi Medical Corp X線画像診断装置
EP1287342B1 (en) * 2000-12-20 2012-09-19 PANalytical B.V. X-ray diffractometer
KR101596748B1 (ko) * 2015-10-08 2016-02-24 테크밸리 주식회사 얼라인수단을 구비하는 소각산란분석장치 및 이를 이용한 엑스선빔의 조정방법

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8201343A (nl) * 1982-03-31 1983-10-17 Philips Nv Roentgen analyse apparaat met instelbare strooistralenspleet.
JPH05118999A (ja) * 1991-10-07 1993-05-14 Technos Kenkyusho:Kk X線分析装置
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
CN102648081B (zh) 2009-10-09 2014-12-10 宇部兴产株式会社 制造聚酰亚胺膜的方法和拉幅机装置
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
JP2013192751A (ja) * 2012-03-21 2013-09-30 Toshiba Corp X線診断装置及びx線診断装置の制御方法
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9161728B2 (en) * 2012-05-25 2015-10-20 Kabushiki Kaisha Toshiba X-ray diagnosis apparatus and X-ray diagnosis assisting method
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
CN204116252U (zh) * 2014-08-27 2015-01-21 中国科学技术大学 一种立式超小角x射线散射装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1287342B1 (en) * 2000-12-20 2012-09-19 PANalytical B.V. X-ray diffractometer
JP2008200075A (ja) * 2007-02-16 2008-09-04 Hitachi Medical Corp X線画像診断装置
KR101596748B1 (ko) * 2015-10-08 2016-02-24 테크밸리 주식회사 얼라인수단을 구비하는 소각산란분석장치 및 이를 이용한 엑스선빔의 조정방법

Also Published As

Publication number Publication date
JP6821700B2 (ja) 2021-01-27
CN109073902A (zh) 2018-12-21
WO2017185101A1 (en) 2017-10-26
JP7001846B2 (ja) 2022-01-20
US10359377B2 (en) 2019-07-23
KR102184603B1 (ko) 2020-11-30
CN109073902B (zh) 2020-12-29
JP2021063829A (ja) 2021-04-22
US20170307548A1 (en) 2017-10-26
JP2019519759A (ja) 2019-07-11

Similar Documents

Publication Publication Date Title
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
TWI739935B (zh) 計量系統
JP7210460B2 (ja) X線スキャトロメトリシステムのフルビーム計測
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
JP7133030B2 (ja) X線依拠計測システムの校正及びアライメント用多層ターゲット
KR102381154B1 (ko) 타겟 분해를 사용한 온 디바이스 계측
EP3548878B1 (en) X-ray zoom lens for small angle x-ray scatterometry

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant