CN111566674B - 通过先进机器学习技术的测量精确度的自动优化 - Google Patents

通过先进机器学习技术的测量精确度的自动优化 Download PDF

Info

Publication number
CN111566674B
CN111566674B CN201880071924.7A CN201880071924A CN111566674B CN 111566674 B CN111566674 B CN 111566674B CN 201880071924 A CN201880071924 A CN 201880071924A CN 111566674 B CN111566674 B CN 111566674B
Authority
CN
China
Prior art keywords
neural network
spectrum
parameters
parameter
fixed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880071924.7A
Other languages
English (en)
Other versions
CN111566674A (zh
Inventor
詹天荣
徐寅
列-关·里奇·利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111566674A publication Critical patent/CN111566674A/zh
Application granted granted Critical
Publication of CN111566674B publication Critical patent/CN111566674B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/044Recurrent networks, e.g. Hopfield networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computational Linguistics (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Biophysics (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Biomedical Technology (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

使用机器学习技术以在给定临界参数的参考值时预测固定参数的值。举例来说,可基于一或多个临界参数及与例如光谱椭偏测量光谱或镜面反射光谱的光谱相关联的低维实值向量训练神经网络。另一神经网络可映射所述低维实值向量。当使用两个神经网络时,可训练一个神经网络以将所述光谱映射到所述低维实值向量。可训练另一神经网络以基于所述临界参数及来自所述另一神经网络的所述低维实值向量而预测所述固定参数。

Description

通过先进机器学习技术的测量精确度的自动优化
相关申请案的交叉参考
本申请案主张2017年11月15日申请且被指定第62/586,660号美国申请案的临时专利申请案的优先权,所述申请案的揭示内容特此以引用的方式并入本文中。
技术领域
本发明涉及半导体计量。
背景技术
半导体制造产业的演进对良率管理及特定来说对计量及检验系统提出更高要求。当晶片大小在增大,临界尺寸在缩小。经济性驱动所述产业减少实现高良率、高价值生产的时间。最小化从检测到良率问题到修正所述问题的总时间决定半导体制造商的投资回报。
制造例如逻辑及存储器装置的半导体装置通常包含使用大量制造工艺处理半导体晶片以形成半导体装置的各种特征及多个层级。举例来说,光刻是涉及将图案从光罩转印到布置于半导体晶片上的光致抗蚀剂的半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光(CMP)、蚀刻、沉积及离子植入。多个半导体装置可制造为单半导体晶片上的布置且接着被分成个别半导体装置。
可在半导体制造期间使用计量以进行(例如)半导体晶片或光罩的各种测量。可使用计量工具以测量与各种半导体制造工艺相关联的结构及材料特性。举例来说,计量工具可测量材料组合物或可测量结构及膜的尺寸特性,例如膜厚度、结构的临界尺寸(CD)或叠加。在半导体裸片的制造期间使用这些测量以促进工艺控制及/或良率效率。
随着半导体装置图案尺寸继续缩小,通常需要较小计量目标。此外,对于测量精确度及与实际装置特性的匹配的要求增加对于装置类目标以及裸片内及甚至装置上测量的需要。已提出各种计量实施方案以实现所述目的。举例来说,已提出基于主要反射光学器件的聚焦光束椭偏测量。可使用变迹器以缓解引起照明光点扩散超出由几何光学器件定义的大小的光学衍射效应。使用具有同时多入射角照明的高数值孔径工具是实现小目标能力的另一方式。
其它测量实例可包含:测量半导体堆叠的一或多个层的组合物;测量晶片上(或内)的某些缺陷;及测量暴露到晶片的光刻辐射的量。在一些情况中,计量工具及算法可经配置用于测量非周期性目标。
计量技术可在制造工艺期间特性化半导体晶片的参数。实际上,将光引导到形成于半导体晶片中的周期性光栅上且测量并分析经反射光的光谱以特性化光栅参数。特性化参数可包含CD、侧壁角(SWA)、特征高度(HT)等,其影响从材料反射或经透射穿过材料的光的偏光及强度。经测量光谱的分析通常涉及比较经测量样本光谱与经模拟光谱以推导最优描述经测量样本的模型的参数值。
所关注参数的测量通常涉及多个算法。举例来说,入射光束与样本的光学相互作用是使用电磁(EM)解算器进行建模且使用此类算法作为严格耦合波分析(RCWA)、有限元建模(FEM)、矩量法、表面积分方法、体积积分方法、有限差分时域(FDTD)及其它者。通常使用几何引擎、过程建模引擎或两者的组合对所关注目标进行建模(参数化所关注目标)。举例来说,在来自科磊公司(KLA-Tencor)的AcuShape软件产品中实施几何引擎。
这些建模方法可包含固定或浮动参数的修改、参数约束的修改、固定参数的标称值的修改、参数空间的坐标的修改、子系统或通道的选择或加权、波长选择或加权、多程、数据前馈、多模型或回归引擎的修改。
使用光学计量测量图案化晶片上的临界参数(CP)通常涉及开发参数几何模型,所述参数几何模型近似计算晶片的经测量结构且解决一系列几何模型的电磁问题,以使用具有一组特定值的模型获得经测量光谱与经计算光谱之间的匹配光谱响应。为了实现临界参数的最优测量精确度,需要模型的细化以优化测量精确度,其是在临界参数的经测量结果与其真值的匹配度方面量化。通常将真值提供为来自其它计量技术(例如CD-SEM及TEM)的临界参数的参考数据。在所涉及的模型中,除了其值随着晶片上的特定测量变化的临界参数之外,还存在固有地保持恒定为其标称值的固定参数(FP)以及在不同建模参数之间的约束。细化所述分量改进临界参数的测量精确度。
基于栅格的搜索方法已与计量参数一起使用。此类基于栅格的搜索方法穷尽性地搜索在其给定值范围内取样的用于调整的固定参数的一组配置。估计固定参数的最优值,这是因为其相对于给定参考数据产生临界参数的最优测量精确度。
基于梯度的搜索方法已与计量参数一起使用。此类基于梯度的搜索方法(例如,列文伯格-马夸尔特(Levenberg-Marquardt)算法)从固定参数的某些起始值起始以调整并采用临界参数的测量精确度相对于固定参数的梯度以按迭代方式定位固定参数的最优值。
这些先前技术具有低效率。针对基于栅格的搜索方法,在对固定参数的全部取样配置计算临界参数的测量精确度之后寻找用于调整的固定参数的最优值,从而导致低效率问题。针对基于梯度的搜索方法,以迭代方式定位用于调整的固定参数的最优值。针对每一迭代,需要使用有限差分方法数值上计算相对于固定参数的梯度。这可为非常耗时的。
先前技术的可靠性也较差。在大量固定参数同时调整的情境中,依据效率考量的唯一可能选择是基于梯度的搜索方法。但其性能归因于局部最小化问题而强烈取决于为固定参数选择的起始值,从而导致差可靠性问题。虽然此问题可通过采用随机选择的起始点的多个试验而缓解,但这可导致效率劣化。
因此,需要改进的计量技术及系统。
发明内容
在第一实施例中,提供一种方法。使用处理器,运用神经网络基于半导体晶片的临界参数的值而预测固定参数的值。所述神经网络是基于所述临界参数中的一或多者及与光谱相关联的低维实值向量进行训练。所述光谱可为光谱椭偏测量光谱或镜面反射光谱。可通过另一神经网络基于所述光谱而映射所述低维实值向量。
所述方法可进一步包含对用于产生所述光谱的样本平均化所述固定参数。
所述方法可进一步包含使用所述神经网络的所述固定参数的基于梯度的搜索。所述基于梯度的搜索可包含:i)设置所述固定参数的标称值;ii)确定所述标称值下的所述固定参数的所述临界参数;iii)使用所述神经网络确定所述临界参数的所述固定参数;iv)运用一个迭代从所述基于梯度的搜索确定所述临界参数的所述固定参数;v)运用一个迭代用使用所述基于梯度的搜索的所述固定参数更新所述固定参数;vi)重复步骤i)到v),直到实现停止准则。所述停止准则是规范或收敛中的一者。
所述方法可进一步包含使用所述处理器通过将所述光谱中的一或多者映射到所述低维实值向量中的一或多者而训练初始神经网络。可使用所述处理器训练所述神经网络以基于所述临界参数中的一或多者及来自所述初始神经网络的所述低维实值向量而预测所述固定参数。
可通过模拟在浮动参数的范围内取样的轮廓而产生所述光谱。
可产生与所述光谱相关联的光学临界尺寸模型的轮廓。
产生所述光学临界尺寸的所述轮廓可包含:通过取样所述固定参数到所述固定参数的范围内而确定一组所述固定参数;及针对每一对光谱及固定参数,确定对应临界参数。可使用所述对应临界参数确定对应浮动参数或对应受约束参数。
针对所述初始神经网络的训练数据可包含多个样本。所述样本中的每一者可包含所述光谱中的一或多者及与所述光谱中的一或多者相关联的光学临界尺寸模型的轮廓。
训练所述初始神经网络可确定最小化针对所述光谱中的一或多者中的相同一者的所述低维实值向量中的差异的参数。
所述神经网络可相对于训练数据最小化所述固定参数的均方误差。
所述初始神经网络及所述神经网络可具有不同架构。
在第二实施例中,提供一种系统。所述系统包括与晶片计量工具电子通信的主神经网络。所述主神经网络经配置以基于半导体晶片的临界参数的值及从所述半导体晶片的光谱导出的低维实值向量而预测固定参数的值。所述光谱可为光谱椭偏测量光谱或镜面反射光谱。
在例子中,所述系统包含与所述主神经网络电子通信的次级神经网络。所述次级神经网络经配置以接收所述半导体晶片的所述光谱且基于所述经接收光谱导出所述低维实值向量。所述主神经网络从所述次级神经网络接收所述低维实值向量。
所述主神经网络可包含处理器。
所述主神经网络及所述次级神经网络可具有不同架构。
附图说明
为了更全面理解本发明的性质及目标,应参考结合附图进行的以下详细描述,其中:
图1是根据本发明的神经网络架构实施例的框图;
图2是根据本发明的方法的实施例的流程图;
图3是说明根据本发明的方法的子集的流程图;
图4在(a)及(b)中说明使用经学习神经网络GW的临界尺寸(CD1)精确度的结果且在(c)及(d)中说明不使用经学习神经网络GW的临界尺寸(CD1)精确度的结果;及
图5是根据本发明的系统的实施例的框图。
具体实施方式
虽然将相对于特定实施例描述所主张主题,但其它实施例(包含不提供本文中阐述的全部益处及特征的实施例)也在本发明的范围内。可做出各种结构、逻辑、过程步骤及电子改变而不脱离本发明的范围。因此,本发明的范围仅通过参考所附权利要求书定义。
本文中揭示的实施例使用机器学习技术以在给定临界参数的参考值时预测固定参数的接近最优值,以相对于自动优化过程的效率及可靠性两者增强所述自动优化过程的性能。举例来说,可使用神经网络,使得神经网络使用训练数据,所述训练数据可在给定临界参数的参考值的情况下预测用于调整的固定参数的值。固定参数可表示具有随测量变化的可变性的经测量结构特性的尺寸。可将可变性分类为无关紧要的。通过调整与临界参数相关的固定参数的标称值,可优化临界参数的测量精确度。
经学习神经网络可将光谱映射到具有减小尺寸的OCD模型的轮廓参数域中以改进其可能性。可执行将经学习神经网络与基于梯度的搜索组合的混合策略以提供搜索过程的效率与可靠性之间的平衡。可使用训练数据中的光谱的波封(envelope)以确定经学习神经网络用于在给定临界参数的情况下预测固定参数的有效性范围。在搜索过程中使用此信息可改进可靠性。
图1展示神经网络架构(GW)的实施例。从在给定临界参数的值的情况下预测固定参数的值的训练数据学习神经网络GW∶CP→FP。接着,将经学习GW并入基于梯度的搜索中以导引搜索过程。相较于单独地基于梯度的搜索,改进的算法可通过利用经学习GW在给定临界参数的参考值的情况下有效地寻找固定参数的接近最优值而不需要数值上重复地计算梯度的能力来相对于搜索过程的效率及可靠性两者增强所述搜索过程的性能。
从训练数据学习神经网络GW是基于两步骤协议以改进GW的可靠性且还改进学习过程的可能性。首先,学习神经网络G1W1,使得其将个别光谱(XS)映射到低维实值向量V。接着,学习神经网络G2W2,所述神经网络G2W2在给定临界参数(XCP)的值及与光谱相关联的低维实值向量V的情况下预测固定参数(XFP)的值。神经网络G2W2还可具备其它参数(XMP)。
临界参数的测量精确度的自动优化可基于基于栅格的搜索及基于梯度的搜索方法。在基于栅格的搜索可归因于大搜索空间而经受差效率的同时,基于梯度的搜索可归因于存在多个局部最小值而易于有低可靠性且在复杂情况中归因于对于数值上计算梯度的重复需要而还易于有差效率。然而,可使用基于栅格的搜索或基于梯度的搜索。当选择搜索时,可考量任何限制。
图1的神经网络架构解决先前技术的若干缺点。通过采用经学习神经网络,可在受控制精确度内且在不需要数值上重复地计算梯度的情况下针对临界参数的给定参考值有效地寻找用于调整的固定参数的接近最优值。这可提供改进的搜索效率。此外,通过将经学习神经网络并入基于梯度的搜索中,可可靠地校正归因于训练误差的来自经学习神经网络的固定参数的预测值中的误差。这可确保整体搜索过程的可靠性。通过设计用于测试经学习神经网络的有效性的准则且使用此信息来设计关于如何在基于梯度的搜索中使用来自经学习神经网络的预测的策略,可进一步确保整体搜索过程的可靠性。
可在GW∶CP→FP的学习期间使用训练数据。训练数据可包含一组样本I={X1,…,XN}。每一样本Xi可具有作为Xi=(XS,XP)的两个分量,其中XS是光谱且XP是与XS相关联的OCD模型的经产生轮廓。XP可为作为XP=(XCP,XFP,XMP)的轮廓参数的向量,其中XCP是临界参数,XFP是用于调整的固定参数且XMP是其它相关参数(例如浮动参数或受约束参数)。
可产生训练数据。首先,可产生合成光谱。在给定OCD模型及其浮动参数的情况下,可通过模拟在浮动参数的给定范围内取样的轮廓而产生一组合成光谱{XS,1,…,XS,M}。
图2是方法100的实施例的流程图。在101处可通过将一或多个(即,至少一个)光谱映射到一或多个低维实值向量而训练初始神经网络。光谱可为光谱椭偏测量光谱或镜面反射光谱。
在102处,可训练另一神经网络以基于临界参数中的一或多者及来自初始神经网络的低维实值向量而预测固定参数。
在103处,可使用神经网络(例如,基于临界参数中的一或多者及与光谱相关联的低维实值向量训练的神经网络)基于半导体晶片的临界参数的值预测固定参数的值。因此,低维实值向量是由基于光谱的另一神经网络而非预测固定参数的神经网络映射。两个神经网络可具有不同架构。
可对用于产生光谱的样本平均化固定参数。
步骤103可与步骤102及101分开执行。举例来说,可先前训练操作预测的神经网络。
举例来说,可通过模拟在浮动参数的范围内取样的轮廓而产生光谱。可产生与光谱相关联的光学临界尺寸模型的轮廓。产生光学临界尺寸的轮廓可包含通过取样固定参数到固定参数的范围内而确定一组固定参数。针对每一对光谱及固定参数,可确定对应临界参数。可使用对应临界参数确定对应浮动参数或对应受约束参数。
针对初始神经网络的训练数据可包含多个样本。所述样本中的每一者可包含光谱中的一或多者及与光谱中的一或多者相关联的光学临界尺寸模型的轮廓。神经网络可相对于任何训练数据最小化固定参数的均方误差。
训练初始神经网络可包含确定最小化针对光谱或若干光谱中的相同一者的低维实值向量中的差的参数。
接着,可产生OCD模型的轮廓。可通过在其给定范围内取样用于调整的固定参数而产生一组{XFP,1,…,XFP,L}。针对每一对(XS,XFP),可使用在来自科磊公司(KLA-TencorCorporation)的AcuShape软件产品中实施的光学计量引擎计算对应(XCP,XMP)。可组合全部对以形成训练数据集{X1,…,XN},其中Xi=(XS,(XCP,XFP,XMP))。
接着可执行学习协议。以将每一光谱映射到低维实值向量V的方式学习神经网络G1W1∶XS→V。
G1W1是相对于神经网络构造的由W1参数化的函数。到G1W1的输入可为使用(例如)AcuShape引擎从XS计算的轮廓参数向量(XCP,XFP,XMP)。目的是发现参数W1,使得与相同光谱相关联的轮廓之间的V的差异被最小化而与不同光谱相关联的光谱之间的V的差异被最大化。此过程可通过最小化由使用轮廓对作为其输入的两个相同G1W1的输出馈送的对比损耗函数而实施,这使G1W1将与相同光谱相关联的轮廓映射到V的邻近点且将与不同光谱相关联的轮廓映射到V的远距点。
学习神经网络G2W2∶(V,XCP,XMP)→XFP以在给定XCP及与XS相关联的V的情况下预测XFP。G2W2还可为相对于神经网络构造的由W2参数化但具有与G1W1不同的架构的函数。到G2W2的输入可为(V,XCP,XMP)。目的可为最小化关于训练数据的XFP|G2W2(V,XCP,XMP)-XFP|2的均方误差。
因此,经学习GW可为作为GW(XS,XCP,XMP)=G2W2(G1W1(XS),XCP,XMP)的复合函数。
相较于直接训练GW∶(XS,XCP,XMP)→XFP,所添加G1W1呈现至少两个优点。首先,其减少光谱的维度且可消除由光谱携载的信息中的冗余。第二,其例如使用训练方法将光谱映射到相同域作为轮廓参数。
G1W1的存在可基于OCD模型的轮廓与光谱之间的关系的物理理解。归因于轮廓参数之间的相关性,前者与后者具有多对关系。G1W1可通过导出与个别光谱相关联的V(其可导致光谱与V之间的一对一关系)而减少相关性。
可相对于训练数据中的光谱集的波封计算经学习GW的有效性范围。由于仅可在训练数据的范围内及由相关联光谱的范围固有地确定的轮廓参数的范围内确保GW的可靠性,因此可在预测过程中使用经计算波封以评估测试样本是否在经学习GW的有效性范围内。
在例子中,可通过寻找每一波长点处的光谱的最大值及最小值而计算训练数据中的光谱的波封。
使用经学习GW,在给定临界参数的情况下可预测固定参数。针对一组测试样本T={X1,…,XM}(其中每一测试样本对应于个别光谱),可对其评估GW以产生一组XFP。通过对样本平均化XFP而估计固定参数值。应注意,由于使用来自GW的FP的预测值的平均值,因此可显著缓解GW中的训练误差的效应。
在例子中,可通过测试测试光谱是否在全部波长点上的经计算光谱波封内而评估针对一个别测试样本的预测的有效性。可通过在每一波长点处的训练光谱的最小值及最大值而给定光谱波封。针对给定测试光谱,评估过程可测试在每一波长点处,测试光谱是否在预计算范围(例如,最小值及最大值)内。
当使用经学习GW搜索时,可通过将经学习GW并入基于梯度的搜索而构造混合策略。这可确保搜索的效率及可靠性两者。针对临界参数的给定参考值,虽然GW可用于有效地预测固定参数的次优值,但梯度搜索从固定参数的经预测接近最优值起始且可通过可靠地校正GW中的训练误差而调整固定参数以寻找其实际最优值。可执行对个别测试样本的经学习GW的有效性测试,其可用于确定如何在基于梯度的搜索的步骤处处理来自GW的预测。
针对临界参数的给定参考值,使用混合策略搜索固定参数的最优值。可迭代地执行混合策略。
可使用神经网络执行固定参数的基于梯度的搜索。这可包含:i)设置固定参数的标称值;ii)确定标称值下的固定参数的临界参数;iii)使用神经网络确定临界参数的固定参数;iv)运用一个迭代从基于梯度的搜索确定临界参数的固定参数;v)运用一个迭代用使用基于梯度的搜索的固定参数更新固定参数;及vi)任选地重复步骤i)到v),直到实现停止准则。停止准则可为规范或收敛。
在实例中,将固定参数的标称值设置为XFP且使用AcuShape引擎计算(XCP,XMP)。假定XCP等于临界参数的参考值,则使用GW确定XFP (1)。还可测试GW对个别测试样本的有效性。从XFP (1)起始,可仅运用一个迭代使用基于梯度的搜索寻找XFP (2)。在经确定的GW对测试样本的有效性的帮助下,可设计关于如何使用XFP (1)的更复杂策略。接着使用XFP (2)更新XFP。可任选地重复这些步骤直到实现指定停止准则。作为停止准则的实例,可实现临界参数的精确度规范。因此,如果未实现停止准则,那么将固定参数的标称值设置为XFP且再次使用AcuShape引擎计算(XCP,XMP)。在图3中说明此技术。
在实施例中,可使用真实经测量光谱数据而非合成光谱。还可使用真实经测量光谱数据及合成光谱的混合。
在实施例中,当在给定临界参数的参考值的情况下预测固定参数的值时,可应用到临界参数的参考值的变换(例如偏移及消除趋势)以处理参考数据的测量中的整体移位。
在实施例中,当使用经学习神经网络进行搜索时,可执行使用经学习神经网络与基于梯度的搜索的步骤之间的更灵活组合。这可进一步平衡搜索过程的效率及可靠性。
图4在(a)及(b)中说明使用经学习神经网络GW的临界尺寸(CD1)精确度的结果且在(c)及(d)中说明不使用经学习神经网络GW的临界尺寸(CD1)精确度的结果。希望为阐释性且非限制性的图4中的实例是基于合成光谱数据。
对模仿逻辑及动态随机存取存储器(DRAM)层中的FinFET结构的代理模型实施并测试本文中揭示的技术的实施例。目的是通过调整测量鳍侧壁弯曲的θ1的标称值而优化对于CD1的测量精确度。
针对训练数据,在两个步骤中使用AcuShape引擎产生模型的合成光谱及轮廓。首先,在模型的浮动参数的给定范围内产生一组三十个合成光谱。接着,针对每一光谱样本,通过在其给定范围内取样θ1而产生百个轮廓。
在图4中,(a)及(b)说明使用经学习神经网络GW的CD1的精确度的优化的结果。在图4中,(c)及(d)说明不使用经学习神经网络GW的CD1的精确度的优化的结果。使用GW,仅使用一个迭代以定位θ1的接近最优值,其中CD1具有近似0.95的精确度(R2)及近似0.95的斜率。此验证经学习神经网络GW在给定临界参数的参考值的情况下预测固定参数的次优值的能力。可通过注明针对神经网络的一个迭代耗费近似0.09秒而针对基于梯度的搜索的一个迭代耗费近似37.55秒而进一步量化地评估效率改进。
本文中揭示的实施例的进一步测试包含使用具有较大大小的光谱样本的代理模型及使用有关现实DRAM情况的代理模型。在这些测试中确认性能增强。
图5是系统200的框图。系统包含主神经网络G2W2 202及次级神经网络G1W1 201(其还称为初始神经网络)。这些神经网络中的每一者可在处理器或多个处理器上实施。所述神经网络还可在相同处理器上实施。处理器与晶片计量工具203电子通信。晶片计量工具203可产生由处理器使用的信息。
可包含处理器的主神经网络G2W2 202可与晶片计量工具203电子通信。主神经网络G2W2 202可经配置以基于半导体晶片的临界参数的值及从半导体晶片的光谱导出的低维实值向量而预测固定参数的值。光谱可为光谱椭偏测量光谱或镜面反射光谱。
次级神经网络G1W1 201可与主神经网络G2W2 202电子通信。次级神经网络G1W1 201可经配置以接收半导体晶片的光谱且基于经接收光谱而导出低维实值向量。主神经网络G2W2 202可从次级神经网络G1W1 201接收低维实值向量。
主神经网络G2W2 202及次级神经网络G1W1 201可具有不同架构。
系统200还可包含与用于主神经网络G2W2 202及/或次级神经网络G1W1 201的处理器电子通信的一或多个电子数据存储单元。电子数据存储单元可与晶片计量工具203电子通信。
用于主神经网络G2W2 202及次级神经网络G1W1 201的处理器及电子数据存储单元可为晶片计量工具203或另一装置的部分。在实例中,处理器及电子数据存储单元可为独立控制单元的部分或在集中式质量控制单元中。可使用多个处理器或电子数据存储单元。因此,主神经网络G2W2 202及次级神经网络G1W1 201可为晶片计量工具203的部分。
实际上,每一处理器可通过硬件、软件及固件的任何组合实施。举例来说,处理器可包含微处理器、微控制器或其它装置。此外,如本文中描述的其功能可由一个单元执行或在不同组件当中划分,所述不同组件中的每一者可又通过硬件、软件及固件的任何组合实施。供处理器实施各种方法及功能的程序码或指令可存储于可读存储媒体(例如电子数据存储单元中的存储器或其它存储器)中。
处理器可以任何合适方式(例如,经由一或多个传输媒体,所述一或多个传输媒体可包含有线及/或无线传输媒体)耦合到系统200的组件,使得处理器可接收输出。处理器可经配置以使用输出执行数个功能。
本文中描述的处理器、其它系统或其它子系统可为各种系统的部分,包含个人计算机系统、图像计算机、主机计算机系统、工作站、网络设备、因特网设备或其它装置。子系统或系统还可包含所属领域中已知的任何合适处理器(例如平行处理器)。另外,所述子系统或所述系统可包含具有高速处理及软件的平台(作为独立工具或网络工具)。
如果系统包含一个以上子系统,那么不同子系统可彼此耦合,使得可在子系统之间发送图像、数据、信息、指令等。举例来说,一个子系统可通过可包含所属领域中已知的任何合适有线及/或无线传输媒体的任何合适传输媒体耦合到额外子系统。两个或两个以上此类子系统还可通过共享计算机可读存储媒体(未展示)而有效地耦合。
额外实施例涉及一种存储程序指令的非暂时性计算机可读媒体,所述程序指令可在处理器上执行用于执行计算机实施计量,如本文中揭示。特定来说,处理器可耦合到具有包含可在处理器上执行的程序指令的非暂时性计算机可读媒体的电子数据存储单元或其它电子数据存储媒体中的存储器。计算机实施方法可包含本文中描述的任何方法的任何步骤。举例来说,处理器可经编程以执行图2、图3或本文中揭示的其它实施例的步骤中的一些或全部。电子数据存储单元或其它电子数据存储媒体中的存储器可为存储媒体,例如磁盘或光盘、磁带或所属领域中已知的任何其它合适非暂时性计算机可读媒体。特定来说,电子数据存储单元202可包含永久存储器、随机存取存储器或分割数据库。
可以各种方式(包含基于过程的技术、基于组件的技术及/或面向对象技术等等)的任何者实施程序指令。举例来说,可根据需要使用ActiveX控件、C++对象、JavaBeans、微软基础类别(MFC)、SSE(流式SIMD延伸)或其它技术或方法论实施程序指令。
本发明可经体现以使用神经网络。在一些实施例中,神经网络是生成模型。生成模型可大体上定义为本质上概率性的模型。换句话说,生成模型非执行顺向模拟或基于规则的方法的模型。代替性地,可基于合适训练数据集学习生成模型(其中可学习其参数)。
在实施例中,神经网络经配置为深度生成模型。举例来说,模型可经配置以具有深度学习架构,其中模型可包含执行数个算法或变换的多个层。在模型的一个或两个侧上的层的数目可变化。举例来说,在生成模型的编码器侧上的层的数目是使用情况相依的。另外,在解码器侧上的层的数目是使用情况相依的且可取决于编码器侧上的层的数目。一般来说,生成模型的一个或两个侧上的层的数目不显著且是使用情况相依的。为了实际目的,在两个侧上的层的合适范围是从两个层到数十个层。
在另一实施例中,神经网络可为深度学习神经网络,其具有根据已经馈送以训练模型的数据对世界进行建模的一组权重。神经网络可大体上经定义为基于神经单元的相对大集合的计算方法,神经单元的所述相对大集合松散地建模生物脑使用通过轴突连接的生物神经元的相对大集群解决问题的方式。每一神经单元与许多其它神经单元连接,且链结可强制执行或抑制其对经连接神经单元的活化状态的效应。这些系统是自我学习且经训练而非明确编程且可在解决方案或特征检测难以按传统计算机程序表达的领域中具有优势。深度学习是具有多个神经层的概率图模型,通常称为深度架构。深度学习技术以阶层式方式处理信息,例如图像、文字、语音或其它输入。在使用本发明中的深度学习时,使用从数据学习自动地完成特征提取。这比基于专家对一组图像的理解而提取特征的先前方法有利。在本发明中,通过神经网络在给定临界参数的参考值的情况下预测固定参数的接近最优值。
神经网络通常由多个层构成,且信号路径从前部横越到后部。神经网络的目的是以与人脑相同的方式解决问题。神经网络项目通常使用数千到数百万个神经单元及数百万个连接工作。神经网络可具有所属领域中已知的任何合适架构及/或配置。
存在具有取决于可能性规范及网络架构的深度架构的神经网络的许多变体,包含(但不限于)深度信任网络(DBN)、限制玻尔兹曼机(Restricted Boltzmann Machine)(RBM)、自动编码器或卷积神经网络(CNN)。实际实施方案可取决于可用信息、所提供的信息的大小及问题的性质而变化。
图5中的晶片计量工具203可包含:照明系统,其照明目标;收集系统,其捕获由照明系统与目标、装置或特征的相互作用(或无相互作用)提供的相关信息;及处理系统,其使用一或多个算法分析所收集的信息。
晶片计量工具203可包含可用于测量各种半导体结构及材料特性的一或多个硬件配置。此类硬件配置的实例包含(但不限于)光谱椭偏仪(SE)、具有多个照明角的SE、(例如,使用旋转补偿器)测量穆勒(Mueller)矩阵元素的SE、单波长椭偏仪、光束轮廓椭偏仪(角度分辨椭偏仪)、光束轮廓反射计(角度分辨反射计)、宽带反射光谱仪(光谱反射计)、单波长反射计、角度分辨反射计、成像系统或散射计(例如,散斑分析仪)。硬件配置可分为离散操作系统或可组合为单个工具。
具有某些硬件配置的照明系统可包含一或多个光源。光源可产生仅具有一个波长的光(即,单色光)、具有数个离散波长的光(即,多色光)、具有多个波长的光(即,宽带光)及/或扫略波长(连续地扫略或在波长之间跳跃)的光(即,可调谐源或扫略源)。合适光源的实例是:白光源;紫外(UV)激光、弧光灯或无电极灯、激光持续等离子体(LSP)源、超连续源(例如宽带激光源)、较短波长源(例如x射线源)、极UV源或其某一组合。光源还可经配置以提供具有充分亮度(在一些情况中,其可为大于约1W/(nm cm2Sr)的亮度)的光。晶片计量工具203还可包含对光源的快速反馈以稳定其功率及波长。光源的输出可经由自由空间传播递送或在一些情况中,经由任何类型的光纤或光导递送。
晶片计量工具203可经设计以进行与半导体制造相关的许多不同类型的测量。举例来说,在某些实施例中,晶片计量工具203可测量一或多个目标的特性,例如临界尺寸、重叠、侧壁角、膜厚度或工艺相关参数(例如,焦点及/或剂量)。目标可包含在性质上为周期性的某些所关注区域,例如存储器裸片中的光栅。目标可包含其厚度可由晶片计量工具203测量的多个层(或膜)。目标可包含放置于(或已经存在于)半导体晶片上的目标设计以供(例如)与对准及/或重叠对位操作一起使用。某些目标可定位于半导体晶片上的各种位置处。举例来说,目标可定位于刻划道内(例如,裸片之间)及/或定位于裸片自身中。在某些实施例中,通过相同或多个计量工具(在相同时间或在不同时间)测量多个目标。可组合来自此类测量的数据。在半导体制造工艺中使用来自计量工具的数据(例如)以前馈、反馈及/或横向馈送对工艺(例如,光刻、蚀刻)的校正且因此,可产生完整工艺控制解决方案。
可通过包含以下项的数个数据拟合及优化技术及科技分析经收集数据:库、快速降阶模型、回归、机器学习算法(例如神经网络及支持向量机(SVM))、降维算法(例如主分量分析(PCA)、独立分量分析(ICA)及局部线性嵌入(LLE))、稀疏表示(例如傅立叶(Fourier)或小波变换)、卡尔曼(Kalman)滤波器、用于促进来自相同或不同工具类型的匹配的算法及其它者。经收集数据还可通过不包含建模、优化及/或拟合的算法分析。
通常针对计量应用优化计算算法,其中使用一或多个方法,例如计算硬件的设计及实施方案、并行化、计算的分布、负载平衡、多服务支持或动态负载优化。算法的不同实施方案可在固件、软件、现场可编程门阵列(FPGA)及可编程光学器件组件等中完成。
数据分析及拟合步骤通常追求一或多个目的。举例来说,目的可为CD、侧壁角(SWA)、形状、应力、组合物、膜、带隙、电性质、焦点/剂量、重叠、产生工艺参数(例如,光致抗蚀剂状态、部分压力、温度及聚焦模型)及/或其任何组合的测量。目的可为计量系统的建模及/或设计。目的还可为计量目标的建模、设计及/或优化。
本发明的实施例解决半导体计量的领域且不限于硬件、算法/软件实施方案及架构,且使用上文概述的情况。
如本文中使用,术语“晶片”大体上是指由半导体或非半导体材料形成的衬底。此半导体或非半导体材料的实例包含(但不限于)单晶硅、氮化镓、砷化镓、磷化铟、蓝宝石及玻璃。此类衬底通常可在半导体制造厂中找到及/或处理。
晶片可包含形成于衬底上的一或多个层。举例来说,此类层可包含(但不限于)光致抗蚀剂、电介质材料、导电材料及半导电材料。所属领域中已知许多不同类型的此类层,且如本文中使用的术语晶片希望涵盖包含全部类型的此类层的晶片。
形成于晶片上的一或多个层可经图案化或未经图案化。举例来说,晶片可包含各自具有可重复图案化特征或周期性结构的多个裸片。此类材料层的形成及处理最终可导致完成装置。许多不同类型的装置可形成于晶片上,且如本文中使用的术语晶片希望涵盖其上制造所属领域中已知的任何类型的装置的晶片。
还可使用其它类型的晶片。举例来说,晶片可用于制造LED,太阳能电池、磁盘、平板或抛光板。还可使用本文中揭示的技术及系统对其它物件(例如光罩)的测量分类。
可如本文中描述那样执行方法的每一步骤。方法还可包含可由本文中描述的处理器及/或计算机子系统或系统执行的任何其它步骤。步骤可由一或多个计算机系统执行,所述一或多个计算机系统可根据本文中描述的任何实施例配置。另外,上文描述的方法可由本文中描述的任何系统实施例执行。
虽然已关于一或多个特定实施例描述本发明,但应理解,可进行本发明的其它实施例而不脱离本发明的范围。因此,将本发明视为仅由所附权利要求书及其合理解释限制。

Claims (20)

1.一种用于半导体计量的方法,其包括:
使用处理器,运用神经网络基于半导体晶片的临界参数的值而预测固定参数的值,其中所述神经网络是基于所述临界参数中的一或多者及与光谱相关联的低维实值向量训练的,且其中所述固定参数表示经测量结构的尺寸;以及
使用处理器通过确定测试光谱是否在波长点上的光谱波封内来评估所述固定参数的所述值,其中所述光谱波封介于所述波长点的每一者处的训练光谱的最小值和最大值之间。
2.根据权利要求1所述的方法,其中所述光谱是光谱椭偏测量光谱或镜面反射光谱。
3.根据权利要求1所述的方法,其中通过另一神经网络基于所述光谱而映射所述低维实值向量。
4.根据权利要求1所述的方法,其进一步包括:
使用所述处理器通过将所述光谱中的一或多者映射到所述低维实值向量中的一或多者而训练初始神经网络;及
使用所述处理器训练所述神经网络以基于所述临界参数中的一或多者及来自所述初始神经网络的所述低维实值向量而预测所述固定参数。
5.根据权利要求4所述的方法,其进一步包括通过模拟在浮动参数的范围内取样的轮廓而产生所述光谱。
6.根据权利要求5所述的方法,其进一步包括产生与所述光谱相关联的光学临界尺寸模型的轮廓。
7.根据权利要求6所述的方法,其中产生所述光学临界尺寸的所述轮廓包含:
通过取样所述固定参数到所述固定参数的范围内而确定一组所述固定参数;及
针对每一对光谱及固定参数,确定对应临界参数。
8.根据权利要求7所述的方法,其进一步包括使用所述对应临界参数确定对应浮动参数或对应受约束参数。
9.根据权利要求4所述的方法,其中针对所述初始神经网络的训练数据包含多个样本,其中所述样本中的每一者包含所述光谱中的一或多者及与所述光谱中的一或多者相关联的光学临界尺寸模型的轮廓。
10.根据权利要求4所述的方法,其中训练所述初始神经网络确定最小化针对所述光谱中的一或多者中的相同一者的所述低维实值向量中的差的参数。
11.根据权利要求4所述的方法,其中所述神经网络相对于训练数据最小化一组所述固定参数的均方误差。
12.根据权利要求4所述的方法,其中所述初始神经网络及所述神经网络具有不同架构。
13.根据权利要求1所述的方法,其进一步包括对用于产生所述光谱的样本平均化一组所述固定参数。
14.根据权利要求1所述的方法,其进一步包括使用所述神经网络的一组所述固定参数的基于梯度的搜索。
15.根据权利要求14所述的方法,其中所述基于梯度的搜索包含:
i)设置所述固定参数的标称值;
ii)确定所述标称值下的所述固定参数的所述临界参数;
iii)使用所述神经网络确定所述临界参数的所述固定参数;
iv)运用一个迭代从所述基于梯度的搜索确定所述临界参数的所述固定参数;
v)运用一个迭代用使用所述基于梯度的搜索的所述固定参数更新所述固定参数;及
vi)重复步骤i)到v),直到实现停止准则,其中所述停止准则是规范或收敛中的一者。
16.一种计量系统,其包括:
主神经网络,其与晶片计量工具电子通信,其中所述主神经网络经配置以基于半导体晶片的临界参数的值及从所述半导体晶片的光谱导出的低维实值向量而预测固定参数的值,且其中所述固定参数表示经测量结构的尺寸;以及
处理器,其经配置以通过确定测试光谱是否在波长点上的光谱波封内来评估所述固定参数的所述值,其中所述光谱波封介于所述波长点的每一者处的训练光谱的最小值和最大值之间。
17.根据权利要求16所述的计量系统,其中所述光谱是光谱椭偏测量光谱或镜面反射光谱。
18.根据权利要求16所述的计量系统,其进一步包括与所述主神经网络电子通信的次级神经网络,其中所述次级神经网络经配置以接收所述半导体晶片的所述光谱且基于所述经接收光谱导出所述低维实值向量,且其中所述主神经网络从所述次级神经网络接收所述低维实值向量。
19.根据权利要求18所述的计量系统,其中所述主神经网络包含处理器。
20.根据权利要求18所述的计量系统,其中所述主神经网络及所述次级神经网络具有不同架构。
CN201880071924.7A 2017-11-15 2018-11-14 通过先进机器学习技术的测量精确度的自动优化 Active CN111566674B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762586660P 2017-11-15 2017-11-15
US62/586,660 2017-11-15
US15/903,693 US11380594B2 (en) 2017-11-15 2018-02-23 Automatic optimization of measurement accuracy through advanced machine learning techniques
US15/903,693 2018-02-23
PCT/US2018/061155 WO2019099594A1 (en) 2017-11-15 2018-11-14 Automatic optimization of measurement accuracy through advanced machine learning techniques

Publications (2)

Publication Number Publication Date
CN111566674A CN111566674A (zh) 2020-08-21
CN111566674B true CN111566674B (zh) 2024-06-28

Family

ID=66432320

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880071924.7A Active CN111566674B (zh) 2017-11-15 2018-11-14 通过先进机器学习技术的测量精确度的自动优化

Country Status (6)

Country Link
US (1) US11380594B2 (zh)
JP (1) JP7316274B2 (zh)
KR (1) KR20200074258A (zh)
CN (1) CN111566674B (zh)
TW (1) TWI791666B (zh)
WO (1) WO2019099594A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10969773B2 (en) * 2018-03-13 2021-04-06 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US10950508B2 (en) * 2019-03-20 2021-03-16 Samsung Electronics Co., Ltd. Ion depth profile control method, ion implantation method and semiconductor device manufacturing method based on the control method, and ion implantation system adapting the control method
CN114222949A (zh) * 2019-08-13 2022-03-22 Asml荷兰有限公司 用于计算特征的建模方法
WO2021130757A1 (en) * 2019-12-26 2021-07-01 Nova Measuring Instruments Ltd. Combined ocd and photoreflectance method and system
WO2021140508A1 (en) * 2020-01-06 2021-07-15 Nova Measuring Instruments Ltd. Self-supervised representation learning for interpretation of ocd data
CN112384749B (zh) * 2020-03-13 2022-08-19 长江存储科技有限责任公司 用于半导体芯片孔几何形状度量的系统和方法
KR20220135246A (ko) * 2020-03-31 2022-10-06 주식회사 히타치하이테크 에러 요인의 추정 장치 및 추정 방법
US11328435B2 (en) * 2020-06-08 2022-05-10 KLA Corp. Image alignment setup for specimens with intra- and inter-specimen variations using unsupervised learning and adaptive database generation methods
CN112699095B (zh) * 2020-12-24 2024-02-02 武汉大学 基于ann的光学特性建模数据库生成方法
US20230059313A1 (en) * 2021-08-18 2023-02-23 Applied Materials, Inc. On wafer dimensionality reduction
CN113705045B (zh) * 2021-08-20 2024-04-12 上海交通大学 一种基于代理模型的转静子系统碰摩可靠性分析方法
CN114963979A (zh) * 2022-05-06 2022-08-30 武汉大学 基于深度学习的3d nand存储器层叠结构关键尺寸测量方法
CN115728247B (zh) * 2022-10-20 2024-05-28 武汉颐光科技有限公司 一种基于机器学习的光谱测量质量判定方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
JP2005308612A (ja) 2004-04-23 2005-11-04 Photonic Lattice Inc エリプソメータおよび分光エリプソメータ
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
WO2007004177A2 (en) 2005-07-06 2007-01-11 Advanced Metrology Systems Llc. Method of measuring deep trenches with model-based optical spectroscopy
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US20100094790A1 (en) 2008-10-10 2010-04-15 Micron Technology, Inc. Machine learning of dimensions using spectral intensity response of a reflectometer
US8666703B2 (en) 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US20130158957A1 (en) * 2011-12-16 2013-06-20 Lie-Quan Lee Library generation with derivatives in optical metrology
CN102750333B (zh) 2012-05-31 2014-05-07 华中科技大学 一种用于提取半导体纳米结构特征尺寸的方法
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9412673B2 (en) 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9347872B1 (en) * 2013-09-23 2016-05-24 Kla-Tencor Corporation Meta-model based measurement refinement
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US20170140240A1 (en) * 2015-07-27 2017-05-18 Salesforce.Com, Inc. Neural network combined image and text evaluator and classifier
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
CN106097355A (zh) * 2016-06-14 2016-11-09 山东大学 基于卷积神经网络的胃肠道肿瘤显微高光谱图像处理方法
DE202016004628U1 (de) * 2016-07-27 2016-09-23 Google Inc. Durchqueren einer Umgebungsstatusstruktur unter Verwendung neuronaler Netze
TWI783037B (zh) * 2017-09-25 2022-11-11 美商應用材料股份有限公司 使用機器學習方式以產生製程控制參數的半導體製造

Also Published As

Publication number Publication date
US20190148246A1 (en) 2019-05-16
JP7316274B2 (ja) 2023-07-27
KR20200074258A (ko) 2020-06-24
TWI791666B (zh) 2023-02-11
US11380594B2 (en) 2022-07-05
WO2019099594A1 (en) 2019-05-23
JP2021503181A (ja) 2021-02-04
CN111566674A (zh) 2020-08-21
TW201930858A (zh) 2019-08-01

Similar Documents

Publication Publication Date Title
CN111566674B (zh) 通过先进机器学习技术的测量精确度的自动优化
US11874605B2 (en) Verification metrology targets and their design
US11537837B2 (en) Automated accuracy-oriented model optimization system for critical dimension metrology
CN107408519B (zh) 基于模型的单个参数测量的系统和方法
US10769320B2 (en) Integrated use of model-based metrology and a process model
US9721055B2 (en) Measurement model optimization based on parameter variations across a wafer
TWI603052B (zh) 產生最佳化量測配方之方法、系統及電腦可讀媒體
US9255877B2 (en) Metrology system optimization for parameter tracking
TWI838588B (zh) 用於訓練及實施度量衡配方之系統及方法
US10369752B2 (en) Metrology method and apparatus, computer program and lithographic system
US11796390B2 (en) Bandgap measurements of patterned film stacks using spectroscopic metrology
KR20200086746A (ko) 진보된 나노 구조물들의 측정 방법론
TW202232092A (zh) 基於機器學習之量測配方最佳化之動態控制
TWI631636B (zh) 以模型爲基礎之量測及一製程模型的整合使用
JP2021531663A (ja) 位相解明光学及びx線半導体計量

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant