KR20200086746A - 진보된 나노 구조물들의 측정 방법론 - Google Patents

진보된 나노 구조물들의 측정 방법론 Download PDF

Info

Publication number
KR20200086746A
KR20200086746A KR1020207019386A KR20207019386A KR20200086746A KR 20200086746 A KR20200086746 A KR 20200086746A KR 1020207019386 A KR1020207019386 A KR 1020207019386A KR 20207019386 A KR20207019386 A KR 20207019386A KR 20200086746 A KR20200086746 A KR 20200086746A
Authority
KR
South Korea
Prior art keywords
model
anisotropic
parameters
variance
parameter
Prior art date
Application number
KR1020207019386A
Other languages
English (en)
Other versions
KR102390309B1 (ko
Inventor
만흐 응우옌
필립 앳킨스
알렉산더 쿠즈네초프
리에-콴 리치 리
나탈리아 말코바
폴 아오야기
미하일 수쉬시크
호우쌈 초우아입
다웨이 후
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200086746A publication Critical patent/KR20200086746A/ko
Application granted granted Critical
Publication of KR102390309B1 publication Critical patent/KR102390309B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0205Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system
    • G05B13/024Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system in which a parameter or coefficient is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Software Systems (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Pathology (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Computation (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

웨이퍼 계측 도구로부터의 스펙트럼에 기초하여 구조물의 파라미터화된 기하학적 모델이 결정될 수 있다. 이 구조물은 기하학적 유도 이방성 효과를 가질 수 있다. 구조물의 분산 파라미터는 파라미터화된 기하학적 모델로부터 결정될 수 있다. 이를 통해 계측 기술은 무시할 수 없는(non-negligible) 이방성 효과를 유도하는 주변 구조물과의 기하학적 구조 및 상대적 위치를 갖는 나노 구조물을 측정할 수 있다. 이들 기술은 예를 들어, FinFET 또는 게이트-올-어라운드 전계 효과 트랜지스터(gate-all-around field-effect transistors)의 반도체 제조에서 금속 및 반도체 타겟을 수반하는 프로세스 단계를 특성화하는데(characterize) 사용될 수 있다.

Description

진보된 나노 구조물들의 측정 방법론
본 출원은 2017년 12월 8일에 출원되고 미국 특허 출원 제62/596,598호로 지정된 특허 가출원에 대한 우선권을 주장하고, 그 개시가 본 명세서에 참조로서 통합된다.
본 개시는 반도체 웨이퍼 상의 구조물을 측정하는 것에 대한 것이다.
반도체 제조 산업의 진화는 수율 관리에 대한 그리고 특히, 계측 및 검사 시스템에 대한 더 큰 요구를 부과하고 있다. 임계 치수는 웨이퍼 크기가 증가하고 있는 동안에 줄어들고 있다. 경제는 이 업계가 고수율, 고가의 생산을 달성하기 위한 시간을 감소시키게 하고 있다. 수율 문제를 검출해서 이를 해결하는 데 걸리는 총 시간을 최소화하는 것이 반도체 제조업자의 투자 회수율(return-on-investment; ROI)을 결정한다.
예를 들면, 로직 및 메모리 디바이스와 같은, 반도체 디바이스를 제조하는 것은 통상적으로 다수의 반도체 제조 프로세스를 사용하여 반도체 웨이퍼를 프로세싱하여 반도체 디바이스의 다양한 피처(features) 및 다수의 레벨을 형성하는 것을 포함한다. 예를 들어, 리소그래피는 패턴을 레티클(reticle)로부터 반도체 웨이퍼 상에 배열된 포토레지스트로 전사하는 것을 수반하는 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예에는 화학 기계적 연마(chemical-mechanical polishing; CMP), 에칭, 퇴적, 및 이온 주입을 포함하지만, 이들로 한정되지는 않는다. 복수의 반도체 디바이스가 단일 반도체 웨이퍼 상의 배열(arrangement) 내에서 제조된 후 개개의 반도체 디바이스로 분리될 수 있다.
계측은 예를 들어, 반도체 웨이퍼 또는 레티클의 다양한 측정을 수행하기 위해 반도체 제조 동안 사용될 수 있다. 계측 도구는 다양한 반도체 제조 프로세스와 연관된 구조적 및 재료 특성을 측정하는 데 사용될 수 있다. 예를 들어, 계측 도구는 재료 조성을 측정할 수 있거나 예를 들면, 막 두께, 구조물의 임계 치수(critical dimension; CD), 또는 오버레이와 같은, 구조물 및 막의 치수 특성을 측정할 수 있다. 이들 측정치는 반도체 다이의 제조 동안 프로세스 제어 및/또는 산출 효율을 촉진하기 위해 사용된다.
반도체 디바이스 패턴 치수가 계속하여 축소되기 때문에, 더 작은 계측 타겟이 종종 요구된다. 또한, 측정 정확도 및 실제 디바이스 특성에 대한 매칭(matching)을 위한 요건은, 다이 내(in-die) 및 심지어 디바이스 상(on-device) 측정뿐만 아니라 디바이스 유사(device-like) 타겟에 대한 필요성을 증가시킨다. 그러한 목표를 달성하기 위해 각종의 계측 구현이 제안되었다. 예를 들어, 주로 반사 광학에 기초한 집속 빔 엘립소미트리(focused-beam ellipsometry)가 제안되었다. 기하학적 광학에 의해 규정된 크기를 초과하는 조명 스폿(spot)의 확산을 야기하는 광학 회절 효과를 완화하기 위해 어포다이저(apodizers)를 사용할 수 있다. 동시성 다중 입사각 조명(simultaneous multiple angle-of-incidence illumination)을 가진 고 개구수 도구의 사용은 소형 타겟 능력을 달성하는 또 다른 방법이다.
다른 측정 예는 반도체 스택의 하나 이상의 층의 조성 측정, 웨이퍼 상의 (또는 내의) 특정 결함 측정, 및 웨이퍼에 노출된 포토리소그래피 복사선의 양 측정을 포함할 수 있다. 일부 경우에, 비주기적(non-periodic) 타겟을 측정하기 위해 계측 도구 및 알고리즘이 구성될 수 있다.
계측 기술은 제조 프로세스 동안 반도체 웨이퍼의 파라미터를 특성화 할(characterize) 수 있다. 실제로, 광은 반도체 웨이퍼에 형성된 주기적 격자 상으로 지향된다. 반사광의 스펙트럼은 격자 파라미터를 특성화하기 위해 측정되고 분석된다. 특성화 파라미터는 CD, 측벽각(sidewall angle; SWA), 피처 높이(feature height; HT), 재료 파라미터, 또는 다른 파라미터를 포함할 수 있으며, 이들은 재료로부터 반사되거나 재료를 관통해 투과되는 광의 편광 및 강도에 영향을 미친다. 측정된 스펙트럼의 분석은 일반적으로 측정된 샘플 스펙트럼을 시뮬레이션된 스펙트럼과 비교하여, 측정된 샘플을 가장 잘 설명하는 모델의 파라미터 값을 추론하는 것을 수반한다.
관심 파라미터(parameters of interest)의 측정은 일반적으로 다수의 알고리즘을 수반한다. 예를 들어, 입사 빔과 샘플의 광학적 상호 작용은 전자기(electro-magnetic; EM) 솔버(solver)를 사용하여 모델링되며 예를 들면, 엄격한 결합 파 분석(rigorous coupled wave analysis; RCWA), 유한 요소 모델링(finite element modeling; FEM), 모멘트 방법, 표면 적분법, 체적 적분법, 유한 차분 시간 도메인(finite-difference time domain; FDTD)과 같은 알고리즘을 사용한다. 관심 타겟은 일반적으로 기하학적 엔진, 프로세스 모델링 엔진, 또는 이 둘 모두의 조합을 이용해서 모델링(파라미터화)된다. 기하학적 엔진은 예를 들면, KLA-Tenco로부터의 AcuShape 소프트웨어 제품에서 구현된다.
이들 모델링 방법은 고정 또는 부동 파라미터; 파라미터 제약의 수정; 고정 파라미터의 공칭 값의 수정; 파라미터 공간의 좌표의 수정; 서브시스템 또는 채널의 선택 또는 가중화; 파장 선택 또는 가중화; 다중 패스(multi-pass); 데이터 피드포워드; 다중 모델(multi-model); 또는 회귀 엔진(regression engine)의 수정을 포함할 수 있다.
디바이스 내(in-device) 또는 디바이스 유사(device-like) 타겟에 대한 반도체 계측의 구성요소(component)는 전자기 솔버를 사용하여 샘플과 입사 빔의 광학적 상호 작용을 모델링하는 것이다. 전자기 솔버의 예는 RCWA, FEM, 모멘트 방법, 표면 적분 방법, 체적 적분 방법, 또는 FDTD를 포함한다. 이들 시뮬레이션 알고리즘은 측정 타겟의 개별 구성요소에 대한 미리 결정된 분산(dispersions)에 의존한다.
타겟의 분산에 관한 적어도 두 개의 가정이 있다. 먼저, 타겟의 분산은 종종 프로세스 변화, 온도 변화, 또는 다른 파라미터에 기인할 수 있는 동일한 재료의 벌크 분산으로부터의 작은 편차인 것으로 가정된다. 둘째, 반도체 제조에 일반적으로 사용되는 많은 재료의 분산은 유입되는 광의 편광과 무관한 것으로 가정된다. 이전에는 분광 엘립소미터와 같은 계측 시스템이 측정에 사용되었다. 중간 또는 최종 프로세스 단계로부터의 막 스택, 디바이스 내 또는 디바이스 유사 2D 및 3D 구조물이 측정되었다. 타겟의 각 구성요소의 광학적 특성을 설명하기 위해 하나의 등방성 분산 모델이 일반적으로 사용되었다. 그런 다음 알고리즘은 측정 및 시뮬레이션된 신호에 기초해 관심 있는 파라미터를 추정했다.
이들 두 개의 가정은, 그 기하학적 구조가 다른 구조물에 대한 상대 위치와 함께 무시할 수 없는 이방성 효과를 유발하는 타겟 구조물의 분산에 대해서는 유효하지 않다. 예를 들어, 충분히 얇은 SiGe 막에서 또는 2D 또는 3D SiGe 나노 구조물에서, 변형/응력 유도 이방성(strain/stress-induced anisotropy)은 광학 특성에서 우위 요인(dominant factor)이 될 수 있다. 또 다른 예에서, FinFET 내의 충분히 작은 크기의 Si 핀에서, (100), (110), (111)과 같은 Si 격자 배향과 채널의 기하학적 구조 사이의 상대적 관계는 상이한 유형의 이방성을 야기할 수 있다. 차세대 얇은 FinFET 또는 게이트-올-어라운드(gate-all-around) FET의 타겟 구조물이 복합 재료 및 기하학적 구조(예를 들면, 크기, 형태, 재료 계면 등)의 설계에서 더욱 정교해짐에 따라, 현재의 접근법은 높은 측정 품질을 보장하고 결과적으로 높은 수율을 보장하기 위해 필요한 모든 측정 단계를 수용할 수는 없을 것이다. 또한, 현재의 자외선, 가시광선, 및 적외선 유형의 유입되는 전자기파는 상대적으로 두꺼운 구조물의 바닥 근처에 있는 타겟에 충분한 시그니처(signature)를 제공하지 못할 수 있다.
따라서, 반도체 웨이퍼 상의 구조물을 측정하기 위한 새로운 시스템 및 방법이 필요하다.
제1 실시예에서, 방법이 제공된다. 웨이퍼 계측 도구에 의해 측정되는 스펙트럼은 프로세서에서 수신된다. 스펙트럼은 구조물을 포함하는 반도체 웨이퍼의 구역으로부터 나온 것이다. 이 구조물은 기하학적 유도 이방성 효과(geometry-induced anisotropic effects)를 가진다. 이 구조물의 파라미터화된 기하학적 모델은 프로세서를 사용하여 생성된다. 이방성 재료 속성은 프로세서를 사용하여 파라미터화된 기하학적 모델에 할당(assign)된다. 웨이퍼 상의 구조물의 분산 파라미터는 프로세서와 파라미터화된 기하학적 모델을 사용하여 결정된다. 분산 파라미터는 이방성 분산 파라미터를 포함한다. 적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터는 프로세서를 사용하여 파라미터화된 기하학적 모델로부터 결정된다.
스펙트럼은 웨이퍼 계측 도구를 사용해 측정될 수 있다.
분산 파라미터는 이방성 텐서 매트릭스(anisotropic tensor matrix)를 포함할 수 있다.
분산 파라미터의 이방성 유전체 구성요소는 기준 이론적 또는 경험적 분산 모델에 의해 제공될 수 있다. 예시에서, 기준 이론적 또는 경험적 분산 모델은 이방성에 기여하는 요인에 대한 분산 파라미터의 의존성(dependency)을 나타낸다.
적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터는 회귀 또는 머신 러닝(machine learning)을 사용하여 결정될 수 있다.
분산 파라미터는 양축 모델(biaxial model) 또는 단축 모델(uniaxial model)을 사용하여 모델링될 수 있다. 예시에서, 양축 모델 또는 단축 모델의 유전체 구성요소는 적어도 하나의 기준 분산 모델에 의해 제공된다.
이방성 재료 특성은 전자 밀도(electron density), 재료 밀도, 또는 화학적 조성(chemical composition) 중 적어도 하나 를 포함할 수 있다.
이방성 분산 파라미터는 정정 파라미터(correction parameters)를 사용하여 파라미터화될 수 있다. 예를 들어, 정정 파라미터는 원래의 이방성 분산의 시프트 또는 스케일을 나타낸다.
일례로, 분산 파라미터는 파라미터화된 기하학적 모델, 분산 모델, 및 시뮬레이터를 사용하여 실험(experiments)의 스펙트럼 설계를 생성하는 단계; 스펙트럼과, 구조물의 기하학적 및/또는 재료 파라미터와 관련된 적어도 하나의 파라미터 간의 관계를 결정하기 위해 통계적 모델을 트레이닝(training)하는 단계; 및 통계적 모델을 사용하여 스펙트럼으로부터 구조물의 관심 파라미터를 예측하는 단계를 포함하는 프로세스에 의해 결정된다. 기하학적 파라미터와 분산 파라미터 중 적어도 하나는 미리 결정된 범위 내에 분포된다.
분산 파라미터는, 스펙트럼의 모델링된 버전과 측정된 버전 간의 스펙트럼 차이를 최소화하는 최적화 또는 회귀 중 적어도 하나에 의해 그리고 파라미터화된 기하학적 모델 및 분산 파라미터를 섭동(perturb)함으로써 결정될 수 있다.
방법은 파라미터화된 기하학적 모델, 분산 모델, 및/또는 기하학적 구성에서의 파라미터를 조정하기 위해, 시뮬레이션된 스펙트럼과 이 스펙트럼을 비교하는 것에 기초하는 러닝을 사용하는 하나 이상의 피드백 동작을 더 포함할 수 있다.
방법은 스펙트럼을 사용하여 이방성 분산을 예측하는 단계를 더 포함할 수 있다.
컴퓨터 판독 가능 프로그램이 임베딩되어 있는 비일시적 컴퓨터 판독 가능 저장 매체를 포함하는 컴퓨터 프로그램 제품이 제공될 수 있다. 컴퓨터 판독 가능 프로그램은 제1 실시예의 방법의 변형 중 하나를 실행하도록 구성된다.
제2 실시예에서, 시스템이 제공된다. 시스템은 전자 데이터 저장 유닛과, 전자 데이터 저장 유닛 및 웨이퍼 계측 도구와 전자 통신하는 프로세서를 포함한다. 프로세서는 구조물의 파라미터화된 기하학적 모델을 생성하고; 이방성 재료 특성을 파라미터화된 기하학적 모델에 할당하고; 파라미터화된 기하학적 모델을 사용하여 웨이퍼 상의 구조물의 분산 파라미터를 결정하며; 파라미터화된 기하학적 모델로부터 적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터를 결정하도록 구성된다. 스펙트럼은 구조물을 포함하고 웨이퍼 계측 도구에 의해 측정되는 반도체 웨이퍼의 구역으로부터 나온 것이다. 이 구조물은 기하학적 유도 이방성 효과를 가진다. 분산 파라미터는 이방성 분산 파라미터를 포함한다.
예를 들어, 프로세서 및 전자 데이터 저장 유닛은 웨이퍼 계측 도구 내에 배치되거나 또는 그렇지 않은 경우 웨이퍼 계측 도구의 일부일 수 있다.
제3 실시예에서, 시뮬레이터가 제공된다. 시뮬레이터는 구조물의 파라미터화된 기하학적 모델을 포함한다. 스펙트럼은 구조물을 포함하고 웨이퍼 계측 도구에 의해 측정되는 반도체 웨이퍼의 구역으로부터 나온 것이다. 이 구조물은 기하학적 유도 이방성 효과를 가진다. 프로세서를 사용하여 파라미터화된 기하학적 모델은 이방성 재료 특성이 할당되게 하고; 웨이퍼 상의 구조물의 분산 파라미터를 결정하고; 적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터를 결정하며; 스펙트럼에 기초하여 이방성 분산을 예측하도록 구성된다. 분산 파라미터는 이방성 분산 파라미터를 포함한다.
본 개시의 본질 및 목적을 더 완전히 이해하기 위해, 첨부된 도면과 함께 취해지는 하기의 상세한 설명에 대한 참조가 이루어져야 한다.
도 1은 본 개시에 따른 방법의 제1 실시예의 흐름도이다.
도 2는 본 개시에 따른 방법의 제2 실시예의 흐름도이다.
도 3은 본 개시 내용에 따른 방법의 제3 실시예의 흐름도이다.
도 4는 본 개시 내용에 따른 방법의 제4 실시예의 흐름도이다.
도 5는 예시적인 막 스택을 도시한다.
도 6은 예시적인 FinFET을 도시한다.
도 7은 예시적인 게이트-올-라운드(gate-all-around; GAA) FET을 도시한다.
도 8은 본 발명에 따른 시스템의 블록도이다.
비록 청구되는 특허 대상(subject matter)이 특정 실시예의 견지에서 설명될 것이지만, 본 개시에서 제시된 이득 및 피처 모두를 제공하지 않는 실시예를 포함하는 다른 실시예가 본 개시의 범위 내에 또한 있다. 다양한 구조적, 논리적, 프로세스 단계와 전자적 수정이 본 개시의 범위를 벗어나지 않으면서 이루어질 수 있다. 따라서, 본 개시의 범위는 단지 첨부된 청구항들로의 참조에 의해서만 규정된다.
여기서 개시된 실시예는, 계측 기술이, 무시할 수 없는 이방성 효과를 유도하는 주변 구조물과의 기하학적 구조 및 상대적 위치를 갖는 나노 구조물을 측정하게 할 수 있다. 예를 들어, 무시할 수 없는 이방성 효과는 벌크 재료에 대한 값과 비교하여 0.1%보다 크게 변화되는 재료 특성을 포함할 수 있다. 또 다른 예에서, 무시할 수 없는 이방성 효과는 공차보다 큰 시뮬레이션 신호에서의 변화를 포함할 수 있다. 공차는 예를 들어, 5%일 수 있다. 기하학적 유도 이방성 기반 시뮬레이션된 신호(예를 들어, 5%와 같은 공차보다 큼)는 등방성 가정(isotropic-assumed) 재료를 기반으로 하는 신호보다 더 정확할 수 있다. 이들 기술은 예를 들어, 진보된 FinFET 또는 게이트-올-라운드(GAA) FET의 반도체 제조에서 금속 및 반도체 타겟을 수반하는 프로세스 단계를 특성화하는 데 사용될 수 있다. 본원에 개시된 실시예를 사용하여, 물리적 특성은 치수 특성과 관련될 수 있다. 따라서, 재료 특성에 영향을 미치는 기하학적 파라미터는 치수 특성에 관련될 수 있다. 구조물의 형태 및/또는 상대 위치가 설명될(accounted for) 수 있다.
웨이퍼 계측 도구는 분광 엘립소메트리(spectroscopic ellipsometry; SE)를 제공할 수 있다. 이러한 웨이퍼 계측 도구는 전형적으로 광대역 광원, 광원이 어떻게 타겟과 상호 작용하는가를 측정하는 시스템, 및 타겟의 관련 파라미터를 추출하는 처리 알고리즘을 포함한다. 이 광원은 레이저 구동 광원일 수 있으며, 이 광원은 높은 강도를 제공하고 Xe 램프와 달리 검출기에서 신호 대 잡음비를 증가시킬 수 있다. 일례로, 수집 시스템은 일련의 편광기(회전 또는 고정됨), 보상기(회전 또는 고정됨), 검출기, 분광계, 카메라, 렌즈, 거울, 및/또는 시준기를 포함한다. 타겟 시그니처를 향상시키기 위해, 시스템은 N2 또는 Ar 가스 퍼지를 사용하여 파장 범위를 170 nm 이하로 확장할 수 있다.
SE 측정의 경우, 측정 타겟의 광학 속성은 광-타겟 상호 작용을 시뮬레이션하기 위해 사용된다. 타겟 구조물의 재료 및 기하학적 설계의 복잡성이 고도로 정교해지고 그리고/또는 구조물 크기가 충분히 작아지면, 그 광학 특성이 벌크 재료의 광학 특성으로부터 크게 벗어날 수 있다. 이러한 경우에, 이방성 효과가 현저해질 수 있고 그리고/또는 분산은 벌크 재료의 분산과는 현저하게 달라진다. 이 현상은 기하학적 유도 이방성이라고 지칭된다.
기하학적 유도 이방성은 타겟 구조물의 기하학적 구조, 주변 구조물의 기하학적 구조, 및/또는 타겟 구조물과 주변 구조물의 상대적 위치에 의해 직접적으로 또는 간접적으로 야기되는 타겟 구조물에서의 임의의 이방성 효과를 포함할 수 있다. 타겟 구조물의 기하학적 구조는 형태, 크기, 구조적 대칭, 결정의 고유 이방성, 변형 유도 이방성, 응력 유도 이방성, 이웃 구조물들(근접 효과), 양자 터널링 효과, 양자화 효과, 수소 패시베이션, 또는 다른 파라미터를 포함할 수 있다. 이들 파라미터는 구조물의 기하학적 구조가 관여하기 때문에 무시할 수 없게 될 수 있다. 일례로, 타겟 구조물의 기하학적 구조는 관심 층(예를 들어, SiO2, Si, 또는 SiGe)의 두께를 포함한다. 주변 구조물의 기하학적 구조는 관심 층의 이웃 층의 두께를 포함할 수 있다. 타겟 구조물과 주변 구조물의 상대 위치의 예는 도 5에서 볼 수 있다. 상부 Si 층은 SiO2 층과 SiGe 층 사이에 있고, 제 2 상부 Si는 두 Si 층들 사이에 있다.
예를 들어, 기하학적 유도 이방성은 주변 매질(ambient medium)과는 다른 유전 상수를 갖는 와이어에서 정전기 불일치(예를 들어, 거울상힘(image forces))로 인한 효과를 포함할 수 있다.
예를 들어, 기하학적 유도 이방성은 어닐링 또는 기본 에징 기술(underlying edging technologies)과 같은 프로세스 변형을 포함할 수 있다.
예를 들어, 표면/계면 유도 이방성은 기하학적 유도 이방성에 포함된다. 표면/계면 이방성은 국부적 표면장(local surface fields), 고유한 표면/계면 상태, 및/또는 표면/계면 원자 층의 고유 한 이완으로 인해 나타날 수 있다.
타겟 구조물이 이방성일 때, 타겟 구조물의 유전체 함수는 완전 텐서 유전율 매트릭스로 표현될 수 있다. 이 전체 텐서 형태는 이방성 모델로 지칭될 수 있다.
주어진 타겟 구조물에 대한 기하학적 유도 이방성 및 벌크 특성으로부터의 편차를 설명하는 것을 돕기 위해, 영향을 받는 분산을 예측하는 기술이 개시된다. 예를 들어, 분산은 더 단순하거나 유사한 타겟에 대해 웨이퍼 계측 도구를 사용하여 측정된다. 예를 들어, 박막의 측정된 분산은 FinFET, GAA FET, 또는 유사한 타겟의 작은 구조물에 대한 첫 번째 추정치로 사용될 수 있다. 두 번째 예에서, 이론적, 반경험적(semi-empirical), 및/또는 경험적 방법에 기초하지만 이에 국한되지 않는 재료 시뮬레이터는 기하학적 유도 이방성 및 타겟 구조물의 분산에서의 분산 편차의 영향의 존재를 예측하기 위해 사용된다. 세 번째 예에서, 더 단순하거나 유사한 타겟이 측정되고 재료 시뮬레이터가 사용된다.
SE는 자외선, 가시광, 또는 적외선과 타겟 간의 광학적 상호 작용에 의존한다. 그러나 광학 파장이 충분한 측정 정확도를 제공하지 못할 수 있다. 일부 경우에서, X선 계측(예를 들면, CD-SAXS(critical-dimension small-angle X-ray scattering) 또는 SXR(specular X-ray reflectivity))을 사용하여 임계 파라미터를 측정할 수 있다. X선 계측의 정확도는 재료 특성(예를 들면, 재료 또는 전자 밀도)에 따라 다르다. 예를 들어, 재료의 전자 밀도는 재료의 에너지 수준의 변화를 야기하는 이방성 효과에 의해 크게 영향을 받을 수 있다. 재료 특성의 변화를 올바르게 설명함으로써 X선 계측의 정확도가 향상될 수 있다. 방법론은 광학 기술과 유사한 문제에서 X선에 적용할 수 있다. 그러나, 광학 기술에 대한 재료 특성은 n 및 k로 설명되는 반면, 전자 밀도는 X선 계측에 대한 재료 특성을 설명하기 위해 사용될 수 있다.
광학과 마찬가지로 X선의 재료 특성 오류는 측정 정확도를 저하시킨다. 보다 정확한 재료 특성(예를 들면, 전자 밀도)을 가지면 기하학적 파라미터의 측정 정확도가 향상시킬 것이다.
도 1은 방법(100)의 흐름도이다. 개시된 계측 도구 및 방법의 조합은 박막 스택, FinFET, GAA FET, 및 차세대 DRAM 및 3D 플래시 메모리 구조물을 포함하지만 이에 제한되지 않는 소형 나노 구조물의 기하학적 유도 이방성을 모델링할 수 있다.
단계(101)에서, 스펙트럼은 프로세서에서 수신된다. 스펙트럼은 SE 스펙트럼일 수 있거나 X선 스펙트럼일 수 있다. 스펙트럼은 구조물을 포함하는 반도체 웨이퍼의 구역으로부터 나온 것이다. 이 구조물은 기하학적 유도 이방성 효과를 가질 수 있다. 스펙트럼은 웨이퍼 계측 도구에 의해 측정된다. 예를 들어, 스펙트럼은 웨이퍼 계측 도구를 사용해 측정되고 스펙트럼은 프로세서에 전달된다.
단계(102)에서, 이 구조물의 파라미터화된 기하학적 모델은 프로세서를 사용하여 생성된다. 파라미터화된 기하학적 모델은 스펙트럼에 기초할 수 있거나 다른 기술에 기초할 수 있다. 이는 미리 결정된 기하학적 유도 이방성 분산 모델에 기초할 수 있으며, 이는 측정 및/또는 시뮬레이션된 기하학적 유도 이방성 분산을 포함할 수 있다.
파라미터화된 기하학적 모델은 타겟 구조물의 추측(guess)의 기하학적 구성이라고 지칭될 수 있다. 기하학적 구성(예를 들어, 파라미터화된 기하학적 모델)이 결정된 후, 미리 결정된 및/또는 파라미터화된 재료 특성(예를 들어, n 및 k)이 구조물의 상이한 서브구조물(sub-structures)에 할당될 수 있다.
오퍼레이터(operator)가 오퍼레이터에 의해 생성된 새로운 분산 모델을 사용할 수 있기 때문에, 미리 정해진 분산 모델 또는 분산 라이브러리를 사용하는 것이 일부 경우에 기존 분산 모델 또는 분산 라이브러리보다 나을 수 있다. 실제로, 파라미터화된 기하학적 모델은 종종 반도체 제조업체에 의해 제공된 타겟 구조물에 대한 지식에 기초하여 생성된다.
일례에서, 단계(102)는 구조물의 파라미터화된 기하학적 모델 및 하나 이상의 분산 모델을 생성하는 단계를 포함한다. 이것은 하나의 프로세서 또는 상이한 프로세서들에 의해 수행될 수 있다.
이방성 재료 속성은 단계(103)에서 프로세서를 사용하여 파라미터화된 기하학적 모델에 할당된다. 이방성 재료 특성은 전자 밀도, 재료 밀도, 또는 화학적 조성 중 적어도 하나를 포함할 수 있다. 이들 이방성 재료 특성은 기하학적 유도될 수 있다. 이방성 재료 특성이 개시되지만, 다른 유형의 재료 특성이 가능하다. 예를 들어, 이방성 재료 특성을 할당한다는 것은 기하학적 모델 내의 어느 서브구조물이 어떤 재료를 갖는지를 나타내는 것을 의미할 수 있다. 예를 들어, 도 5에서, 재료를 할당하기 전에, 기하학적 모델은 겹겹의(one over another) 기하학적 블록으로 이루어진다. 재료를 할당한 후 상부 블록은 SiO2이고, 두 번째 블록은 Si 등인 것으로 이해된다.
도 1로 되돌아가서, 프로세서를 사용하여, 웨이퍼 상의 구조물의 분산 파라미터는 단계(104)에서 파라미터화된 기하학적 모델을 사용하여 결정된다. 이것은 양축 모델 또는 단축 모델을 사용할 수 있다. 양축 모델 또는 단축 모델의 유전체 구성요소는 적어도 하나의 기준 분산 모델에 의해 제공될 수 있다. 양축 모델 또는 단축 모델은 사용자에 의해 수동으로 해결할 수 있다. 예를 들어, 구조물이 매우 얇은 막인 경우, 사용자는 단축 분산 모델을 사용할 수 있다. 또 다른 예에서, 구조물이 작은 크기의 나노 와이어인 경우, 사용자는 양축 분산 모델을 사용할 수 있다.
분산 파라미터는 이방성 분산 파라미터를 포함한다. 일례에서, 분산 파라미터는 이방성 텐서 매트릭스를 포함한다. 이방성 분산 파라미터는 원래의 이방성 분산의 시프트 또는 스케일을 나타낼 수 있는 정정 파라미터를 사용하여 파라미터화될 수 있다.
특정 실시예에서, 3x3 텐서 매트릭스가 분산 파라미터와 함께 사용된다. 이것은 대각선 텐서일 수 있다.
분산 파라미터의 이방성 유전체 구성요소는 기준 이론적 또는 경험적 분산 모델을 사용해 제공될 수 있다. 기준 이론적 또는 경험적 분산 모델은 이방성에 기여하는 요인에 대한 분산 파라미터의 의존성을 나타낼 수 있다.
분산 파라미터는, 스펙트럼의 모델링된 버전과 측정된 버전 사이의 스펙트럼 차이를 최소화하는 최적화 또는 회귀 중 적어도 하나에 의해 그리고 파라미터화된 기하학적 모델 및 분산 파라미터를 섭동함으로써(perturb) 결정될 수 있다.
일 실시예에서, 분산 파라미터는 다음 프로세스에 의해 결정될 수 있다. 먼저, 파라미터화된 기하학적 모델, 분산 모델, 및 시뮬레이터를 사용하여 실험의 스펙트럼 설계가 생성된다. 분산 모델은 미리 결정된 분산 모델 또는 파라미터화된 분산 모델일 수 있다. 기하학적 파라미터와 함께, 파라미터화된 분산 모델로부터의 재료 파라미터는 시뮬레이션된 신호를 출력하도록 변경될 수 있다. 시뮬레이터는 RCWA, FEM, 또는 유한 체적법(finite volume method; FVM)과 같은 EM 솔버일 수 있다.
기하학적 파라미터 및 분산 파라미터 중 적어도 하나는 미리 결정된 범위 내에 분포될 수 있다. 둘째로, 스펙트럼과, 이 구조물의 기하학적 및/또는 재료 파라미터와 관련된 적어도 하나의 파라미터 간의 관계를 결정하기 위해 통계적 모델이 트레이닝된다. 마지막으로, 통계적 모델을 사용하여 스펙트럼으로부터 이 구조물의 관심 파라미터가 예측된다.
단계(105)에서, 기하학적 파라미터 또는 이방성 재료 파라미터 중 적어도 하나가 프로세서를 사용하여 파라미터화된 기하학적 모델로부터 결정된다. 기하학적 파라미터 또는 이방성 재료 파라미터 중 적어도 하나는 회귀 또는 머신 러닝을 사용하여 결정될 수 있다. 일례로, 재료 파라미터는 밴드갭(bandgap) 또는 전자 수송 특성을 결정하는데 사용될 수 있으며, 이는 디바이스 성능 예측을 예측하는데 추가로 사용될 수 있다.
이방성 분산의 파라미터는 스펙트럼을 사용하여 예측될 수 있다.
일례로, 시뮬레이션된 스펙트럼과 이 스펙트럼을 비교하는 것에 기초하는 러닝을 사용하는 하나 이상의 피드백 동작은, 파라미터화된 기하학적 모델, 분산 모델, 및/또는 기하학적 구성에서의 파라미터를 조정하기 위해 사용될 수 있다.
도 1의 실시예를 포함하는 본 명세서에서 개시된 실시예는, 예를 들어, GAA FET 또는 FinFET과 같은, 로직 디바이스의 구성요소인 얇은 반도체, 막, 금속 막, 반도체 나노와이어, 금속 나노와이어, 반도체 핀, 및/또는 금속 핀, 또는 예를 들어, DRAM, STT-RAM(spin-transfer torque RAM), 또는 3D NAND와 같은, 메모리 구조물을 측정하는 데 사용될 수 있다.
일례에서, 직교 좌표계는 z축이 웨이퍼 표면에 대해 수직이 되도록 웨이퍼 상에 배치된다. 박막이 x-y 평면에 퇴적되고 원통형 또는 직사각형 나노 와이어 또는 핀은 자신의 대칭축이 x, y 및 z 축과 평행이 되도록 위치한다고 가정될 수 있다.
얇은 반도체 또는 금속 막은 z 방향으로의 광학 응답을 나타내는 하나의 유전체 구성요소과 x-y 평면에서의 재료 반응을 위한 또 하나의 유전체 구성요소를 갖는 단축 분산 모델을 사용하여 이방성을 수용할 수 있다. 각 구성요소에 대한 모델은 미리 결정된 이론적, 반경험적, 및/또는 경험적 분산 라이브러리 또는 모델로부터 취해질 수 있다. 라이브러리 또는 모델은 %Ge 및/또는 SiGe에서의 응력/변형 변동과 같은 다양한 이방성 효과에 대한 의존성을 결정하는 파라미터를 포함할 수 있다. 이러한 분산 라이브러리를 사용하는 단축 분산 모델은 복합 분산 모델이라고 지칭될 수 있다.
예를 들어, 도 5에 도시된 바와 같이, 제1 Si 층(SiO2와 SiGe 사이)의 이방성은 상부층으로부터 3번째 층인 Si층(SiGe와 SiGe 사이)과 상이할 수 있다. 이들 두 층들은 자신의 인접 층의 두께에 따라 달라질 수 있다.
반도체 또는 금속 나노 와이어 또는 핀의 경우, 크기 제한 외에 다른 이방성 효과의 간접적 또는 직접적 기여가 있거나 없이 x, y 및/또는 z 방향의 크기 제한으로 인한 이방성은 대각 유전율 텐서로 나타낼 수 있다. 대각선 형태에서, 3개의 지향성 유전율 구성요소는 그 방향이 x, y 또는 z축 각각에 평행한 유입되는 전기장에 대한 나노 와이어의 응답에 대응한다. 이방성을 수용하기 위해, 박막의 경우와 유사하게, 3개의 유전체 구성요소를 갖는 양축 모델에 기초한 복합 분산 모델이 사용될 수 있다. 유전체 구성요소 각각은 미리 결정된 이론적, 반경험적, 및/또는 경험적 분산 라이브러리 또는 모델로부터 취해질 수 있다.
이것은 도 2의 방법(200)의 흐름도에서 볼 수 있다. 단계(201)에서, 파라미터화된 기하학적 모델은 소형 나노 구조물에 대한 것과 같은 양축의 미리 결정된 분산 라이브러리 또는 모델에 기초하여 생성된다. 파라미터화된 기하학적 모델을 생성하기 위해 측정 및/또는 시뮬레이션된 합성 분산(composite dispersions)이 사용될 수 있다. 단계(202)에서, 신호는 파라미터화된 기하학적 모델 및/또는 시뮬레이터를 사용하여 시뮬레이션된다. 단계(203)에서, 시뮬레이션된 신호, 및 웨이퍼로부터의 임의의 측정된 신호는 예컨대 회귀 또는 머신 러닝을 사용하여 프로세싱된다. 단계(204)에서, 기하학적 파라미터 또는 이방성 재료 파라미터와 같은 적어도 하나의 관심 파라미터가 결정된다.
본 명세서에 개시된 이 실시예 및 다른 실시예에서, 신호는 반사되거나 투과된 전자기장의 일부 형태일 수 있다. 구조물의 상이한 부분들의 분산 특성(예를 들면, n 및 k)과 함께 구조물의 기하학적 모델이 주어진 경우, EM 솔버(RCWA, FEM 등)는 맥스웰 방정식(Maxwell equation) 및/또는 슈뢰딩거 방정식(Schrodinger equations)의 일부 형태를 해결할 수 있다. 그런 다음, 이런 해결책은 시뮬레이션된 신호를 유도하는(derive) 데 사용될 수 있다.
신호는 회귀 또는 머신 러닝을 사용하여 프로세싱될 수 있다. 회귀는 미지의 파라미터(예를 들어, 기하학적 파라미터, 재료 파라미터, 다른 파라미터)의 공간에 걸쳐 오류 함수(예를 들어, 시뮬레이션된 신호와 측정된 신호 사이의 차이의 제곱)를 최소화하는 데 사용될 수 있다. 일례에서, 시뮬레이션된 스펙트럼과 측정된 스펙트럼이 충분히 매칭될 때까지 미지의 파라미터가 반복적으로 추측된다. 머신 러닝의 예에서, 주어진 스펙트럼으로부터 미지의 파라미터를 출력할 수 있는 시뮬레이터에 기초해 모델이 트레이닝될 수 있다. 모델이 이용 가능하면, 측정된 스펙트럼을 모델에 공급하는 것은 알려지지 않은 미지의 대한 결과 값을 출력할 수 있다.
나노 구조물 측정의 또 다른 실시예에서, 정정 항(correction terms)은 미리 결정된 이방성 분산에 추가된다. 가능한 정정 항은, 미리 측정되거나 미리 시뮬레이션된 분산에서 오류를 정정하는 데 사용되는 시프트 및 스케일 파라미터를 포함하지만 이에 제한되지 않다.
이것은 도 3의 방법(300)의 흐름도에서 볼 수 있다. 단계(301)에서, 파라미터화된 기하학적 모델은 나노 구조물에 대한 정정 기반 파라미터화된 이방성 분산 모델을 사용하여 생성된다. 파라미터화된 기하학적 모델을 생성하기 위해 측정 및/또는 시뮬레이션된 이방성 분산이 사용될 수 있다. 단계(302)에서, 신호는 파라미터화된 기하학적 모델 및/또는 시뮬레이터를 사용하여 시뮬레이션된다. 단계(303)에서, 시뮬레이션된 신호, 및 웨이퍼로부터의 임의의 측정된 신호는 예컨대, 회귀 또는 머신 러닝을 사용하여 프로세싱된다. 단계(304)에서, 기하학적 파라미터 또는 이방성 재료 파라미터와 같은 적어도 하나의 관심 파라미터가 결정된다. 정정된 시뮬레이션된 분산도 또한 결정될 수 있다.
정정 항은 관심 파라미터(예를 들면, 기하학적, 재료, 시스템 파라미터 등)와 정정 항 모두에 대한 회귀 및/또는 머신 러닝의 결과로서 획득될 수 있다.
나노 구조물 측정의 또 다른 실시예에서, 회귀 및/또는 머신 러닝은 재료와 무관한 파라미터(예를 들어, 기하학적 및/또는 시스템 파라미터), 및 나노 구조물의 분산을 나타내는 이방성 분산 모델의 분산 파라미터에 대해 사용된다. 분산 라이브러리/모델의 인스턴스가 필요하지 않을 수 있으며 회귀에 대한 초기 분산으로서 임의의 합리적인 추정된 분산이 사용될 수 있다. 이것은 도 4의 방법(400)의 흐름도에서 볼 수 있다. 단계(401)에서, 파라미터화된 기하학적 모델 및/또는 파라미터화된 이방성 분산 모델이 생성된다. 초기 이방성 분산은 파라미터화된 기하학적 모델 및/또는 파라미터화된 이방성 분산 모델을 생성하는데 사용될 수 있다. 단계(402)에서, 신호는 파라미터화된 기하학적 모델, 파라미터화된 이방성 분산 모델, 및/또는 시뮬레이터를 사용하여 시뮬레이션된다. 단계(403)에서, 시뮬레이션된 신호, 및 웨이퍼로부터의 임의의 측정된 신호는 예컨대, 회귀 또는 머신 러닝을 사용하여 프로세싱된다. 단계(404)에서, 기하학적 파라미터 또는 이방성 재료 파라미터와 같은 적어도 하나의 관심 파라미터가 결정된다. 최적화된 분산도 결정될 수 있다.
도 1 내지 도 4의 실시예는 단계들 사이에 피드백 동작을 포함할 수 있다. 피드백은 측정 및 시뮬레이션된 신호를 매칭시키는 것에 의한 러닝을 포함하거나 현재의 이방성 분산 모델의 장점(goodness)에 대해 피드백할 수 있다. 이들은 초기 분산 모델에 대한 적절한 조정을 결정하기 위해 계산될 수 있다.
FinFET 또는 GAA FET 내에 있는 것과 같은 나노 구조물의 소형 반도체 또는 금속 구성요소는 본 명세서에 개시된 실시예를 사용하여 측정될 수 있는 예시적인 구조물로서 개시되어 있다. 도 5는 상부에 SiO2 층을 갖는 교번하는 Si 및 SiGe 층의 전형적인 막 스택을 도시한다. 도 6은 전형적인 FinFET을 도시한다. 도 7은 전형적인 GAA FET를 도시한다. 도 7에서, 이 구조물은 각각 Si, SiO2 및 SiN으로 이루어진다. 도 5 내지 7의 구조물과는 다른 구조물이 가능하고, 도 5 내지 7의 구조물은 단지 예시이다.
본 명세서에 개시된 실시예는 광학 임계 치수(optical critical dimension; CD) 측정에 사용될 수 있다.
도 8은 웨이퍼 계측 도구(500)의 실시예의 블록도이다. 웨이퍼 계측 도구(500)는 웨이퍼(505) 또는 다른 워크피스를 유지하도록 구성된 척(504)을 포함한다. 척(504)은 한 개, 두 개, 또는 3개의 축으로 이동 또는 회전하도록 구성될 수 있다. 척(504)은 또한 Z축 주위에서와 같이 회전하도록 구성될 수 있다.
웨이퍼 계측 도구(500)는 또한 웨이퍼(505) 상의 표면, 디바이스, 피처, 또는 층의 일부를 측정하도록 구성된 측정 시스템(501)을 포함한다. 측정 시스템(501)은 광 빔, 전자 빔, 광대역 플라즈마를 생성하거나, 웨이퍼(505)의 표면을 측정하기 위한 다른 기술을 사용할 수 있다. 일 예에서, 측정 시스템(501)은 레이저를 포함한다. 또 다른 예에서, 웨이퍼 계측 도구(500)는 광대역 플라즈마 검사 도구이다. 측정 시스템(501)은 웨이퍼(505) 상에 다이의 이미지를 제공할 수 있거나 웨이퍼(505) 상에 다이의 이미지를 형성하는데 사용되는 정보를 제공할 수 있다.
특히, 웨이퍼 계측 도구(500) 또는 계측 시스템(501)은 회전 보상기 분광 엘립소메트리 데이터, 완전(full) 뮬러 매트릭스 구성요소 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사계 데이터, 레이저 구동 분광 반사계 데이터, 또는 X선 데이터 중 하나 이상을 제공하도록 구성될 수 있다.
일례에서, 웨이퍼 계측 도구(500)는 광대역 광원, 광원이 타겟과 상호 작용하는 방법을 측정하는 측정 시스템(501), 및 타겟의 관련 파라미터를 추출하는 프로세싱 알고리즘을 사용하는 SE를 포함한다. 이 광원은 레이저 구동 광원일 수 있으며, Xe 램프와는 달리, 검출기에서 높은 강도를 제공하고 신호 대 잡음비를 증가시킬 수 있다. 일례로, 수집 시스템은 일련의 편광기(회전 또는 고정됨), 보상기(회전 또는 고정됨), 검출기, 분광계, 카메라, 렌즈, 거울, 및/또는 시준기를 포함한다. 타겟 시그니처를 향상시키기 위해, 시스템은 N2 또는 Ar 가스 퍼지를 사용하여 파장 범위를 170 nm 이하로 확장할 수 있다.
웨이퍼 계측 도구(500)는 프로세서(502), 및 이 프로세서(502)와 전자 통신하는 전자 데이터 저장 유닛(503)과 통신한다. 예를 들면, 프로세서(502)는 측정 시스템(501), 또는 웨이퍼 계측 도구(500)의 다른 구성요소와 통신할 수 있다. 프로세서(502)는 실제로 하드웨어, 소프트웨어, 및 펌웨어의 임의의 조합에 의해 구현될 수 있다. 또한, 본 명세서에 설명된 바와 같은 그 기능은 하나의 유닛에 의해 수행되거나, 또는 상이한 구성요소들로 분할될 수 있으며, 각각의 구성요소는 결국 하드웨어, 소프트웨어, 및 펌웨어의 임의의 조합에 의해 구현될 수 있다. 다양한 방법들 및 기능들을 구현하기 위한 프로세서(502)를 위한 프로그램 코드 또는 명령어는, 프로세서(502) 내부에, 프로세서(502) 외부에, 또는 이들의 조합에서 전자 데이터 저장 유닛(503) 내의 메모리와 같은, 제어기에 의해 판독 가능한 저장 매체에 저장될 수 있다.
하나의 프로세서(502) 및 전자 데이터 저장 유닛(503)만이 도시되어 있지만, 하나보다 많은 프로세서(502) 및/또는 하나보다 많은 전자 데이터 저장 유닛(503)이 포함될 수 있다. 각각의 프로세서(502)는 하나 이상의 전자 데이터 저장 유닛(503)과 전자 통신할 수 있다. 실시예에서, 하나 이상의 프로세서(502)는 통신 가능하게 결합된다. 이와 관련하여, 하나 이상의 프로세서(502)는 측정 시스템(501)에서 수신된 판독 값을 수신하고 판독 값(readings)을 프로세서(502)의 전자 데이터 저장 유닛(503)에 저장할 수 있다. 프로세서(502) 및/또는 전자 데이터 저장 유닛(503)은 시스템 자체의 일부일 수 있거나 시스템(예를 들어, 독립형 제어 유닛 또는 중앙 집중식 품질 제어 유닛)과 분리될 수 있다.
프로세서(502)는, 프로세서(502)가 측정 시스템(501)으로부터의 출력과 같은, 웨이퍼 계측 도구(500)에 의해 생성된 출력을 수신할 수 있도록, 임의의 적절한 방식으로(예를 들면, 유선 및/또는 무선 송신 매체들을 포함할 수 있는 하나 이상의 송신 매체를 통해) 웨이퍼 계측 도구(500)의 구성요소에 결합될 수 있다. 프로세서(502)는 이 출력을 사용하여 다수의 기능을 수행하도록 구성될 수 있다. 예를 들어, 프로세서(502)는 웨이퍼(505) 상의 층을 측정하도록 구성될 수 있다. 또 다른 예에서, 프로세서(502)는 출력을 검토하지 않고 출력을 전자 데이터 저장 유닛(503) 또는 또 다른 저장 매체로 송신하도록 구성될 수 있다. 프로세서(502)는 본 개시에서 설명된 바와 같이 더 구성될 수 있다.
예를 들어, 프로세서(502) 및 전자 데이터 저장 유닛(503)은 웨이퍼 계측 도구(500)의 일부이다. 프로세서(502) 및 전자 데이터 저장 유닛(503)은 또한 웨이퍼 계측 도구(500)와는 별개의 유닛의 일부일 수 있다.
본 명세서에 설명된 프로세서(502), 다른 시스템(들), 또는 다른 서브시스템(들)은 개인용 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 기기(network appliance), 인터넷 기기, 또는 다른 디바이스를 포함하는 다양한 형태들을 취할 수 있다. 서브시스템(들) 또는 시스템(들)은 또한 병렬 프로세서와 같은 당업계에 공지된 임의의 적합한 프로세서를 포함할 수 있다. 게다가, 서브시스템(들) 또는 시스템(들)은 자립식 또는 네트워킹된 도구로서의, 고속 프로세싱을 갖는 컴퓨터 플랫폼 및 소프트웨어를 포함할 수 있다. 예를 들어, 프로세서(502)는 마이크로프로세서, 마이크로컨트롤러 또는 다른 디바이스를 포함할 수 있다.
시스템이 하나보다 많은 서브시스템을 포함하는 경우, 서브시스템들 간에 이미지, 데이터, 정보, 명령 등이 송신될 수 있도록 상이한 서브시스템들이 결합될 수 있다. 예를 들어, 하나의 서브시스템은 당업계에 공지된 임의의 적합한 유선 및/또는 무선 송신 매체를 포함할 수 있는, 임의의 적합한 송신 매체에 의해 추가적인 서브시스템(들)에 결합될 수 있다. 2개 이상의 이러한 서브시스템은 또한 공유된 컴퓨터 판독가능한 저장 매체(미도시됨)에 의해 효과적으로 결합될 수 있다.
프로세서(502)는 또한 결함 검토 시스템, 검사 시스템, 계측 시스템, 또는 일부 다른 유형의 시스템의 일부일 수 있다. 따라서, 본 개시에서 개시된 실시예는, 상이한 애플리케이션에 대해 어느 정도 적절한 상이한 능력을 갖는 시스템을 위해 다수의 방식으로 맞추어질(tailored) 수 있는 일부 구성을 설명한다.
프로세서(502)는 측정 시스템(501) 또는 웨이퍼 계측 도구(500)의 다른 구성요소와 전자 통신할 수 있다. 프로세서(502)는 본 명세서에 설명된 임의의 실시예들에 따라 구성될 수 있다. 프로세서(502)는 또한 측정 시스템(501)의 출력을 사용하거나 다른 소스로부터의 이미지, 측정치, 또는 데이터를 사용하여 다른 기능 또는 추가 단계를 수행하도록 구성될 수 있다.
추가적 실시예는, 본 명세서에서 개시된 바와 같이, 컴퓨터 구현식 방법을 수행하기 위해 제어기에서 실행 가능한 프로그램 명령어를 저장한 비일시적 컴퓨터 판독가능 매체와 관련된다. 특히, 도 8에 도시된 바와 같이, 프로세서(502)는 프로세서(502)에서 실행 가능한 프로그램 명령어를 포함하는 비일시적 컴퓨터 판독 가능 매체를 갖는 전자 데이터 저장 유닛(503) 내의 메모리 또는 다른 전자 데이터 저장 매체를 포함할 수 있다. 컴퓨터 구현식 방법은 본 명세서에 설명된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. 예를 들어, 프로세서(502)는 도 1 내지 도 4 중 하나 이상의 단계들의 일부 또는 전부를 수행하도록 프로그래밍될 수 있다. 전자 데이터 저장 유닛(503) 내의 메모리 또는 다른 전자 데이터 저장 매체는 예를 들면, 자기 또는 광 디스크, 자기 테이프, 또는 당 업계에 공지된 임의의 다른 적절한 비일시적 컴퓨터 판독 가능 매체와 같은, 저장 매체일 수 있다.
실시예에서, 시뮬레이터가 제공된다. 시뮬레이터는 도 8의 프로세서(502)와 같은 프로세서에서 동작될 수 있다. 시뮬레이터는 스펙트럼에 기초한 것과 같은 구조물의 파라미터화된 기하학적 모델을 포함한다. 스펙트럼은, 구조물을 포함하고 웨이퍼 계측 도구에 의해 측정될 수 있는 반도체 웨이퍼의 구역으로부터 유래된 것일 수 있다. 이 구조물은 기하학적 유도 이방성 효과를 가진다. 파라미터화된 기하학적 모델은 이방성 재료 특성이 할당되게 하고; 웨이퍼 상의 구조물의 분산 파라미터를 결정하며; 기하학적 파라미터 또는 이방성 재료 파라미터 중 적어도 하나를 결정하고; 스펙트럼에 기초하여 이방성 분산을 예측하도록 구성된다. 분산 파라미터는 이방성 분산 파라미터를 포함한다.
예를 들어, 프로세서(502)는 하나 이상의 소프트웨어 모듈을 실행하도록 구성될 수 있다. 하나 이상의 소프트웨어 모듈은 스펙트럼에 기초한 것과 같은 구조물의 파라미터화된 기하학적 모델을 생성한다. 스펙트럼은, 구조물을 포함하고 웨이퍼 계측 도구에 의해 측정될 수 있는 반도체 웨이퍼의 구역으로부터 유래된 것일 수 있다. 이 구조물은 기하학적 유도 이방성 효과를 가진다. 하나 이상의 소프트웨어 모듈은, 이방성 재료 특성을 파라미터화된 기하학적 모델에 할당하고; 파라미터화된 기하학적 모델을 사용하여 웨이퍼 상의 구조물의 분산 파라미터를 결정하며; 파라미터화된 기하학적 모델로부터 기하학적 파라미터 또는 이방성 재료 파라미터 중 적어도 하나를 결정할 수 있다. 분산 파라미터는 이방성 분산 파라미터를 포함한다.
프로그램 명령어는 절차 기반 기술들(procedure-based techniques), 컴포넌트 기반 기술(component-based techniques) 및/또는 객체 지향 기술(object-oriented techniques)을 포함하는 다양한 방법들 중 임의의 방법으로 구현될 수 있다. 예를 들어, 프로그램 명령어는 원하는 대로 ActiveX 컨트롤(ActiveX controls), C++ 객체(objects), 자바빈즈(JavaBeans), 마이크로소프트 파운데이션 클래스(Microsoft Foundation Classes; MFC), 스트리밍 SIMD 확장(Streaming SIMD Extension; SSE), 또는 기타 기술들 또는 방법들을 사용하여 구현될 수 있다.
또 다른 실시예에서, 프로세서(502)는 당 업계에 공지된 임의의 방식으로 웨이퍼 계측 도구(500)의 다양한 구성요소 또는 서브시스템 중 임의의 것에 통신 가능하게 결합될 수 있다. 또한, 프로세서(502)는 유선 및/또는 무선 부분을 포함할 수 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들어, 검토 도구와 같은 검사 시스템으로부터의 검사 결과, 설계 데이터 등을 포함하는 원격 데이터베이스)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 송신 매체는 프로세서(502)와, 웨이퍼 계측 도구(500)의 다른 서브시스템 또는 웨이퍼 계측 도구(500) 외부의 시스템 간의 데이터 링크로서 기능할 수 있다.
일부 실시예들에서, 여기서 개시된 웨이퍼 계측 도구(500) 및 방법의 다양한 단계, 함수, 및/또는 동작은, 전자 회로, 로직 게이트, 멀티플렉서, 프로그래밍 가능 로직 디바이스, ASIC, 아날로그 또는 디지털 컨트롤/스위치, 마이크로제어기, 또는 컴퓨팅 시스템 중 하나 이상에 의해 수행된다. 본원에서 설명된 것과 같은 방법을 구현하는 프로그램 명령어는 반송파 매체들을 통해 송신되거나 반송파 매체 상에 저장될 수 있다. 반송파 매체는, 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 비휘발성 메모리, 솔리드 스테이트 메모리, 자기 테이프 등과 같은 저장 매체를 포함할 수 있다. 반송파 매체는 와이어, 케이블, 또는 무선 송신 링크와 같은 송신 매체를 포함할 수 있다. 예를 들면, 본 개시 전반에 걸쳐 설명된 다양한 단계는 단일 프로세서(502)(또는 컴퓨터 시스템) 또는 대안적으로 다수의 프로세서(502)(또는 다수의 컴퓨터 시스템들)에 의해 수행될 수 있다. 또한, 웨이퍼 계측 도구(500)의 상이한 서브시스템들은 하나 이상의 컴퓨팅 또는 로직 시스템을 포함할 수 있다. 그러므로 전술한 설명은 발명을 제한하는 것이 아니고 단지 예시하는 것으로 해석하여야 한다.
일례로, 도 8의 웨이퍼 계측 도구(500)는, 타겟을 조명하는 조명 시스템; 웨이퍼(505) 상의 타겟, 디바이스, 또는 피처와의 조명 시스템의 상호 작용에 의해 (또는 상호 작용 없이) 제공된 관련 정보를 포착하는(capture) 측정 시스템(501); 및 하나 이상의 알고리즘을 사용하여 수집된 정보를 분석하는 프로세서(502)를 포함할 수 있다.
웨이퍼 계측 도구(500)는 다양한 반도체 구조적 및 재료 특성을 측정하는데 사용될 수 있는 하나 이상의 하드웨어 구성을 포함할 수 있다. 이러한 하드웨어 구성의 예로는, 분광 엘립소미터(SE); 다수의 조명각을 갖는 SE; SE 측정 뮬러(Mueller) 매트릭스 요소(예를 들어, 회전 보상기(들)를 사용함); 단일 파장 엘립소미터; 빔 프로파일 엘립소미터(각 분해(angle-resolved) 엘립소미터); 빔 프로파일 반사계(각 분해 반사계); 광대역 반사 분광계(분광 반사계); 단일 파장 반사계; 각 분해 반사계; 이미징 시스템; 또는 산란계(예를 들어, 스페클 분석기)를 포함하지만, 이들에만 제한되지는 않는다. 하드웨어 구성은 개별 운영 체제로 분리될 수 있거나 단일 도구로 결합될 수 있다.
특정 하드웨어 구성의 조명 시스템은 하나 이상의 광원을 포함할 수 있다. 광원은 단지 하나의 파장을 가진 광(즉, 단색광), 다수의 이산 파장을 가진 광(즉, 다색광), 복수의 파장을 가진 광(즉, 광대역 광), 및/또는 연속적으로 또는 파장들 간에 호핑하면서(hopping) 파장들을 스윕하는(sweep) 광(즉, 조정 가능(tunable) 소스 또는 스윕된 소스)을 생성할 수 있다. 적합한 광원의 예는, 백색 광원, 자외선(ultraviolet; UV) 레이저, 아크 램프 또는 무전극(electrode-less) 램프, 레이저 지속 플라즈마(laser sustained plasma; LSP) 소스, 예를 들면, 광대역 레이저 소스와 같은, 초연속(supercontinuum) 소스, 예를 들면, X선과 같은, 더 짧은 파장의 소스, 극 UV(extreme UV) 소스, 또는 이들의 일부 조합이다. 광원은 또한 일부 경우에 약 1W/(nm ㎠ Sr)보다 더 큰 명도일 수 있는 충분한 명도를 가진 광을 제공하도록 구성될 수 있다. 웨이퍼 계측 도구(500)는 또한 그 파워 및 파장을 안정화하기 위해 광원에 대한 고속 피드백을 포함할 수 있다. 광원의 출력은 자유 공간 전파를 통해 전달되거나, 일부 경우에 임의의 유형의 광섬유 또는 광도파로를 통해 전달될 수 있다.
웨이퍼 계측 도구(500)는 반도체 제조와 관련된 많은 다른 유형의 측정을 행하도록 설계된다. 예를 들면, 특정 실시예에서, 웨이퍼 계측 도구(500)는 임계 치수, 오버레이, 측벽각, 막 두께, 또는 프로세스 관련 파라미터(예를 들면, 초점 및/또는 선량)와 같은 하나 이상의 타겟의 특성들을 측정할 수 있다. 타겟은 예를 들면, 메모리 다이 내의 격자와 같이, 본질적으로 주기적인 특정 관심 영역을 포함할 수 있다. 타겟은 웨이퍼 계측 도구(500)에 의해 그 두께가 측정될 수 있는 다수의 층(또는 막)을 포함할 수 있다. 타겟은 예를 들면, 정렬 및/또는 오버레이 정합 동작과 함께 사용하기 위해 반도체 웨이퍼 상에 배치된 (또는 이미 존재하는) 타겟 설계를 포함할 수 있다. 특정 타겟은 반도체 웨이퍼 상의 각종 장소에 위치될 수 있다. 예를 들면, 타겟은 스크라이브 라인(예를 들면, 다이들 사이) 내에 위치되거나 그리고/또는 다이 자체 내에 위치될 수 있다. 특정 실시예에서, 다수의 타겟은 동일한 또는 다수의 계측 도구에 의해 (동시에 또는 상이한 시간에) 측정된다. 그러한 측정으로부터의 데이터는 결합될 수 있다. 계측 도구로부터의 데이터는 예를 들면, 프로세스(예를 들면, 리소그래피, 에칭)에 대한 피드포워드, 피드백워드, 및/또는 피드사이드웨이 정정을 위해 반도체 제조 프로세스에서 사용되고, 그러므로 완전한 프로세스 제어 해법을 산출할 수 있다.
수집된 데이터는, 라이브러리; 고속 감소 차수 모델(fast-reduced-order model); 회귀; 예를 들면, 신경망 및 지원 벡터 머신(support-vector machine; SVM)과 같은, 머신 러닝 알고리즘; 예를 들면, PCA(principal component analysis), ICA(independent component analysis), LLE(local-linear embedding)와 같은, 차원 감소 알고리즘; 예를 들면, 푸리에 또는 웨이블릿 변환과 같은, 희소성 표현(sparse representation); 칼만 필터(Kalman filter); 동일하거나 상이한 도구 유형으로부터의 매칭을 촉진하는 알고리즘 등을 포함하는 다수의 데이터 피팅 및 최적화 기법 및 기술에 의해 분석될 수 있다. 수집된 데이터는 모델링, 최적화 및/또는 피팅(fitting)을 포함하지 않는 알고리즘에 의해 또한 분석될 수 있다.
계산 알고리즘은 일반적으로, 계산 하드웨어의 설계 및 구현, 병렬화, 계산의 분산, 로드 밸런싱, 다중 서비스 지원, 또는 동적 부하 최적화와 같이 사용되고 있는 하나 이상의 접근법을 사용해 계측 응용에 최적화된다. 알고리즘의 상이한 구현들은 펌웨어, 소프트웨어, 필드 프로그래머블 게이트 어레이(field programmable gate array; FPGA), 및 프로그래머블 광학 구성요소 등으로 행하여질 수 있다.
데이터 분석 및 피팅 단계는 일반적으로 하나 이상의 목표를 추구한다. 예를 들어, 목표는 CD, 측벽각(sidewall angle; SWA), 형태, 응력, 조성, 막, 밴드갭, 전기적 특성, 초점/선량, 오버레이, 생성 프로세스 파라미터(예를 들면, 레지스트 상태, 부분 압력, 온도, 및 초점 모델), 및/또는 이들의 조합의 측정일 수 있다. 목표는 계측 시스템의 모델링 및/또는 설계일 수 있다. 목표는 또한 계측 타겟의 모델링, 설계, 및/또는 최적화일 수 있다.
본 개시의 실시예는 반도체 계측 분야를 다루고 하드웨어, 알고리즘/소프트웨어 구현 및 아키텍처, 및 위에서 요약된 사용 사례에 제한되지 않는다.
본 방법의 단계들 각각은 본 명세서에 설명된 바와 같이 수행될 수 있다. 본 방법은 또한 본 명세서에 설명된 제어기 및/또는 컴퓨터 서브시스템(들) 또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 포함할 수 있다. 단계들은 여기에서 설명되는 임의의 실시예에 따라 구성될 수 있는 하나 이상의 컴퓨터 시스템에 의해 수행될 수 있다. 또한, 전술된 방법은 본 명세서에 설명된 임의의 시스템 실시예들에 의해 수행될 수 있다.
본 개시 내용이 하나 이상의 특정 실시예들과 관련하여 설명되었지만, 본 개시의 다른 실시예가 본 개시의 범위를 벗어나지 않으면서 이루어질 수 있음이 이해될 것이다. 따라서, 본 개시는 첨부된 청구항들과 그 합리적인 해석에 의해서만 제한되는 것으로 가정된다.

Claims (20)

  1. 방법에 있어서,
    프로세서에서 스펙트럼들을 수신하는 단계 - 상기 스펙트럼들은 구조물을 포함하는 반도체 웨이퍼의 구역(area)으로부터 오고, 상기 스펙트럼들은 웨이퍼 계측 도구에 의해 측정되며, 상기 구조물은 기하학적 유도 이방성 효과(geometry-induced anisotropic effects)를 가짐 - ;
    상기 프로세서를 사용하여 상기 구조물의 파라미터화된 기하학적 모델을 생성하는 단계;
    상기 프로세서를 사용하여 이방성 재료 특성들을 상기 파라미터화된 기하학적 모델에 할당(assign)하는 단계;
    상기 프로세서와 상기 파라미터화된 기하학적 모델을 사용하여 상기 웨이퍼 상의 상기 구조물의 분산 파라미터(dispersion parameter)들을 결정하는 단계 - 상기 분산 파라미터들은 이방성 분산 파라미터들을 포함함 - ; 및
    상기 프로세서를 사용하여 상기 파라미터화된 기하학적 모델로부터 적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터를 결정하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서, 상기 웨이퍼 계측 도구로 상기 스펙트럼들을 측정하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서, 상기 분산 파라미터들은 이방성 텐서 매트릭스(anisotropic tensor matrix)를 포함하는 것인, 방법.
  4. 제1항에 있어서, 상기 분산 파라미터들의 이방성 유전체 구성요소(component)들은 기준 이론적 모델(reference theoretical model) 또는 경험적 분산 모델(empirical dispersion model)에 의해 제공되는 것인, 방법.
  5. 제4항에 있어서, 상기 기준 이론적 모델 또는 경험적 분산 모델은, 이방성에 기여하는 요인(factor)들에 대한 상기 분산 파라미터들의 의존성(dependency)을 나타내는 것인, 방법.
  6. 제1항에 있어서, 상기 적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터는 회귀(regression)를 사용하여 결정되는 것인, 방법.
  7. 제1항에 있어서, 상기 적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터는 머신 러닝(machine learning)을 사용하여 결정되는 것인, 방법.
  8. 제1항에 있어서, 상기 분산 파라미터는 양축 모델(biaxial model) 또는 단축 모델(uniaxial model)을 사용하여 모델링되는 것인, 방법.
  9. 제8항에 있어서, 상기 양축 모델 또는 상기 단축 모델의 유전체 구성요소들은 적어도 하나의 기준 분산 모델에 의해 제공되는 것인, 방법.
  10. 제1항에 있어서, 상기 이방성 재료 특성들은 전자 밀도(electron density), 재료 밀도, 또는 화학적 조성(chemical composition) 중 적어도 하나를 포함하는 것인, 방법.
  11. 제1항에 있어서, 상기 이방성 분산 파라미터들은 정정 파라미터(correction parameter)들을 사용하여 파라미터화되는 것인, 방법.
  12. 제11항에 있어서, 상기 정정 파라미터들은 원래의 이방성 분산의 시프트(shift)들 또는 스케일(scale)들을 나타내는 것인, 방법.
  13. 제1항에 있어서, 상기 분산 파라미터들은,
    상기 파라미터화된 기하학적 모델, 분산 모델, 및 시뮬레이터를 사용하여 실험(experiment)들의 스펙트럼 설계를 생성하는 단계 - 상기 기하학적 파라미터 및 분산 파라미터 중 적어도 하나는 미리 결정된 범위들 내에 분포됨 - ;
    상기 스펙트럼들과, 상기 구조물의 기하학적 파라미터 및/또는 재료 파라미터와 관련된 적어도 하나의 파라미터 간의 관계를 결정하기 위해 통계적 모델을 트레이닝(training)하는 단계; 및
    상기 통계적 모델을 사용하여 상기 스펙트럼들로부터 상기 구조물의 관심 파라미터(parameter of interest)들을 예측하는 단계
    를 포함하는 프로세스에 의해 결정되는 것인, 방법.
  14. 제1항에 있어서, 상기 분산 파라미터들은, 상기 스펙트럼들의 모델링된 버전과 측정된 버전 간의 스펙트럼 차이를 최소화하는 최적화 또는 회귀 중 적어도 하나에 의해 그리고 상기 파라미터화된 기하학적 모델 및 상기 분산 파라미터들을 섭동(perturb)함으로써 결정되는 것인, 방법.
  15. 제1항에 있어서, 상기 파라미터화된 기하학적 모델, 분산 모델, 및/또는 기하학적 구성에서의 파라미터들을 조정하기 위해, 시뮬레이션된 스펙트럼들과 상기 스펙트럼들을 비교하는 것에 기초하는 러닝을 사용하는 하나 이상의 피드백 동작을 더 포함하는, 방법.
  16. 제1항에 있어서, 상기 스펙트럼들을 사용하여 이방성 분산들을 예측하는 단계를 더 포함하는, 방법.
  17. 컴퓨터 판독 가능 프로그램이 임베딩되어 있는 비일시적 컴퓨터 판독 가능 저장 매체를 포함하는 컴퓨터 프로그램 제품에 있어서, 상기 컴퓨터 판독 가능 프로그램은 제1항의 방법을 실행하도록 구성된 것인, 컴퓨터 프로그램 제품.
  18. 시스템에 있어서,
    전자 데이터 저장 유닛; 및
    상기 전자 데이터 저장 유닛 및 웨이퍼 계측 도구와 전자 통신하는 프로세서
    를 포함하고, 상기 프로세서는,
    구조물의 파라미터화된 기하학적 모델을 생성하도록 - 스펙트럼은 구조물을 포함하는 반도체 웨이퍼의 구역으로부터 오고, 상기 스펙트럼들은 웨이퍼 계측 도구에 의해 측정되며, 상기 구조물은 기하학적 유도 이방성 효과를 가짐 - ;
    이방성 재료 특성들을 상기 파라미터화된 기하학적 모델에 할당하도록;
    상기 파라미터화된 기하학적 모델을 사용하여 상기 웨이퍼 상의 상기 구조물의 분산 파라미터들을 결정하도록 - 상기 분산 파라미터들은 이방성 분산 파라미터들을 포함함 - ; 그리고
    상기 파라미터화된 기하학적 모델로부터 적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터를 결정하도록
    구성되는 것인, 시스템.
  19. 제18항에 있어서, 상기 프로세서 및 상기 전자 데이터 저장 유닛은 상기 웨이퍼 계측 도구 내에 배치되는 것인, 시스템.
  20. 시뮬레이터에 있어서,
    구조물의 파라미터화된 기하학적 모델을 포함하고, 스펙트럼들은 구조물을 포함하는 반도체 웨이퍼의 구역으로부터 오고, 상기 스펙트럼들은 웨이퍼 계측 도구에 의해 측정되고, 상기 구조물은 기하학적 유도 이방성 효과를 가지며, 상기 파라미터화된 기하학적 모델은 프로세서를 사용하여,
    이방성 재료 특성들이 할당되게 하도록;
    상기 웨이퍼 상의 상기 구조물의 분산 파라미터들을 결정하도록 - 상기 분산 파라미터들은 이방성 분산 파라미터들을 포함함 - ;
    적어도 하나의 기하학적 파라미터 또는 이방성 재료 파라미터를 결정하도록; 그리고
    상기 스펙트럼들에 기초하여 이방성 분산들을 예측하도록
    구성되는 것인, 시뮬레이터.
KR1020207019386A 2017-12-08 2018-12-07 진보된 나노 구조물들의 측정 방법론 KR102390309B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762596598P 2017-12-08 2017-12-08
US62/596,598 2017-12-08
US15/938,270 US11156548B2 (en) 2017-12-08 2018-03-28 Measurement methodology of advanced nanostructures
US15/938,270 2018-03-28
PCT/US2018/064369 WO2019113395A1 (en) 2017-12-08 2018-12-07 Measurement methodology of advanced nanostructures

Publications (2)

Publication Number Publication Date
KR20200086746A true KR20200086746A (ko) 2020-07-17
KR102390309B1 KR102390309B1 (ko) 2022-04-22

Family

ID=66734733

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207019386A KR102390309B1 (ko) 2017-12-08 2018-12-07 진보된 나노 구조물들의 측정 방법론

Country Status (5)

Country Link
US (1) US11156548B2 (ko)
KR (1) KR102390309B1 (ko)
CN (1) CN111433899B (ko)
TW (1) TWI765128B (ko)
WO (1) WO2019113395A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
CN111492200B (zh) * 2020-03-17 2021-05-14 长江存储科技有限责任公司 用于半导体结构厚度测量的方法和系统
EP3940337B1 (en) * 2020-07-14 2024-01-10 Imec VZW A method and apparatus for measuring a lateral depth in a microstructure
KR102504761B1 (ko) * 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130066597A1 (en) * 2011-03-23 2013-03-14 Asml Netherlands B.V. Methods and Apparatus for Calculating Electromagnetic Scattering Properties of a Structure And for Reconstruction of Approximate Structures
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
KR20210129222A (ko) * 2019-03-17 2021-10-27 케이엘에이 코포레이션 광학 분산의 다차원 모델

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
DE102009051765B4 (de) * 2009-10-30 2011-11-10 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Messverfahren zur optischen in-situ Quantifizierung von Anti-Phasen-Domänen und Anwendung des Messverfahrens
US20110246400A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited System for optical metrology optimization using ray tracing
WO2012012450A1 (en) 2010-07-19 2012-01-26 Massachusetts Institute Of Technology Discriminating electromagnetic radiation based on angle of incidence
US8577820B2 (en) 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US8873596B2 (en) * 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
CN104114999B (zh) 2011-09-27 2017-06-09 科磊股份有限公司 高吞吐量薄膜特性化及缺陷检测
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9857291B2 (en) * 2013-05-16 2018-01-02 Kla-Tencor Corporation Metrology system calibration refinement
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
DE112015006972T5 (de) 2015-09-25 2018-07-12 Intel Corporation Verspannte senkrechte magnetische Tunnelübergangsvorrichtungen
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
US20130066597A1 (en) * 2011-03-23 2013-03-14 Asml Netherlands B.V. Methods and Apparatus for Calculating Electromagnetic Scattering Properties of a Structure And for Reconstruction of Approximate Structures
KR20210129222A (ko) * 2019-03-17 2021-10-27 케이엘에이 코포레이션 광학 분산의 다차원 모델

Also Published As

Publication number Publication date
WO2019113395A1 (en) 2019-06-13
CN111433899B (zh) 2024-03-29
CN111433899A (zh) 2020-07-17
US20190178788A1 (en) 2019-06-13
TW201925734A (zh) 2019-07-01
KR102390309B1 (ko) 2022-04-22
US11156548B2 (en) 2021-10-26
TWI765128B (zh) 2022-05-21

Similar Documents

Publication Publication Date Title
US9412673B2 (en) Multi-model metrology
US20200348604A1 (en) Verification Metrology Targets and Their Design
TWI760309B (zh) 用於校正計量工具之系統、方法及計算機程式產品
US11380594B2 (en) Automatic optimization of measurement accuracy through advanced machine learning techniques
US10502694B2 (en) Methods and apparatus for patterned wafer characterization
KR102390309B1 (ko) 진보된 나노 구조물들의 측정 방법론
KR20220107326A (ko) 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측
US11537837B2 (en) Automated accuracy-oriented model optimization system for critical dimension metrology
US11796390B2 (en) Bandgap measurements of patterned film stacks using spectroscopic metrology
JP7303868B2 (ja) 位相解明光学及びx線半導体計量
TWI631636B (zh) 以模型爲基礎之量測及一製程模型的整合使用

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant