CN109643672A - 具有各向异性介电常数的半导体结构的基于模型的光学测量 - Google Patents

具有各向异性介电常数的半导体结构的基于模型的光学测量 Download PDF

Info

Publication number
CN109643672A
CN109643672A CN201780052569.4A CN201780052569A CN109643672A CN 109643672 A CN109643672 A CN 109643672A CN 201780052569 A CN201780052569 A CN 201780052569A CN 109643672 A CN109643672 A CN 109643672A
Authority
CN
China
Prior art keywords
measurement
optical dispersion
measuring system
parameter
optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780052569.4A
Other languages
English (en)
Other versions
CN109643672B (zh
Inventor
乌萨姆·舒艾卜
赵强
安德烈·舒杰葛洛夫
谭正泉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN109643672A publication Critical patent/CN109643672A/zh
Application granted granted Critical
Publication of CN109643672B publication Critical patent/CN109643672B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/303Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9515Objects of complex shape, e.g. examined with use of a surface follower device
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本文中呈现用于采用包括所测量结构的一或多个材料的光学色散性质的各向异性特性化来执行小尺寸半导体结构的基于光学模型的测量的方法及系统。这减小几何参数当中的相关性,且导致多个所测量材料当中的经改进测量灵敏度、经改进测量精度及经提高测量对比度。在进一步方面中,描述包括所述结构的所述材料的介电常数的多维张量的元素与另一元素不同地模型化。在进一步方面中,基于从两个或两个以上测量子系统收集的测量数据、结合所述所测量材料的光学色散的各向异性特性化来执行基于模型的测量。在另一方面中,包括所述所测量结构的一或多个材料的所述光学色散的所述特性化取决于所述结构的几何形状。

Description

具有各向异性介电常数的半导体结构的基于模型的光学测量
相关申请案的交叉参考
本专利申请案依据35U.S.C.§119主张2016年8月31日申请的标题为“半导体结构的临界尺寸、膜厚度及带隙的光学测量方法(Method of Optical Measurements ofCritical Dimensions,Film Thickness and Bandgap of Semiconductor Structures)”的第62/381,987号美国临时专利申请案的优先权,所述申请案的标的物以引用方式并入本文中。
技术领域
所描述实施例涉及用于半导体制造中采用的结构及材料的光学特性化的系统。
背景技术
通常通过应用于衬底或晶片的一系列处理步骤制造半导体装置,例如逻辑及存储器装置。通过这些处理步骤形成半导体装置的各种特征及多个结构层级。例如,光刻尤其是一种涉及在半导体晶片上生成图案的半导体制造工艺。半导体制造工艺的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制造多个半导体装置,且接着将其分离成个别半导体装置。
半导体晶片上制造的结构的几何形状取决于光刻曝光期间的工艺条件。工艺参数(例如焦点、剂量及扫描仪像差)不同地影响所得结构的形状,这取决于所制造结构的类型。例如,相对隔离结构对焦点变化更敏感,而相对密集结构对剂量变化更敏感。装置功能性及制造良率受通过图案化步骤(例如,光刻、沉积、蚀刻等)形成的结构的质量限制。
集成电路特征的横向尺寸(例如,CD)主要受限于制造工艺流程中涉及的光刻工具的分辨率。光刻及蚀刻工艺正朝向更小尺寸不断前进。通常采用多重图案化技术来减小集成电路的横向尺寸。现今,在193i纳米波长下操作的先进光刻工具采用多重图案化技术(例如,双重及三重图案化)来实现具有小于20纳米的横向尺寸的特征。预期横向尺寸在即将到来的制造技术节点中进一步缩小。
在半导体制造工艺期间的各个步骤使用度量过程来检测晶片上的缺陷以促成较高良率。光学度量技术提供高处理量的可能性而无样本损毁的风险。通常使用数种基于光学度量的技术(包含散射测量术、椭偏术及反射测量术实施方案以及相关联分析算法)来特性化临界尺寸、膜厚度、带隙、组合物、覆盖及其它纳米级结构参数。
现存基于模型的度量方法通常包含用来模型化且接着测量结构参数的一系列步骤。通常,从特定度量目标收集测量数据(例如,DOE光谱)。用公式表示光学系统、色散参数及几何特征的准确模型。收集膜光谱测量以确定材料色散。创建目标结构的参数几何模型连同光学模型。另外,必须仔细执行模拟近似(例如,剥落、严格耦合波分析(RCWA)等)以避免引入过大误差。定义离散化及RCWA参数。执行一系列模拟、分析及回归以细化几何模型且确定哪些模型参数浮动。生成合成光谱库。最后,使用库及几何模型来执行测量。
在半导体制造工艺期间的各个步骤使用光学度量过程来检测晶片上的缺陷以促成更高良率且优化装置性能。随着设计规则及工艺窗在尺度上不断缩小,特性化变得更困难。另外,特性化复杂结构所要的参数的数目增加导致参数相关性增大。因此,特性化目标的参数往往无法与可用测量可靠地去耦。
现存方法假设包括所测量半导体结构的材料是光学各向同性的(即,材料参数是相同的而与方位角、入射角、电场偏振等无关)。对于小特征尺寸,这导致甚至针对简单光学临界尺寸(OCD)结构的明显子系统失配、尤其在不同测量设置的组合当中的不良光谱拟合质量、不准确几何轮廓、与参考测量的大幅不一致(例如,透射电子显微术(TEM)、CD扫描电子显微术(CDSEM)等)、及较低介质对比度、及因此几何参数当中的较高相关性。这些问题在H.周爱博(H.Chouaib)及Q.周(Q.Zhou)于《真空科学与技术杂志(J.Vac.Sci.Technol)》.B31,011803(2013)中出版的标题为“使用深紫外光谱椭偏仪的接触孔的纳米级光学临界尺寸测量(Nanoscale optical critical dimension measurement of a contact holeusing deep ultraviolet spectroscopic ellipsometry)”的文章中更详细地描述,所述文章的标的物的全部内容以引用方式并入本文中。
响应于这些挑战,已开发更复杂光学度量工具。例如,已开发具有多个照明角、较短照明波长、较宽照明波长范围及从反射信号的更完整信息采集的工具(例如,除更常规反射率或椭偏信号以外,还测量多个穆勒矩阵元素)。
然而,这些方法尚未可靠地克服与许多先进目标(例如,复杂3D结构、小于10nm的结构、采用不透明材料的结构)的测量及测量应用(例如,线边缘粗糙度及线宽度粗糙度测量)相关联的基本挑战。
因此,开发用于在半导体制造工艺中特性化结构及材料的高处理量系统及方法将是有利的。特定来说,开发用于具有小特征尺寸(例如,小于20纳米)的半导体结构的线内度量的稳健、可靠且稳定的方法将是有利的。
发明内容
本文中呈现用于采用包括所测量结构的一或多个材料的光学色散性质的各向异性特性化来执行小尺度半导体结构(例如,CD结构、覆盖结构、薄膜等)的基于光学模型的测量的方法及系统。这减小几何参数当中的相关性且导致多个所测量材料当中的经改进测量灵敏度、经改进测量精度及经提高测量对比度。
在一些实施例中,所测量结构包含各自由不同材料制造的两个或两个以上几何特征。在这些实施例中的一些中,结构模型包含这些不同材料中的一或多者的光学色散性质的各向异性特性化。
在进一步方面中,基于从两个或两个以上测量子系统收集的测量数据,结合所测量材料的光学色散的各向异性特性化而执行基于模型的测量。
在另一方面中,在经选择以与所测量几何形状的主轴对准的方位角下执行基于模型的测量。这个假设在执行具有在一个方向上周期性地图案化的特征的堆叠结构的测量时可为有利的。在这些实施例中,所测量结构的材料特性化取决于与每一测量相关联的方位角而变动。
在另一进一步方面中,基于呈入射照明光束相对于测量目标的单个定向执行的光学测量而确定一或多个所关注参数的值的初始估计。初始估计值经实施为用于结合从呈多个定向的光学测量收集的测量数据的测量模型的回归的所关注参数的起始值。以这种方式,以相对少量的计算工作量确定所关注参数的近似估计,且通过实施这个近似估计作为大得多的数据集内的回归的起点,以较少整体计算工作量获取所关注参数的细化估计。
在一个实例中,以从相同所测量材料的膜目标确定的主体参数值或色散参数值作为每一测量通道(即,子系统)的光学色散参数的初始值的种子值。
在另一方面中,在假设介电常数矩阵的非对角元素应为零值的情况下执行基于模型的测量。这明显简化电磁模型建构及拟合分析。
在另一方面中,半导体结构的基于光学模型的测量并入包括所测量结构的一或多个材料的光学色散的特性化,所述特性化取决于所述结构的几何形状。
在进一步方面中,光学色散参数在回归期间随着基础几何参数的估计值反复更新而变动。在一个实例中,浮动材料参数用于使用特性化量子效应的光学响应模型来监测所关注结构参数。在这个意义上,部分通过几何参数特性化的材料参数值的估计提高几何参数的测量灵敏度。
在进一步方面中,不同地模型化描述介电常数的多维张量的元素中的一或多者。例如,不同多振子模型可用于多维张量的不同元素εij(λ,g)。与模型中的每一不同模型相关联的振子常数取决于结构几何形状。
在一些实施例中,基于在基于各向同性模型估计的色散参数值与基于光学色散的各向异性模型估计的相同色散参数值之间的差而估计所关注几何参数。
在进一步方面中,对于光学色散的各向异性模型的浮动参数当中的最高灵敏度及最低相关性优化与特定测量应用相关联的测量配方。
在另一进一步方面中,通过至少部分基于所关注估计参数而控制半导体晶片的制造工艺来改进装置性能。
在另一进一步方面中,基于相同光谱响应数据而进行与所测量结构的不同特征相关联的所关注参数的单独估计。例如,所测量晶片可包含多个层及结构特征。经测量光谱响应数据包含来自所有这些层及特征的贡献。捕获这些层及特征中的每一者的贡献的测量模型可用来单独确定与每一不同所分析物理层或特征相关联的所关注参数。
前述内容是概要且因此必然含有细节的简化、一般化及省略;因此,所属领域技术人员将明白,概要仅是说明性且不以任何方式起限制作用。本文中所描述的装置及/或过程的其它方面、发明特征及优点将在本文中所陈述的非限制详细描述中变得显而易见。
附图说明
图1是说明根据本发明的至少一个实施例的用于测量半导体晶片上制造的结构的光谱响应的系统100的简图。
图2是说明由图1中所描绘的系统100的计算系统116实施的实例性模型建构及分析引擎140的图。
图3是说明例如由系统100测量的实例性结构150的图。
图4是说明例如由系统100测量的另一实例性结构155的图。
图5说明由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的实分量n的值的标绘图160。
图6说明由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的虚分量k的值的标绘图165。
图7A到C是说明例如由测量系统100测量的实例性结构170的不同视图的图。
图8A到D各自描绘基于分析与在两个不同方位角下,结合介电常数的两个不同特性化测量结构170相关联的测量数据而估计的不同所关注参数的值。
图9是说明例如由测量系统100测量的实例性结构190的图。
图10说明由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的实分量n的值的标绘图。
图11说明由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的虚分量k的值的标绘图。
图12A说明基于在第一方位角及第二方位角下对于所测量材料的介电常数的各向异性及各向同性特性化分析测量数据而估计的CD参数的值之间的差的标绘图。
图12B说明基于在第一方位角及第二方位角下对于所测量材料的介电常数的各向异性及各向同性特性化分析测量数据而估计的高度参数的值之间的差的标绘图。
图13是说明例如由测量系统100测量的实例性结构220的图。
图14是说明例如由测量系统100测量的实例性结构230的图。
图15是例如由测量系统100测量的实例性结构240的图。在这个实例中,测量具有小于20纳米的临界尺寸的硅鳍片结构241。
图16说明针对鳍片结构的不同CD值的与劳仑兹模型的第一振子相关联的谐振能量E1的值的标绘图。
图17说明针对鳍片结构241的不同CD值的与劳仑兹模型的第二振子相关联的谐振能量E2的值的标绘图。
图18说明适于在至少一个新颖方面中由本发明的系统100实施的过程流程300。
具体实施方式
现将详细参考本发明的背景实例及一些实施例,其实例是在附图中说明。
本文中呈现用于采用包括所测量结构的一或多个材料的光学色散性质的各向异性特性化来执行小尺度半导体结构(例如,CD结构、覆盖结构、薄膜等)的基于光学模型的测量的方法及系统。这减小几何参数当中的相关性且导致多个所测量材料当中的经改进测量灵敏度、经改进测量精度及经提高测量对比度。
在一些实施例中,半导体结构的基于光学模型的测量并入包括所测量结构的一或多个材料的介电常数或复折射率的各向异性特性化。
一般来说,电位移场D通过介电常数ε而与入射电场E相关,如方程式(1)中所描述,其中ε0是真空介电常数。
D=ε0εE (1)
传统上,包括所测量半导体结构的材料被视作各向同性的(即,材料对于入射光的响应是相同的而与方向无关)。因此,介电常数被视作标量函数ε(λ)。然而,为了考虑介电函数对方向的依赖性,介电函数被视作多维张量。在一些实施例中,介电函数被视作矩阵εij(λ)。例如,在通过三个主正交方向x、y及z特性化的三维空间中,D场的x、y及z分量通过介电常数矩阵εij(λ)而与E场的x、y及z分量相关,如方程式(2)中所描述。
在这个实施例中,光学色散的各向异性特性化包含与三个主方向中的每一者相关联的光学色散参数εij,且与三个主方向中的至少一者相关联的光学色散参数的值不同于其它值。在一个实例中,εzz的值不同于εxx及εyy的值。复折射率n及k通过方程式(3)而与介电常数相关。
以这种方式,介电常数矩阵的每一元素可依据色散参数n及k表达且反之亦然。
一般来说,可通过任何有用光学色散度量特性化如本文中所描述的各向异性光学色散模型。例如,可通过复折射率的实分量(n)及虚分量(k)特性化各向异性光学色散模型。在另一实例中,可通过复介电常数的实分量(ε1)及虚分量(ε2)特性化各向异性光学色散模型。在其它实例中,可通过ε2的平方根、吸收常数α=4πk/λ、传导率(σ)、趋肤深度(δ)及衰减常数(σ/2)*sqrt(μ/ε)(其中μ是自由空间磁导率)等中的任一者特性化各向异性光学色散模型。在其它实例中,可通过前述光学色散度量的任何组合描述光学色散模型。通过非限制实例提供前述光学色散度量。可预期其它光学色散度量或度量组合。
图1说明根据本发明的至少一个实施例的用于测量半导体晶片上制造的结构的光谱响应的系统100。如图1中所展示,可使用系统100来对安置在平移载物台110上的半导体晶片112的一或多个结构114执行光谱椭偏术。在这方面,系统100可包含配备有照明器102及光谱仪104的光谱椭偏仪。系统100的照明器102经配置以生成选定波长范围(例如,150nm到2500nm)的照明且将照明引导到安置在半导体晶片112的表面上的结构114。继而,光谱仪104经配置以响应于递送到结构114的照明而从半导体晶片112的表面接收光。应进一步注意,从照明器102射出的光使用偏振器107偏振以产生经偏振照明光束106。由安置在晶片112上的结构114反射的辐射经传递通过分析器109且到光谱仪104。在这点上,比较收集光束108中由光谱仪104接收的辐射与照明光束106的入射辐射,从而允许结构114的光谱分析。
在进一步实施例中,系统100可包含一或多个计算系统116。一或多个计算系统116可经通信耦合到光谱仪104。在一个方面中,一或多个计算系统116可经配置以接收由光谱仪104对一或多个晶片执行的一组光谱测量。在从光谱仪接收一或多个取样过程的结果后,一或多个计算系统116可接着计算与表示结构114的光谱测量的测量模型相关联的结构参数的值。在一个实例中,计算系统116可基于测量模型结合来自光谱仪104的所采集光谱的回归而提取结构114的特征的临界尺寸(CD)的值。
在另一进一步实施例中,计算系统116可至少部分基于一或多个结构参数的确定值而控制半导体晶片的制造工艺。例如,计算系统116可经配置以将工艺控制参数值传达到负责制造所测量半导体晶片的一或多个制造工具(例如,光刻工具、蚀刻工具等)。
应认识到,可由单个计算机系统116或替代地多计算机系统116执行贯穿本发明所描述的各个步骤。此外,系统100的不同子系统(例如光谱椭偏仪101)可包含适于执行上文所描述的步骤的至少部分的计算机系统。因此,上文描述不应解释为限制本发明,而是仅为说明性的。此外,一或多个计算系统116可经配置以执行本文中所描述的任何方法实施例的(若干)任何其它步骤。
在另一实施例中,计算机系统116可以所属领域中已知的任何方式通信耦合到椭偏仪101的光谱仪104或照明器子系统102。例如,一或多个计算系统116可经耦合到椭偏仪101的光谱仪104的计算系统及照明器子系统102的计算系统。在另一实例中,可由单个计算机系统控制光谱仪104及照明器102。以这种方式,系统100的计算机系统116可经耦合到单个椭偏仪计算机系统。
系统100的计算机系统116可经配置以通过可包含有线及/或无线部分的传输媒体接收及/或采集来自系统的子系统(例如,光谱仪104、照明器102等)的数据或信息。以这种方式,传输媒体可用作计算机系统116与系统100的其它子系统之间的数据链路。此外,计算系统116可经配置以经由存储媒体(即,存储器)接收光谱结果。例如,可将使用椭偏仪的光谱仪获取的光谱结果存储在永久或半永久存储器装置中。在此方面,可从外部系统导入光谱结果。
此外,计算机系统116可经由传输媒体将数据发送到外部系统。此外,系统100的计算机系统116可经配置以通过可包含有线及/或无线部分的传输媒体而接收及/或采集来自其它系统的数据或信息(例如,来自检验系统的检验结果或来自度量系统的度量结果)。以这种方式,传输媒体可用作计算机系统116与系统100的其它子系统之间的数据链路。此外,计算机系统116可经由传输媒体将数据发送到外部系统。
计算系统116可包含但不限于个人计算机系统、主计算机系统、工作站、图像计算机、平行处理器或所属领域中已知的任何其它装置。一般来说,术语“计算系统”可经广泛定义以涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
实施例如本文中所描述的那些方法的程序指令120可通过承载媒体118传输或存储在承载媒体118上。承载媒体可为传输媒体,例如电线、电缆或无线传输链路。承载媒体还可包含计算机可读媒体,例如只读存储器、随机存取存储器、磁盘或光盘、或磁带。
图1中所说明的系统100的实施例可如本文中描述那样进一步配置。另外,系统100可经配置以执行本文中所描述的(若干)方法实施例中的任一者的(若干)任何其它步骤。
图2是说明由计算系统116实施的实例性模型建构及分析引擎140的图。如图2中所描绘,模型建构及分析引擎140包含结构模型建构模块141,结构模型建构模块141生成所测量样品的经测量结构的结构模型142。在一些实施例中,结构模型建构模块141还并入工艺模型以生成结构模型142。
在一个方面中,结构模型142包含样品的各向异性材料性质。在一些实施例中,所测量结构包含各自由不同材料制造的两个或两个以上几何特征。在这些实施例中的一些中,结构模型包含这些不同材料中的一或多者的光学色散性质的各向异性特性化。在一些实施例中,在由(美国)加利福尼亚州米尔皮塔斯市的科磊公司(KLA-Tencor)公司制造的AcuShape软件产品中实施结构模型建构模块141。在一个实例中,AcuShape软件包含结合在一起且经参数化以模拟所测量结构的一组几何特征(例如,1D层、2D梯形、3D柱等)。另外,AcuShape软件包含可供用户将各向异性材料行为指派到经模型化结构特征中的任一者的一组各向异性建构块。在一个实例中,AcuShape软件向用户提供对于经模型化所测量结构的每一几何特征在每一主方向上指派不同n(λ)及k(λ)值的选项。以这种方式,用户能够选择哪个材料(即,经模型化结构的哪个部分)将用各向异性色散性质特性化。
接收结构模型142作为光学响应函数建构模块143的输入。光学响应函数建构模块143至少部分基于结构模型142而生成测量模型145。在一个方面中,光学响应函数建构模块143采用所测量结构的光学色散性质的各向异性特性化来生成测量模型145,如本文中所描述。
在一些实施例中,光学响应函数建构模块143使用采用算法(例如严格耦合波分析(RCWA)、有限元法(FEM)、矩量法、表面积分法、体积积分法、有限差、时域(FDTD)法及其它算法)的电磁解算器来特性化入射光束与所测量结构的光学相互作用。在一些实施例中,在可购自(美国)加利福尼亚州米尔皮塔斯市科磊公司的离线光谱分析(OLSA)独立软件的膜厚度测量库(FTML)中实施本文中所描述的光学色散模型。
接收测量模型145作为拟合分析模块147的输入。拟合分析模块147比较经模型化光学响应与对应经测量数据135以对于给定组的测量系统参数值138(例如,入射角、方位角、照明偏振、电场定向等)确定样品的几何以及材料性质。
拟合分析模块147通过任何数目的不同数据拟合及优化技术分析经测量光谱数据。通过非限制实例,拟合分析模块147可实施库匹配技术、快速降阶模型化技术、回归、机器学习算法(例如神经网络)、支持向量机(SVM)、降维算法(例如主分量分析(PCA)、独立分量分析(ICA)、局部线性嵌入(LLE))、稀疏表示技术(例如傅里叶或小波变换技术、卡尔曼滤波)、及用来促成跨相同或不同工具类型的匹配的算法等。光谱测量数据的拟合对于提供对所关注几何及/或材料参数的灵敏度的任何类型的光学技术是有利的。只要使用描述光束与样品的相互作用的适当模型,样品参数便可为确定性的(例如,CD、SWA等)或统计性的(例如,侧壁粗糙度的均方根高度、粗糙度相关性长度等)。
在一些实例中,通过最小化卡方值来实现经模型化数据到实验数据的拟合。例如,对于光学测量来说,卡方值可经定义为
其中,是“通道”j中的经测量光学信号135,其中指数j描述一组系统参数,例如入射角、方位角、照明偏振等。是对于一组结构(目标)参数v1,…,vL评估的“通道”j的经模型化光学信号Sj,其中这些参数描述几何特性(CD、侧壁角、覆盖等)及材料特性(折射率等)。σopt,j是与第j通道相关联的不确定性。Nopt是光学度量中的通道的总数目。L是特性化度量目标的参数的数目。
方程式(4)假设与不同通道相关联的不确定性是不相关的。在其中与不同通道相关联的不确定性相关的实例中,可计算不确定性之间的协方差。在这些实例中,光学测量的卡方值可表达为
其中,Vopt是光学通道不确定性的协方差矩阵,且T表示转置。
在一些实例中,拟合分析模块147通过利用测量模型145对光学测量数据135执行拟合分析来分辨至少一个样品参数值。在一些实例中,优化在一个实例中,利用回归过程来确定跨选定光谱范围的介电常数的实分量(ε1)及虚分量(ε2)的光学色散模型的参数值。在此方面,可使用选定各向异性色散模型将回归分析应用于经测量光谱数据。
如前文中所描述,通过卡方值的最小化实现光学数据的拟合。然而,一般来说,可通过其它函数实现光学数据的拟合。
在一些实例中,模型建构及分析引擎140通过侧馈分析、前馈分析及平行分析的任何组合改进经测量参数的准确性。侧馈分析是指获取相同样品的不同区域上的多个数据集且将从第一数据集确定的共同参数传递到第二数据集上以供分析。前馈分析是指获取不同样品上的数据集且使用逐步复制确切参数前馈方法将共同参数向前传递到后续分析。平行分析是指非线性拟合方法平行或同时应用到多个数据集,其中至少一个共同参数在拟合期间耦合。
多工具及结构分析是指基于回归、查找表(即,“库”匹配)或多个数据集的另一拟合过程的前馈、侧馈或平行分析。在2009年1月13日颁予科磊公司的第7,478,019号美国专利中描述用于多工具及结构分析的实例性方法及系统,所述专利的全部内容以引用方式并入本文中。在L.米哈德加(L.Mihardja)等人在《国际光学工程学会学报(Proc.SPIE)》8324,《用于微光刻的度量、检验及工艺控制(Metrology,Inspection,and Process Control forMicrolithography)》XXVI,83241H(2012年3月29日)中刊出的标题为“用于改进光学CD及膜度量的数据前馈(Data feed-forward for improved optical CD and film metrology)”的文章中描述前馈分析的额外论述,所述文章的标的物的全部内容以引用方式并入本文中。在蔡魏哲(Wei-Jhe Tzai)等人在《国际光学工程学会学报(Proc.SPIE)》8324,《用于微光刻的度量、检验及工艺控制(Metrology,Inspection,and Process Control forMicrolithography)》XXVI,832420(2012年3月29日)中刊出的标题为“通过散射测量技术将多个目标应用于先进栅极ADI临界尺寸测量(Apply multiple target for advanced gateADI critical dimension measurement by scatterometry technology)”的文章中描述平行分析的额外论述,所述文章的标的物的全部内容以引用方式并入本文中。
在一些实施例中,一或多个光学色散参数(例如,n(λ)、k(λ)等)在拟合分析期间被视作变量。以这种方式,允许色散参数取决于光学电场方向、光偏振、照明入射角及照明方位角而改变针对特定测量的值。因此,在具有小尺寸特征的结构(例如,具有小于20纳米的特征尺寸的半导体结构)的光谱测量期间有效地捕获各向异性材料响应。
许多新一代半导体结构的材料尺寸太小使得量子效应明显影响测量准确性、子系统匹配等。随着特征尺寸缩小到低于德布格利波长,展现非预期光学响应行为。在这些实例中,包括所测量纳米结构的一或多个材料展现对元素层级处的传入光学照明的各向异性光学响应。在一些实例中,光学色散参数(例如,折射率n(λ)及消光系数k(λ))取决于材料尺寸(例如,厚度、CD、SWA)。在一些实例中,材料尺寸取决于用来执行测量的测量子系统。
传统上,对于CD结构的测量假设主体色散参数值。然而,本发明者已发现,从所测量材料的主体测量获取的色散参数值不再有效地用于由相同材料制造的小尺寸特征的基于光学模型的测量中。在这些实例中,塑形为小尺寸特征的半导体材料以不同于相同材料的厚主体层的方式与测量光相互作用。这将其自身显现在金属及一些薄半导体特征(例如,多晶硅、硅、硅锗、碳化硅等)的光学测量中。
图3描绘例如由在65度入射角下操作的SE测量系统100测量的实例性结构150。结构150是具有相对于衬底112水平(即,在x-y平面中)定向的钨薄层的非常简单的膜堆叠度量目标。在这个实例中,在不同材料的层151上制造具有厚度T的钨薄层152。光谱仪104响应于由照明源102提供的照明光153而收集光154。
图4描绘例如由在65度入射角下操作的测量系统100测量的另一实例性结构155。结构155是具有相对于衬底112垂直(即,垂直于x-y平面)定向的CD结构157的CD目标。在这个实例中,在不同材料结构156的若干层内制造具有厚度T的钨CD结构157。光谱仪104响应于由照明源102提供的照明光158而收集光159。
图5描绘由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的实分量n的值的标绘图160。在这个实例中,复折射率的实分量及虚分量的值在经测量光谱结合与结构150的测量相关联的对应测量模型的回归中浮动。类似地,复折射率的实分量及虚分量的值在经测量光谱结合与结构155的测量相关联的对应测量模型的回归中浮动。标绘线162描绘与图3中所描绘的结构150的测量相关联的n的估计值。标绘线161描绘与图4中所描绘的结构155的测量相关联的n的估计值。
类似地,图6描绘由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的虚分量k的值的标绘图165。标绘线167描绘与图3中所描绘的结构150的测量相关联的k的经测量值。标绘线166描绘与图4中所描绘的结构155的测量相关联的k的经测量值。
传统上,我们将预期经测量n及k值对于结构150及155两者来说是相同的。然而,在这个实例中,与膜及CD结构相关联的厚度T是10纳米,且经测量n及k值是明显不同的。这个实例说明色散参数(即,n及k)的值对所测量结构的尺寸及钨结构相对于周围结构的定向非常敏感。对于CD结构155,钨纳米结构中的自由电子受邻近界面处的周围材料影响。因此,CD结构155展现各向异性材料响应行为。
利用出色光谱拟合(即,χ2~10)测量膜结构150的色散。然而,当相同膜色散模型(即,相同色散参数值)用于CD结构155的测量时,光谱拟合相对较差(即,χ2~15000)。这指示与膜堆叠结构150相关联的钨膜色散对于小线宽度结构(例如CD结构155)无效。其还指示钨的光学性质在10纳米的钨线宽度T下明显变化。
在这个实例中,通过使n及k值浮动而对于CD结构155开发不同材料色散模型。标绘线161及166说明到新模型的测量拟合的结果。在这个实例中,光谱拟合相当好(即,χ2<100);相对于传统模型化方法有极大改进。如图5及6中所说明,当钨受局限时,消光系数k提高且折射率n也增大。
在进一步方面中,基于从两个或两个以上测量子系统收集的测量数据,结合所测量材料的光学色散的各向异性特性化而执行基于模型的测量。当通过各向异性介电函数特性化基础材料时,基于由多个测量子系统收集的测量数据执行的纳米级特征的测量更准确。在这些实例中,所测量结构的各向异性材料特性化取决于以任何数目的不同测量系统参数收集数据的任何数目的不同测量系统或其组合而变动。出于这个专利文件的目的,测量子系统描述经配置以按一组特定测量系统参数执行测量的特定测量系统。因此,测量系统的变化或测量系统参数值的变化导致不同测量子系统。在一些实例中,通过改变入射角、照明偏振、光学电场定向等中的任一者而在不同测量子系统当中变动所测量结构的各向异性材料特性化。
一般来说,基于从多个测量通道(即,子系统)收集的测量数据而执行许多基于模型的测量以优化参数灵敏度、增大测量精度且最小化与其它参数的相关性。使用SE测量系统的一个优点是宽范围的测量子系统可用。例如,SE系统可包含宽范围的入射角(AOI)、分析器角(AA)、方位角(AZ)及波长。在一个实例中,方位角可对测量灵敏度及精度具有大影响。光谱测量系统(例如由(美国)加利福尼亚州米尔皮塔斯市科磊公司制造的SpectraShapeTM、ShelbyTM及VikingTM系统)提供一系列不同的光学技术以实现宽范围的结构的全面及快速特性化。
传统上,对于具有挑战性的度量目标,从不同子系统收集的测量数据当中的高参数相关性是一个持久的问题。不同子系统当中的高参数相关性实际上意味着多个测量通道未对与特定测量模型相关联的所关注参数的值达成一致。然而,通过基于从两个或两个以上测量子系统收集的测量数据,结合所测量材料的光学色散的各向异性特性化而执行基于模型的测量,减小参数相关性。
在一些实施例中,基于从两个或两个以上方位角收集的测量数据,结合所测量材料的光学色散的各向异性特性化而执行基于模型的测量。
图7A描绘例如由测量系统100测量的实例性结构170的说明。在这个实例中,结构170包含硅浅沟槽隔离(STI)结构260及STI结构260顶部上制造的氮化硅鳍片结构261。
图7B描绘跨图7A到C中所描绘的y-z平面的结构170的横截面视图的说明。如图7B中所描绘,结构170通过沟槽深度D、沟槽的顶部临界尺寸(TCD)及氮化硅鳍片结构的高度(H)而参数化。在这个实例中,测量系统100在两个不同方位角(即,两个不同测量子系统)下测量结构170。图7B说明光谱仪104响应于由照明源102以与图7A到C中所描绘的y-z平面对准的定向提供的照明光172而收集的光171。在这个角度下,照明光172经定向成平行于结构170的鳍片结构的周期性的方向(即,平行于y方向)。
图7C描绘跨图7A到C中所描绘的x-z平面的结构170的横截面视图的说明。图7C说明光谱仪104响应于由照明源102以与图7A到C中所描绘的x-z平面对准的定向提供的照明光174而收集的光173。在这个角度下,照明光172经定向成垂直于结构170的鳍片结构的周期性的方向(即,垂直于y方向)。
基于分析与在两个不同方位角下,结合介电常数的两个不同特性化测量结构170相关联的测量数据而估计所关注参数(即,H、D、TCD及MCD偏移)的值。图8A到8D说明这些分析的结果。
图8A描绘基于分析在第一方位角(即,平行于周期性的方向)下的测量数据及分析在第二方位角(即,垂直于周期性的方向)下的测量数据而估计的高度参数H的值之间的差的标绘图175。标绘线176说明当采用所测量材料的介电常数的各向同性模型时的差值。标绘线177说明当采用所测量材料的介电常数的各向异性特性化时的差值。
图8B描绘基于分析在第一方位角及呈第二方位角下的测量数据而估计的沟槽深度参数D的值之间的差的标绘图178。标绘线179说明当采用所测量材料的介电常数的各向同性模型时的差值。标绘线180说明当采用所测量材料的介电常数的各向异性特性化时的差值。
图8C描绘基于分析在第一方位角及呈第二方位角下的测量数据而估计的顶部CD参数TCD的值之间的差的标绘图181。标绘线182说明当采用所测量材料的介电常数的各向同性模型时的差值。标绘线183说明当采用所测量材料的介电常数的各向异性特性化时的差值。
图8D描绘标绘图184,其描绘基于分析在第一方位角及呈第二方位角下的测量数据而估计的MCD偏移参数(即,间距移动(pitch walk))的值之间的差。标绘线185说明当采用所测量材料的介电常数的各向同性模型时的差值。标绘线186说明当采用所测量材料的介电常数的各向异性特性化时的差值。
如图8A到8D中所说明,当采用各向同性模型时,两个不同测量子系统(即,两个不同方位角)之间存在不良一致性。这是可理解的,因为沿着x方向的硅MCD极长,但沿着y方向的硅MCD在这个特定实例中小于14纳米。由于量子效应及界面效应两者明显低于20纳米,因此各向异性材料模型可为有利的。在标绘线177、180、183及186中所描绘的测量实例中,色散参数n及k在与两个测量子系统相关联的测量数据的分析中浮动。如图8A到8D中所说明,当采用各向异性模型时,两个不同测量子系统之间存在极佳一致性。
图9描绘例如由测量系统100测量的实例性结构190的说明。在这个实例中,结构190包含被安置在硅衬底191上的二氧化硅192包围的钨光栅结构193。钨光栅CD大约10纳米,且钨光栅的高度大约30纳米。
在这个实例中,色散参数n及k对于两个不同测量子系统浮动。两个测量子系统是两个正交方位角(例如,Az=0度及Az=90度)。与两个测量子系统的色散参数值的回归相关联的种子值经设置为钨膜目标(例如,图3中所描绘的结构150)的经测量值。由图2中所描绘的拟合分析模块147执行回归以达到两个测量子系统的n及k的优化值。在不优化无色散参数的情况下(即,各向同性材料模型),光谱拟合极差(即,χ2~15000)。然而,在优化与每一测量子系统相关联的色散参数中的每一者之后(即,各向异性材料模型),光谱拟合极好(即,χ2~10)。
图10描绘由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的实分量n的值的标绘图194。标绘线197描绘与钨膜目标(例如,图3中所描绘的结构150)的测量相关联的n的经测量值。标绘线196描绘与在零度方位角下的钨光栅结构193(图9中所描绘)的测量相关联的n的经测量值。标绘线195描绘与在九十度方位角下的钨光栅结构193的测量相关联的n的经测量值。
类似地,图11描绘由图1中所描绘的测量系统100在一系列照明波长内测量的复折射率的虚分量k的值的标绘图198。标绘线201描绘与钨膜目标(例如,图3中所描绘的结构150)的测量相关联的n的经测量值。标绘线199描绘与在零度方位角下的钨光栅结构193(在图9中所描绘)的测量相关联的n的经测量值。标绘线200描绘与在九十度方位角下的钨光栅结构193的测量相关联的n的经测量值。
如图10及11中所描绘,如果采用钨光栅结构193的各向同性特性化,那么预期有明显测量误差。
基于分析与在两个不同方位角下结合介电常数的两个不同特性化测量结构190相关联的测量数据而估计所关注参数(即,H及CD)的值。图12A到12B说明这些分析的结果。
图12A描绘基于分析在第一方位角下的测量数据及分析在第二方位角下的测量数据而估计的CD参数的值之间的差的标绘图205。标绘线206说明当采用所测量材料的介电常数的各向同性模型时的差值。标绘线207说明当采用所测量材料的介电常数的各向异性特性化时的差值。
图12B描绘基于分析在第一方位角及呈第二方位角下的测量数据而估计的高度参数H的值之间的差的标绘图208。标绘线210说明当采用所测量材料的介电常数的各向同性模型时的差值。标绘线209说明当采用所测量材料的介电常数的各向异性特性化时的差值。
如图12A到12B中所说明,当采用各向同性模型时,两个不同测量子系统(即,两个不同方位角)之间存在较差一致性。这是可理解的,因为钨CD在一个方向上极长,但在另一方向上大约10纳米。在标绘线207及209中所描绘的测量实例中,色散参数n及k在与两个测量子系统相关联的测量数据的分析中浮动。如图8A到8B中所说明,当采用各向异性模型时,在两个不同测量子系统之间存在极佳一致性。
在前述实例中,所测量结构的各向异性材料特性化取决于与每一测量相关联的方位角的变化而变动。然而,一般来说,所测量结构的各向异性材料特性化可取决于测量系统参数值的任何组合的变化而变动。
在另一方面中,在假设介电常数矩阵的非对角元素应为零值的情况下执行基于模型的测量。这明显简化电磁模型建构及拟合分析。
在又一方面中,在经选择以与所测量几何形状的主轴对准的方位角下执行基于模型的测量。这个假设在执行具有在一个方向上周期性地图案化的特征的堆叠结构(例如,鳍片结构、栅结构等)的测量时可为有利的。在这些实例中,在与周期性的方向对准的第一方向上(例如,Az=0度)执行测量且在垂直于第一方向的第二方向上(例如,Az=90度)执行另一测量。这些简单照明几何形状(Az=0及Az=90)允许p及s偏振完全去耦。然而,即使在偏振去耦情况下,仍需要一个以上折射率来正确模型化椭偏信号,这是因为椭偏术信号涉及s偏振及p偏振两者。在这些实施例中,所测量结构的材料特性化取决于与每一测量相关联的方位角而变动。
在另一进一步方面中,基于以入射照明光束相对于测量目标的单个定向执行的光学测量而确定一或多个所关注参数的值的初始估计。初始估计值经实施为用于测量数据结合从呈多个定向的光学测量收集的测量模型的回归的所关注参数的起始值。以这种方式,以相对少量的计算工作量确定所关注参数的近似估计,且通过实施这个近似估计作为大得多的数据集上的回归的起点,以较少整体计算工作量获取所关注参数的细化估计。
在一个实例中,以从相同所测量材料的膜目标确定的主体参数值或色散参数值作为每一测量通道(即,子系统)的光学色散参数的初始值的种子值。
在另一方面中,半导体结构的基于光学模型的测量并入包括所测量结构的一或多个材料的光学色散的特性化,所述特性化取决于所述结构的几何形状。在一些实施例中,包括所测量半导体结构的材料的介电常数被视作各向同性的。在这些实施例中,介电常数被视作几何形状的函数ε(λ,g)。在一些其它实施例中,介电函数被视作多维张量,其中多维张量的元素中的一或多者被视作几何形状的函数εij(λ,g)。在一些实施例中,除测量子系统参数(例如,光学电场方向、光偏振、AOI、方位角等)以外,光学色散度量n及k取决于所测量结构的几何轮廓。
在进一步方面中,光学色散参数在回归期间随着基础几何参数的估计值反复更新而变动。在一个实例中,浮动材料参数用于使用特性化量子效应的光学响应模型来监测所关注结构参数。在这个意义上,通过几何参数部分特性化的材料参数值的估计提高几何参数的测量灵敏度。
半导体结构中的量子局限导致折射率及吸收系数的变化。在存在强、内建电场的情况下,这有时被称为量子局限斯塔克效应。在这种半导体量子局限情况中,电子-空穴波函数重叠增加。这导致光学吸收增加。另外,折射率的变化根据克拉莫-克若尼关系发生。对于内建电场下的半导体量子阱,电子-空穴波函数重叠减少,从而导致光学吸收的减少及折射率的伴随变化。在这些情况中,各向同性光学材料响应的假设导致测量误差。
量子效应发生在一些材料(例如,金属及半导体)的量子阱结构、量子线结构、量子点结构等中。量子阱可经定义为能够将粒子(例如电子及空穴)局限在垂直于层表面或界面的维度中的层。两个正交方向上的移动保持不受限制。因此,量子阱是一维局限系统。
可通过将不同材料结合在一起来制作量子阱。实际上,量子阱是被具有较宽带隙的两个其它层包围的薄层。薄层通常被称为“阱”且“阱”的每一侧上的周围层各自被称为“势垒”。通常,当阱结构(例如,层)的厚度小于20纳米时,在半导体材料中发生量子局限效应。对于金属,较大厚度可能发生量子局限效应。
图13描绘例如由测量系统100测量的实例性结构220。在这个实例中,具有厚度T的钨薄层222夹置在不同于钨的材料的层221与223之间。在一些实例中,钨层的厚度小于20纳米。光谱仪104响应于由照明源102提供的照明光224而收集光225。半导体膜堆叠结构220经制造为量子阱。层222是夹置在层221与223(即,势垒)之间的材料薄层(即,阱)。采用制造技术(例如金属束外延(MBE)及金属有机化学气相沉积(MOCVD))来制造薄层(例如层221到223)。层221到223在z方向上相对较薄,在x及y方向上具有相对较大尺寸。
在这个实例中,在z方向上发生量子局限。如前文中所描述,材料光学响应性质关联层222是各向异性的。阱层沿着z方向的介电常数不同于x及y方向上的介电常数。然而,光学响应自身独立于照明方位角。
图14描绘例如由测量系统100测量的实例性结构230。在这个实例中,具有厚度T的钨薄层被不同材料231包围。在一些实例中,钨层的厚度小于20纳米。在一个测量中,光谱仪104响应于由照明源102提供的照明光233而收集光235。在另一测量中,光谱仪104响应于由照明源102提供的照明光234而收集光236。
包含多重图案化技术的先进光刻技术实现制造具有小于20纳米的厚度的横向(CD)结构。在半导体制造工艺流程期间,通过MBE及MOCVD蓄意制造x-y平面中的量子阱,例如图13中所描绘的结构220。然而,因装置尺寸缩小而无意地制造“垂直”量子阱,例如结构230。这些无意“垂直”量子阱结构未明显影响装置性能或良率,但其对光谱测量结果具有明显影响。在这个实例中,阱层沿着y方向的介电常数不同于x方向上的介电常数。因此,光学响应取决于照明方位角。
最常用色散模型是劳仑兹模型,即,谐振子模型。劳仑兹模型将结合到光学照明下的材料中的原子的电子的振荡视作谐振子的集合。在一个实例中,在方程式(6)中描述介电函数的表示,
其中nb是统一背景指数(默认值=1),E是通过E=1,240/λ表达为波长λ的函数的电场能量,Hs是第s振子的贡献,且νs是第s振子的局部场校正因子。νs对于金属来说等于零,对于大多数半导体来说接近零,且对于理想介电质来说等于0.333。Hs进一步详细描述为方程式(7),
其中,Ry是雷得堡常数(等于13.6058eV),r0是波尔半径(等于0.0529177纳米),Ns是第s振子的数量密度,其表示这个振子的相对重要性,Ens是第s振子的谐振能量,Egs是第s振子的阻尼恒定能量,且Φs是第s振子的相对相位。最低值谐振能量往往被称为带隙能量。劳仑兹模型常用来描述大多数材料,尤其具有若干峰值的材料,包含半导体材料(例如Si、Ge、SiGe)及金属(例如W、Cu、Co等)。
方程式(7)中的振子参数对局限方向(例如,图13中的z方向及图14中的y方向)上的量子局限效应敏感。
谐振能量Ens及振子强度Ns是最敏感的。另外,归因于能级的离散化,展现量子局限的结构的模型应包含较大数目的振子。
一个维度(即,局限方向)上的薛丁格方程式解释量子阱的基本性质,如方程式(8)中所说明,
其中V(z)是由粒子沿着局限方向(在这个实例中是z方向)所见的量子阱电位且Ψn是波函数。在阱被认为在每一侧处无限高的情况下,通过方程式(9)及(10)给出方程式(8)的解,
其中Lz是阱在z方向上的尺寸且z是z方向上的阱内的位置。
方程式(9)结合方程式(7)表明,当在测量模型中考虑量子局限效应时,每一振子的能级(Ens)取决于局限方向上的阱尺寸。
对于硅、锗及硒化镉纳米晶体,通过方程式(11)近似计算室温下的带隙与结构的尺度d之间的关系,
Ebandgap(d)=Ebandgap0+β/dα (11)
其中Ebandgap0是主体带隙,且β及α取决于材料以及纳米结构的尺寸(即,量子阱厚度)。对于硅纳米结构,通过方程式(12)近似计算方程式(11)。
Ebandgap(d)=Ebandgap0+3.73/d1.73 (12)
对于硅纳米结构,将方程式(12)代入到方程式(6)及(7)中以将介电常数表示为所测量结构的尺寸的函数。
一般来说,在这个专利文件的范围内,可使用若干方法论来并入介电函数对特征的几何形状及尺寸的依赖性。在一个实例中,采用马克士威-加内特有效介质理论来模型化介电函数对所测量特征的几何形状及尺寸的依赖性。
在一些实例中,光学色散参数n及k经参数化使得其取决于结构的几何参数,例如,nj(λ;CD,HT,SWA)及kj(λ;CD,HT,SWA)。
在一个实例中,方程式(13)基于方程式(12)中所说明的带隙与量子阱厚度之间的关系而将硅纳米结构的光学吸收系数表达为带隙的函数。
类似地,方程式(14)基于方程式(12)中所说明的带隙与量子阱厚度之间的关系而将介电函数的虚部表达为带隙的函数。
可基于有效介质理论近似中的一者或以另一方式实施光学参数的参数化。可使用有效介质理论或其它理论来考虑量子制度中的介电函数的行为。在一个实例中,在所列主体行为与呈已知表达量子效应的几何形状的行为之间假设线性过渡。还可使用在主体行为与量子行为之间具有内插的查找表。
图15描绘例如由测量系统100测量的实例性结构240。在这个实例中,测量具有小于20纳米的临界尺寸的硅鳍片结构241。
在这个实例中,测量各自具有不同已知CD值若干不同鳍片结构。根据方程式(5)及(6)实施包含五个谐振子的劳仑兹模型。另外,根据方程式(12),带隙能量与结构241的几何形状相关。使用主体硅色散参数值作为色散参数的种子值。基于测量数据而执行测量模型的回归分析以优化色散参数的值。
图16描绘针对鳍片结构241的不同CD值的与劳仑兹模型的第一振子相关联的谐振能量E1的值。图17描绘针对鳍片结构241的不同CD值的与劳仑兹模型的第二振子相关联的谐振能量E2的值。如图16及17中所说明,E1及E2两者根据量子效应变动,其预测能级随着阱变窄而增大。
在进一步方面中,不同地模型化描述介电常数的多维张量的元素中的一或多者。例如,不同多振子模型可用于多维张量的不同元素εij(λ,g)。与模型中的每一不同模型相关联的振子常数取决于结构几何形状。在这些实例中,描述包括结构的材料的介电常数的多维张量的元素与多维张量的另一元素不同地模型化。
在一些实施例中,基于在基于各向同性模型估计的色散参数值与基于光学色散的各向异性模型估计的相同色散参数值之间的差而估计所关注几何参数(例如,H、CD、深度等)。在一个实例中,图1中所描绘的测量系统100的计算系统116基于图5及6中分别描绘的估计n及k值之间的差而估计特征157的厚度T的值。
如图1中所描绘,测量系统100是光谱椭偏仪。然而,一般来说,测量系统100可经配置为光谱椭偏仪、光谱反射计、旋转偏振器、旋转补偿器光谱椭偏仪(RPRC SE)、偏振光谱反射计、光反射计等。一般来说,本文中所描述的技术可应用于从任何基于模型的光谱测量系统或基于模型的光谱测量系统的组合收集的测量数据。
在进一步方面中,针对光学色散的各向异性模型的浮动参数当中的最高灵敏度及最低相关性优化与特定测量应用相关联的测量配方(即,不同测量系统参数、不同测量系统或两者的组合)。
图18说明适于由本发明的系统100实施的过程流程300。在一个方面中,应认识到,可经由由计算系统116的一或多个处理器执行的预编程算法执行过程流程300的数据处理步骤。虽然在系统100的背景下呈现下文描述,但本文中应认识到,系统100的特定结构方面不表示限制且应解释为仅说明性的。
在框301中,例如由照明器102跨光谱范围将第一数量的照明提供到未完成的多层半导体晶片上制造的结构。
在框302中,例如由光谱仪104响应于第一数量的照明而从所测量结构收集第一数量的光。
在框303中,例如由光谱仪104生成指示所测量结构的光谱响应的第一数量的光谱数据。
在框304中,例如由计算系统116基于包含所测量结构的光学色散的各向异性特性化的测量模型而估计与所测量结构相关联的一或多个所关注几何参数的值。
在另一进一步方面中,通过至少部分基于所关注估计参数而控制半导体晶片的制造工艺而改进装置性能。在一个实例中,可基于CD值而控制CD,所述CD值基于如本文中所描述的光学色散的各向异性特性化来估计。
在另一进一步方面中,基于相同光谱响应数据而进行与所测量结构的不同特征相关联的所关注参数的单独估计。例如,所测量晶片可包含多个层及结构特征。从光谱仪104接收的光谱响应数据包含来自所有这些层及特征的贡献。捕获这些层及特征中的每一者的贡献的测量模型可用来单独确定与每一不同所分析物理层或特征相关联的所关注参数。
在一或多个实例性实施例中,可在硬件、软件、固件或其任何组合中实施所描述功能。如果在软件中实施,那么可将功能作为一或多个指令或代码存储在计算机可读媒体上或通过计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,通信媒体包含促进计算机程序从一个位置转移到另一位置的任何媒体。存储媒体可为可由通用或专用计算机存取的任何可用媒体。通过实例而非限制,此类计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置,或可用来以指令或数据结构的形式携载或存储所期望代码构件且可由通用或专用计算机或者通用或专用处理器存取的任何其它媒体。并且,任何连接被适当地称为计算机可读媒体。例如,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外线、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外线、无线电及微波)包含在媒体的定义中。如本文中所使用,磁盘及光盘包含光盘(CD)、激光盘、光盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地重现数据,而光盘用激光光学地重现数据。上文的组合也应包含在计算机可读媒体的范围内。
如本文中所使用,术语“晶片”通常是指由半导体或非半导体材料形成的衬底。此半导体或非半导体材料的实例包含但不限于单晶硅、绝缘体上硅、应变绝缘体上硅、砷化镓及磷化铟。通常可在半导体制造设施中发现及/或处理此类衬底。
一或多个层可形成在晶片上。例如,此类层可包含但不限于抗蚀剂、电介质材料、导电材料及半导电材料。所属领域中已知许多不同类型的此类层,且如本文中使用的术语晶片意在涵盖其上可形成所有类型的此类层的晶片。
晶片上形成的一或多个层可被图案化或未被图案化。例如,晶片可包含多个裸片,每一裸片具有可重复图案化特征。此类材料层的形成及处理可最终导致完成的装置。许多不同类型的装置可形成在晶片上,且如本文中使用的术语晶片意在涵盖在其上制造所属领域中已知的任何类型的装置的晶片。
典型半导体工艺包含分批次的晶片处理。如本文中使用,“批次”是一起处理的晶片的群组(例如,25个晶片的群组)。批次中的每一晶片由来自光刻处理工具(例如,步进机、扫描仪等)的许多曝光场构成。在每一场内可存在多个裸片。裸片是最终变成单个芯片的功能单元。晶片上形成的一或多个层可被图案化或未被图案化。例如,晶片可包含多个裸片,每一裸片具有可重复图案化特征。此类材料层的形成及处理可最终导致完成的装置。许多不同类型的装置可形成在晶片上,且如本文中使用的术语晶片意在涵盖在其上制造所属领域中已知的任何类型的装置的晶片。
尽管本文中关于晶片描述实施例,但是应了解,实施例可用于特性化例如主光罩(其通常也可被称为掩模或光掩模)的另一样品的结构。所属领域中已知许多不同类型的主光罩,且如本文中使用的术语“主光罩”、“光掩模”及“掩模”意在涵盖所属领域中已知的所有类型的主光罩。
尽管本文中关于应用于晶片的结构的测量描述实施例,但是应了解,本文中所揭示的方法及系统可用于特性化半导体结构的临界尺寸、半导体结构的层当中的覆盖及半导体结构的材料组合物。
本文中所描述的实施例大致大体上涉及用于基于高处理量下的光学模型参数值而确定多层结构的所关注参数的方法。例如,一个实施例涉及一种用于基于从光谱椭偏仪数据导出的光学模型参数值而确定多层结构的临界尺寸的计算机实施方法。然而,在其它实例中,还预期使用本文中所描述的技术的临界尺寸、覆盖及材料组合物的测量。类似地,本文中所描述的方法不限于可从其导出光学模型参数值的度量系统的类型。例如,在一个实施例中,度量系统包含反射计。一般来说,本文中所描述的光学色散模型可应用于从多种宽带及窄带度量工具接收的测量数据的分析。例如,可在这个专利文件的范围内预期光谱椭偏仪及反射计、多角度椭偏仪及反射计(包含任何数目或类型的照明源(例如,发射可见、红外线、紫外线、真空紫外线、深紫外线光谱中的光的基于灯或激光的源))。
另外,度量系统可经配置用于图案化晶片及/或未图案化晶片的检验。检验系统可经配置为LED检验工具、边缘检验工具、背侧检验工具、宏观检验工具,或多模式检验工具(同时涉及来自一或多个平台的数据)、及受益于基于高处理量下的光学模型参数值的多层结构的结构特性化的确定的任何其它度量或检验工具。因此,可能可交换地使用术语“度量”系统及“检验”系统。
尽管上文出于指导目的描述某些特定实施例,但是这个专利文件的教示具有一般适用性且不限于上文所描述的特定实施例。因此,可在不背离如权利要求书中所陈述的本发明的范围的情况下实践所描述实施例的各种特征的各种修改、调适及组合。

Claims (27)

1.一种测量系统,其包括:
第一照明器,其跨光谱范围将第一数量的照明提供到未完成的多层半导体晶片上制造的结构;
第一光谱仪,其响应于所述第一数量的照明而从所测量结构收集第一数量的光且生成指示所述所测量结构的光谱响应的第一数量的光谱数据,其中所述第一照明器及所述第一光谱仪是根据第一组测量系统参数来配置;及
拟合分析模块,其经配置以基于包含所述所测量结构的光学色散的各向异性特性化的测量模型来估计与所述所测量结构相关联的一或多个所关注几何参数的值。
2.根据权利要求1所述的测量系统,其中所述所测量结构包含各自由不同材料制造的两个或两个以上几何特征,且其中所述测量模型包含与每一不同材料相关联的所述光学色散的不同各向异性特性化。
3.根据权利要求1所述的测量系统,其中所述一或多个所关注几何参数的所述值的所述估计涉及所述第一数量的光谱数据结合所述测量模型的回归。
4.根据权利要求1所述的测量系统,其中所述第一照明器及所述第一光谱仪经配置为光谱椭圆偏振仪或光谱反射计。
5.根据权利要求1所述的测量系统,其中所述所测量结构的所述光学色散的所述各向异性特性化包含所述光学色散的矩阵函数。
6.根据权利要求5所述的测量系统,其中所述矩阵函数的非对角元素中的每一者是零值,且所述矩阵函数的对角元素中的两者或两者以上是不同值。
7.根据权利要求1所述的测量系统,其中所述光学色散的所述各向异性特性化包含与三个主方向中的每一者相关联的一或多个光学色散参数,且其中与所述三个主方向中的至少一者相关联的所述一或多个光学色散参数值不同于与所述三个主方向中的另一者相关联的所述一或多个光学色散参数值。
8.根据权利要求1所述的测量系统,其进一步包括:
第二照明器,其将第二数量的照明提供到所述所测量结构;及
第二光谱仪,其响应于所述第二数量的照明而从所述所测量结构收集第二数量的光,且生成指示所述所测量结构的光谱响应的第二数量的光谱数据,其中所述第二照明器及所述第二光谱仪是根据第二组测量系统参数来配置。
9.根据权利要求8所述的测量系统,其中所述第一照明器及所述第二照明器是相同照明器,且所述第一光谱仪及所述第二光谱仪是相同光谱仪。
10.根据权利要求8所述的测量系统,其中所述第一组测量系统参数包含方位角、入射角、照明光偏振、光学电场定向或其任何组合,且其中所述第二组测量系统参数包含所述方位角、所述入射角、所述照明光偏振及所述光学电场定向中的任一者的不同值。
11.根据权利要求8所述的测量系统,其中所述一或多个所关注几何参数的所述值的所述估计涉及所述第一数量及所述第二数量的光谱数据结合所述测量模型的回归,其中与所述所测量结构的材料相关联的一或多个光学色散参数是在所述第一数量及所述第二数量的光谱数据的所述回归期间浮动,且所述一或多个所关注几何参数的所述值在所述第一数量及所述第二数量的光谱数据的所述回归期间被约束为相同值。
12.根据权利要求11所述的测量系统,其中在所述第一数量及所述第二数量的光谱数据的所述回归期间采用的所述一或多个光学色散参数的初始值是所述一或多个光学色散参数的主体各向同性值。
13.根据权利要求12所述的测量系统,其中从所述材料的膜的光谱测量确定所述一或多个光学色散参数的所述主体各向同性值。
14.根据权利要求1所述的测量系统,其中所述所测量结构的所述光学色散的所述各向异性特性化是所述所测量结构的几何参数的函数。
15.根据权利要求14所述的测量系统,其中所述几何参数是所述所关注几何参数中的一者。
16.根据权利要求14所述的测量系统,其中所述光学色散的所述各向异性特性化包含多个谐振子函数。
17.一种方法,其包括:
跨光谱范围将第一数量的照明提供到未完成的多层半导体晶片上制造的结构;
响应于所述第一数量的照明而从所测量结构收集第一数量的光;
生成指示所述所测量结构的光谱响应的第一数量的光谱数据;及
基于包含所述所测量结构的光学色散的各向异性特性化的测量模型来估计与所述所测量结构相关联的一或多个所关注几何参数的值。
18.根据权利要求17所述的方法,其中所述所测量结构包含各自由不同材料制造的两个或两个以上几何特征,且其中所述测量模型包含与每一不同材料相关联的所述光学色散的不同各向异性特性化。
19.根据权利要求17所述的方法,其中所述所测量结构的所述光学色散的所述各向异性特性化包含所述光学色散的矩阵函数。
20.根据权利要求17所述的方法,其中所述光学色散的所述各向异性特性化包含与三个主方向中的每一者相关联的一或多个光学色散参数,且其中与所述三个主方向中的至少一者相关联的所述一或多个光学色散参数值不同于与所述三个主方向中的另一者相关联的所述一或多个光学色散参数值。
21.根据权利要求17所述的方法,其中所述所测量结构的所述光学色散的所述各向异性特性化是所述所测量结构的几何参数的函数。
22.根据权利要求21所述的方法,其中所述几何参数是所述所关注几何参数中的一者。
23.一种测量系统,其包括:
第一照明器,其跨光谱范围将第一数量的照明提供到未完成的多层半导体晶片上制造的结构;
第一光谱仪,其响应于所述第一数量的照明而从所测量结构收集第一数量的光,且生成指示所述所测量结构的光谱响应的第一数量的光谱数据,其中所述第一照明器及所述第一光谱仪是根据第一组测量系统参数来配置;及
非暂时性计算机可读媒体,其存储指令,所述指令在由一或多个处理器执行时引起所述一或多个处理器:
基于包含所述所测量结构的光学色散的各向异性特性化的测量模型来估计与所述所测量结构相关联的一或多个所关注几何参数的值。
24.根据权利要求23所述的测量系统,其中所述所测量结构包含各自由不同材料制造的两个或两个以上几何特征,且其中所述测量模型包含与每一不同材料相关联的所述光学色散的不同各向异性特性化。
25.根据权利要求23所述的测量系统,其中所述所测量结构的所述光学色散的所述各向异性特性化包含所述光学色散的矩阵函数。
26.根据权利要求23所述的测量系统,其中所述光学色散的所述各向异性特性化包含与三个主方向中的每一者相关联的一或多个光学色散参数,且其中与所述三个主方向中的至少一者相关联的所述一或多个光学色散参数值不同于与所述三个主方向中的另一者相关联的所述一或多个光学色散参数值。
27.根据权利要求23所述的测量系统,其中所述所测量结构的所述光学色散的所述各向异性特性化是所述所测量结构的几何参数的函数。
CN201780052569.4A 2016-08-31 2017-08-16 具有各向异性介电常数的半导体结构的基于模型的光学测量 Active CN109643672B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662381987P 2016-08-31 2016-08-31
US62/381,987 2016-08-31
US15/649,843 US10458912B2 (en) 2016-08-31 2017-07-14 Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US15/649,843 2017-07-14
PCT/US2017/047159 WO2018044572A1 (en) 2016-08-31 2017-08-16 Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity

Publications (2)

Publication Number Publication Date
CN109643672A true CN109643672A (zh) 2019-04-16
CN109643672B CN109643672B (zh) 2020-10-27

Family

ID=61242207

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780052569.4A Active CN109643672B (zh) 2016-08-31 2017-08-16 具有各向异性介电常数的半导体结构的基于模型的光学测量

Country Status (7)

Country Link
US (1) US10458912B2 (zh)
JP (1) JP6801110B2 (zh)
KR (1) KR102269517B1 (zh)
CN (1) CN109643672B (zh)
IL (1) IL264571B (zh)
TW (1) TWI728179B (zh)
WO (1) WO2018044572A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110416106A (zh) * 2019-07-30 2019-11-05 上海华力集成电路制造有限公司 Ocd测试图形结构及其制造方法
CN111595812A (zh) * 2020-05-29 2020-08-28 复旦大学 基于动量空间色散关系的关键参数的量测方法和系统
WO2020248447A1 (zh) * 2019-06-11 2020-12-17 南开大学 材料光学常数的确定方法、材料数据库的扩展方法及装置

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016208264A1 (de) * 2016-05-13 2017-11-16 Trumpf Werkzeugmaschinen Gmbh + Co. Kg Verfahren und Vorrichtung zur Überwachung, insbesondere zur Regelung, eines Schneidprozesses
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US11036898B2 (en) * 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
JP6830464B2 (ja) * 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
CN112805816A (zh) * 2018-10-15 2021-05-14 株式会社高迎科技 用于检查的装置、方法及记录命令的记录介质
CN109580551A (zh) * 2018-11-30 2019-04-05 武汉颐光科技有限公司 一种傅里叶变换红外穆勒矩阵椭偏仪及其测量方法
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
CN111380464B (zh) * 2018-12-28 2021-05-07 上海微电子装备(集团)股份有限公司 一种光栅尺的安装装置、安装方法、光栅测量系统及光刻机
US11060982B2 (en) * 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
CN110596011B (zh) * 2019-08-26 2020-12-29 华中科技大学 一种材料介电张量测量方法
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR102659861B1 (ko) * 2020-06-22 2024-04-24 주식회사 히타치하이테크 치수 계측 장치, 반도체 제조 장치 및 반도체 장치 제조 시스템
CN113420260B (zh) * 2021-07-01 2022-10-28 深圳市埃芯半导体科技有限公司 一种半导体尺寸的测量计算方法、装置及计算机存储介质
CN117716203A (zh) * 2021-07-26 2024-03-15 鲁姆斯有限公司 用于验证内部小平面之间的平行度的方法和系统
CN114018820B (zh) * 2021-09-14 2023-04-07 深圳市埃芯半导体科技有限公司 光学测量方法、装置、系统及存储介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060290923A1 (en) * 2005-06-22 2006-12-28 Hiroyuki Nakano Method and apparatus for detecting defects
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
CN104114999A (zh) * 2011-09-27 2014-10-22 科磊股份有限公司 高吞吐量薄膜特性化及缺陷检测
CN104395996A (zh) * 2012-05-08 2015-03-04 科磊股份有限公司 基于光谱敏感度及工艺变化的测量配方优化

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0720216B1 (en) 1994-12-29 2001-10-17 AT&T Corp. Linewidth metrology of integrated circuit structures
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5969273A (en) 1998-02-12 1999-10-19 International Business Machines Corporation Method and apparatus for critical dimension and tool resolution determination using edge width
US5943122A (en) 1998-07-10 1999-08-24 Nanometrics Incorporated Integrated optical measurement instruments
US6031614A (en) * 1998-12-02 2000-02-29 Siemens Aktiengesellschaft Measurement system and method for measuring critical dimensions using ellipsometry
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
EP1319244A1 (en) 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7414721B1 (en) * 2002-12-23 2008-08-19 Lsi Corporation In-situ metrology system and method for monitoring metalization and other thin film formation
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4363368B2 (ja) * 2005-06-13 2009-11-11 住友電気工業株式会社 化合物半導体部材のダメージ評価方法、及び化合物半導体部材の製造方法
WO2007049259A1 (en) * 2005-10-24 2007-05-03 Optical Metrology Patents Limited An optical measurement apparatus and method
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US10088413B2 (en) * 2011-11-21 2018-10-02 Kla-Tencor Corporation Spectral matching based calibration
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10624612B2 (en) * 2014-06-05 2020-04-21 Chikayoshi Sumi Beamforming method, measurement and imaging instruments, and communication instruments
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060290923A1 (en) * 2005-06-22 2006-12-28 Hiroyuki Nakano Method and apparatus for detecting defects
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology
CN104114999A (zh) * 2011-09-27 2014-10-22 科磊股份有限公司 高吞吐量薄膜特性化及缺陷检测
CN104395996A (zh) * 2012-05-08 2015-03-04 科磊股份有限公司 基于光谱敏感度及工艺变化的测量配方优化

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020248447A1 (zh) * 2019-06-11 2020-12-17 南开大学 材料光学常数的确定方法、材料数据库的扩展方法及装置
CN110416106A (zh) * 2019-07-30 2019-11-05 上海华力集成电路制造有限公司 Ocd测试图形结构及其制造方法
CN111595812A (zh) * 2020-05-29 2020-08-28 复旦大学 基于动量空间色散关系的关键参数的量测方法和系统
CN111595812B (zh) * 2020-05-29 2021-06-22 复旦大学 基于动量空间色散关系的关键参数的量测方法和系统
WO2021237949A1 (zh) * 2020-05-29 2021-12-02 复旦大学 基于动量空间色散关系的关键参数的量测方法和系统

Also Published As

Publication number Publication date
CN109643672B (zh) 2020-10-27
TWI728179B (zh) 2021-05-21
KR20190039331A (ko) 2019-04-10
IL264571A (en) 2019-02-28
WO2018044572A1 (en) 2018-03-08
IL264571B (en) 2021-06-30
JP2019532518A (ja) 2019-11-07
TW201812275A (zh) 2018-04-01
KR102269517B1 (ko) 2021-06-24
US10458912B2 (en) 2019-10-29
JP6801110B2 (ja) 2020-12-16
US20180059019A1 (en) 2018-03-01

Similar Documents

Publication Publication Date Title
CN109643672A (zh) 具有各向异性介电常数的半导体结构的基于模型的光学测量
US9875946B2 (en) On-device metrology
US10612916B2 (en) Measurement of multiple patterning parameters
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
CN107533995B (zh) 以模型为基础的热点监测
US10101670B2 (en) Statistical model-based metrology
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
US10215559B2 (en) Metrology of multiple patterning processes
CN108886006A (zh) 利用来自多个处理步骤的信息的半导体计量
US20170102623A1 (en) Methods and Apparatus for Simulating Interaction of Radiation with Structures, Metrology Methods and Apparatus, Device Manufacturing Method
KR20150018535A (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
KR20210094106A (ko) 반도체 구조체들에서의 스트레인의 측정을 위한 스캐터로메트리 기반 방법들 및 시스템들
Levi et al. A holistic metrology sensitivity study for pattern roughness quantification on EUV patterned device structures with mask design induced roughness

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant