KR20190039331A - 이방성 유전체 유전율을 가진 반도체 구조물의 모델 기반 광학 측정 - Google Patents

이방성 유전체 유전율을 가진 반도체 구조물의 모델 기반 광학 측정 Download PDF

Info

Publication number
KR20190039331A
KR20190039331A KR1020197009076A KR20197009076A KR20190039331A KR 20190039331 A KR20190039331 A KR 20190039331A KR 1020197009076 A KR1020197009076 A KR 1020197009076A KR 20197009076 A KR20197009076 A KR 20197009076A KR 20190039331 A KR20190039331 A KR 20190039331A
Authority
KR
South Korea
Prior art keywords
measurement
optical dispersion
value
optical
anisotropic
Prior art date
Application number
KR1020197009076A
Other languages
English (en)
Other versions
KR102269517B1 (ko
Inventor
호우쌈 초우아입
치앙 자오
안드레이 쉬체그로브
정쿠안 탄
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20190039331A publication Critical patent/KR20190039331A/ko
Application granted granted Critical
Publication of KR102269517B1 publication Critical patent/KR102269517B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/303Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9515Objects of complex shape, e.g. examined with use of a surface follower device
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Abstract

측정 대상의 구조물을 포함하는 하나 이상의 재료의 광학 분산 특성의 이방성 특징을 사용하는 소형 반도체 구조물의 광학, 모델 기반 측정을 수행하기 위한 방법 및 시스템이 본 명세서에 제시된다. 이는 기하학적 파라미터들 간의 상관 관계를 감소시켜 측정 감도를 향상시키고 측정 정확도를 향상시키며 측정중인 복수의 재료들 사이의 측정 콘트라스트를 향상시킨다. 추가의 양태에서, 구조물을 포함하는 재료의 유전율을 기술하는 다차원 텐서(tensor)의 요소는, 다른 요소와 다르게 모델링된다. 또 다른 양태에서, 모델 기반 측정은 측정 대상 재료의 광학 분산의 이방성 특징과 결합된 2 이상의 측정 서브시스템으로부터 수집된 측정 데이터에 기초하여 수행된다. 또 다른 양태에서, 측정 대상의 구조물을 포함하는 하나 이상의 재료의 광학 분산의 특징화는 구조물의 기하학적 구조에 의존한다.

Description

이방성 유전체 유전율을 가진 반도체 구조물의 모델 기반 광학 측정
관련 출원에 대한 상호 참조
본 특허 출원은 2016년 8월 31일자로 출원된 “반도체 구조물의 임계 치수, 막 두께 및 밴드갭의 광학 측정 방법”이라는 명칭의 미국 가특허 출원 일련 번호 제62/381,987호로부터 35 U.S.C. §119하에서 우선권을 주장하며, 이것의 내용은 본 명세서에 참고로 통합된다.
기술 분야
기술된 실시예는 반도체 제조에 사용되는 구조물 및 재료의 광학 특징(optical characterization)을 위한 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는, 일반적으로 기판 또는 웨이퍼에 적용되는 일련의 프로세싱 단계들에 의해 제조된다. 반도체 디바이스의 다양한 피처(feature) 및 복수의 구조 레벨은 이러한 프로세싱 단계들에 의해 형성된다. 예를 들어, 그 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적-기계적 연마, 에칭, 퇴적, 및 이온 주입을 포함하지만 이에 한정되지는 않는다. 복수의 반도체 디바이스는 단일 반도체 웨이퍼 상에 제조된 후, 개별 반도체 디바이스들로 분리될 수 있다.
반도체 웨이퍼 상에 제조된 구조물의 기하학적 구조는, 리소그래피 노출 동안에 프로세스 조건에 의존한다. 초점, 도즈(dose), 및 스캐너 수차와 같은 프로세스 파라미터는, 제조되고 있는 구조물의 유형에 따라, 결과적인 구조물의 형상에 다르게 영향을 준다. 예를 들어, 상대적으로 격리된 구조물은 초점 변화에 더 민감한 반면에, 상대적으로 밀집된 구조물은 조사량(dosage)의 변화에 더 민감하다. 디바이스 기능 및 제조 수율은, 리소그래피, 증착, 에칭 등의 패터닝 단계에 의해 형성된 구조의 품질에 의해 제한된다.
집적 회로 피처의 측방 치수(예를 들어, CD)는, 주로 제조 프로세스 흐름에 포함된 리소그래피 툴의 해상도로 제한된다. 리소그래피 및 에칭 프로세스는 계속해서 더 작은 치수로 진행되고 있다. 집적 회로의 측방 치수를 감소시키기 위해 복수의 패터닝 기술이 일반적으로 사용된다. 오늘날, 193i 나노미터의 파장에서 동작하는 진보된 리소그래피 툴은, 20 나노미터 미만의 측방 치수를 갖는 피처를 구현하기 위해 복수의 패터닝 기술(예를 들어, 이중 및 삼중 패터닝)을 사용한다. 측방 치수는 예정된(upcoming) 제조 기술 노드에서 더 줄어들 것으로 예상된다.
계측 프로세스는 웨이퍼의 결함을 검출하여 더 높은 수율을 촉진하기 위해 반도체 제조 프로세스 중에 다양한 단계에서 사용된다. 광학 계측 기술은 시료 파괴의 위험없이 높은 처리량에 대한 가능성을 제공한다. 스캐터로메트리, 엘립소메트리, 및 반사 측정 구현 및 관련 분석 알고리즘을 포함하는 복수의 광학 계측 기반 기술은, 임계 치수, 막 두께, 밴드갭, 조성, 오버레이 및 나노스케일 구조물의 다른 파라미터를 특징화하는데 일반적으로 사용된다.
기존의 모델 기반 계측 방법은, 일반적으로 구조물 파라미터를 모델링하고 측정하기 위한 일련의 단계를 포함한다. 일반적으로, 측정 데이터는 특정 계측 타겟으로부터 수집된다(예를 들어, DOE 스펙트럼). 광학 시스템의 정확한 모델, 분산 파리미터, 및 기하학적 피처가 공식화된다. 재료 분산을 결정하기 위하여 막 스펙트럼 측정치가 수집된다. 타겟 구조물의 파라메트릭 기하학적 모델이 광학 모델과 함께 생성된다. 또한 과도하게 큰 오차가 발생하는 것을 피하기 위하여 시뮬레이션 근사(예를 들어, 슬래빙(slabbing), RCWA(Rigorous Coupled Wave Analysis) 등)를 신중하게 수행해야 한다. 불연속 및 RCWA 파라미터가 정의된다. 일련의 시뮬레이션, 분석, 및 회귀가 수행되어 기하학 모델을 개선하고 어떤 모델 파라미터를 부동으로 할 것인지 결정한다. 합성 스펙트럼의 라이브러리가 생성된다. 마지막으로, 라이브러리와 기하학 모델을 사용하여 측정이 수행된다.
광 계측 프로세스는 웨이퍼의 결함을 검출하여 더 높은 수율을 촉진하고 디바이스 성능을 최적화하기 위해 반도체 제조 프로세스 중에 다양한 단계에서 사용된다. 설계 규칙 및 프로세스 윈도우는 크기가 계속 줄어듦에 따라, 특징화가 더 어려워진다. 또한 복잡한 구조물을 특징화하는데 필요한 파라미터의 수가 증가하면, 파라미터 상관관계를 증가시킨다. 결과적으로, 타겟을 특징화하는 파라미터는 종종 이용가능한 측정으로 신뢰성있게 분리될 수 없다.
기존 방법은 측정 대상 반도체 구조물을 포함하는 재료가, 광학적으로 등방성(즉, 방위각, 입사각, 전계 분극 등에 관계없이 재료 파라미터가 동일함)인 것으로 가정한다. 작은 피처 크기의 경우에, 이는 간단한 광학 임계 치수(OCD) 구조, 상이한 측정 설정의 조합 중에서 특히 불량한 스펙트럼 적합 품질, 부정확한 기하학적 프로파일, 기준 측정과의 큰 불일치(예를 들어, 투과 전자 현미경 검사(TEM), CD 스캐닝 전자 현미경 검사(CDSEM) 등), 낮은 중간 콘트라스트를 야기 때문에, 결과적으로 기하학적 파라미터 간의 상관관계가 높아진다. 이 문제는 J. Vac. Sci. Technol. B 31, 011803 (2013)에 게시된 H. Chouaib 및 Q. Zhou에 의한 “깊은 자외선 분광 엘립소메트리(deep ultraviolet spectroscopic ellipsometry)를 사용한 컨택트 홀의 나노스케일 광학 임계 치수 측정"이라는 제목의 기사에서 더 상세히 설명되어 있으며, 이것의 내용은 본 명세서에 참고로 통합된다.
이러한 과제에 대응하여, 보다 복잡한 광학 계측 툴이 개발되어 있다. 예를 들어, 다중 조명 각, 짧은 조명 파장, 더 넓은 범위의 조명 파장, 및 반사된 신호(예를 들어, 보다 일반적인 반사율 또는 엘립소메트릭 신호에 더한, 다중 뮬러 매트릭스 요소의 측정)로부터의 더 완전한 정보 취득을 위한 툴이 개발되어 있다.
그러나, 이러한 접근 방식은, 많은 진보적 타겟(예를 들어, 복잡한 3D 구조물, 10nm 보다 작은 구조물, 불투명한 재료를 사용하는 구조물) 및 측정 애플리케이션(예를 듣어, 라인 에지 조도(roughness) 및 선폭 조도 측정)의 측정과 연관된 근본적인 문제를 신뢰성 있게 극복하지 못하였다.
따라서, 반도체 제조 프로세스에서 구조물 및 재료를 특징화하기 위한 고 처리량 시스템 및 방법을 개발하는 것이 바람직할 것이다. 특히, 작은 피처 크기(예를 들어, 20 나노미터 미만)를 갖는 반도체 구조물의 인-라인 계측에 대한 강건하고, 신뢰성있고 안정한 접근 방법을 개발하는 것이 바람직할 것이다.
측정 대상 구조물을 포함하는 하나 이상의 재료의 광학 분산 특성(property)의 이방성 특징을 이용하여 소형의 반도체 구조물(예를 들어, CD 구조물, 오버레이 구조물, 박막 등)의 광학, 모델 기반 측정을 수행하는 방법 및 시스템이 본 명세서에 제시된다. 이는 기하학적 파라미터 간의 상관 관계를 줄여, 측정 감도가 향상되고 측정 정확도가 향상되며 측정 대상의 복수의 재료 사이의 측정 콘트라스트를 향상시킨다.
일부 실시예에서, 측정 대상 구조물은, 각각 상이한 재료로 제조된 2개 이상의 기하학적 피처를 포함한다. 이러한 실시예들 중 일부에서, 구조적 모델은 이들 상이한 재료 중 하나 이상 재료의 광학 분산 특성의 이방성 특징을 포함한다.
또 다른 양태에서, 모델 기반 측정은 측정 대상 재료의 광학 분산의 이방성 특성과 결합된 2이상의 측정 서브 시스템으로부터 수집된 측정 데이터에 기초하여 수행된다.
또 다른 양태에서, 모델 기반 측정은 측정 대상의 기하학적 구조의 주축과 정렬되도록 선택된 방위각에서 수행된다. 이 가정은 한 방향의 주기성으로 패턴화된 피처를 갖는 적층 구조물의 측정을 수행할 때 유리할 수 있다. 이들 실시예에서, 측정 대상 구조물의 재료 특성은 각각의 측정과 연관된 방위각에 따라 변한다.
또 다른 추가의 양태에서, 관심 대상의 하나 이상의 파라미터의 값의 초기 추정치는, 측정 타겟에 대한 입사 조명 빔의 단일 배향으로 수행된 광학 측정치에 기초하여 결정된다. 초기 추정 값은 복수의 배향에서의 광학 측정으로부터 수집된 측정 데이터를 갖는 측정 모델의 회귀에 대한 관심 대상의 파라미터의 시작 값으로 구현된다. 이러한 방식으로, 비교적 적은 양의 계산 노력으로 관심 대상의 파라미터의 근사 추정치가 결정되고, 훨씬 더 큰 데이터 세트에 걸친 회귀에 대한 시작점으로서 이러한 근사 추정치를 구현함으로써, 관심 대상의 파라미터의 엄밀한 추정치가 전체적인 계산 노력이 적어진 상태로 획득된다.
일 실시예에서, 각각의 측정 채널(즉, 서브 시스템)의 광학 분산 파라미터의 초기 값은 측정 대상의 동일한 재료의 필름 타겟으로부터 결정된 벌크 파라미터 값 또는 분산 파라미터 값으로 도입된다.
또 다른 양태에서, 유전체 유전율 행렬의 비대각 요소가 0 값인 것으로 가정되는 모델 기반 측정이 수행된다. 이는 전자기적 모델 빌딩(building) 및 피팅 분석을 매우 크게 단순화한다.
또 다른 양태에서, 반도체 구조물의 광학 모델 기반 측정은 구조물의 기하학적 구조에 의존하는 측정 대상 구조물을 포함하는 하나 이상의 재료의 광학 분산의 특징을 통합한다.
추가의 양태에서, 하부의 기하학적 파라미터의 추정된 값이 반복적으로 업데이트됨에 따라, 회귀 동안에 광학 분산 파라미터가 변경된다. 일 예에서, 플로팅 재료 파라미터는 양자 효과를 특징짓는 광학 응답 모델을 사용하여 관심 대상의 구조 파라미터를 모니터링하는데 사용된다. 이러한 의미에서, 기하학적 파라미터에 의해 부분적으로 특징화된 재료 파라미터 값의 추정은, 기하학적 파라미터에 대한 측정 감도를 향상시킨다.
추가의 양태에서, 유전체 유전율을 설명하는 다차원 텐서의 하나 이상의 요소가 다르게 모델링된다. 예를 들어, 다차원 텐서의 다른 요소 εij(λ, g)에 대해 다른 다중 발진기 모델을 사용할 수 있다. 모델의 각각 다른 모델과 연관된 발진기 상수는 구조 지오메트리에 의존한다.
일부 실시예들에서, 관심 대상의 기하학적 파라미터는 등방성 모델에 기초하여 추정 된 분산 파라미터 값들과 광학 분산의 이방성 모델에 기초하여 추정 된 동일한 분산 파라미터 값들 사이의 차이에 기초하여 추정된다.
추가의 양태에서, 특정 측정 애플리케이션과 연관된 측정 레시피는, 광학 분산의 이방성 모델의 플로팅 파라미터들 중에서 가장 높은 감도 및 가장 낮은 상관을 위해 최적화된다.
또 다른 추가의 양태에서, 디바이스 성능은 추정된 관심 대상의 파라미터에 적어도 부분적으로 기초하여 반도체 웨이퍼의 제조 프로세스를 제어함으로써 개선된다.
또 다른 추가의 양태에서, 측정 대상 구조물의 상이한 피처와 연관된 관심 대상의 파라미터의 개별적인 추정치는, 동일한 스펙트럼 응답 데이터에 기초하여 만들어진다. 예를 들어, 측정 대상 웨이퍼는 복수의 층 및 구조적 피처를 포함할 수 있다. 측정된 스펙트럼 응답 데이터는 이들 층들 및 피처들 모두로부터의 기여도를 포함한다. 이러한 층 및 피처 각각의 기여도를 캡처하는 측정 모델을 사용하여, 분석 대상의 각각 다른 물리적 층 또는 피처와 연관된 관심 대상의 파라미터를 개별적으로 결정할 수 있다.
전술한 내용은 개요이므로, 필요에 따라 단순화, 일반화 및 세부 사항의 생략을 포함하며, 결론적으로, 당업자는 개요가 단지 예시적인 것이며 임의의 방식으로 제한하지 않음을 인식할 것이다. 본 명세서에 기재된 디바이스 및/또는 프로세스의 다른 양태, 창의적 피처 및 이점은 본 명세서에 기재된 비제한적인 상세한 설명에서 명백해질 것이다.
도 1은 본 발명의 적어도 하나의 실시예에 따라, 반도체 웨이퍼 상에 제조된 구조물의 스펙트럼 응답을 측정하기 위한 시스템(100)을 예시하는 개략도이다.
도 2는 도 1에 도시된 시스템(100)의 컴퓨팅 시스템(116)에 의해 구현되는 예시적인 모델 빌딩 및 분석 엔진(140)을 예시하는 도면이다.
도 3은 예를 들어 시스템(100)에 의한 측정 대상의 예시적인 구조물(150)을 예시하는 도면이다.
도 4는 예를 들어 시스템(100)에 의한 측정 대상의 다른 예시적인 구조물(155)을 예시하는 도면이다.
도 5는 조명 파장의 범위에 걸쳐 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 실수 성분 n의 값의 플롯(160)을 도시한다.
도 6은 조명 파장의 범위에 걸쳐 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 허수 성분 k의 값의 플롯(165)을 도시한다.
도 7a-7c는 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(170)의 상이한 도면을 예시하는 도면이다.
도 8a-8d는 유전체 유전율의 2개의 상이한 특징을 갖는 2개의 상이한 방위각에서의 구조물(170)의 측정과 연관된 측정 데이터의 분석에 기초하여 추정된 관심 대상의 상이한 파라미터의 값을 각각 도시한다.
도 9는 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(190)을 예시하는 도면이다.
도 10은 조명 파장의 범위에 걸쳐 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 실수 성분 n의 값의 플롯을 도시한다.
도 11은 조명 파장의 범위에 걸쳐 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 허수 성분 k의 값의 플롯을 도시한다.
도 12a는 측정 대상 재료의 유전체 유전율의 이방성 및 등방성 특징에 대한 제1 방위각 및 제2 방위각에서의 측정 데이터의 분석에 기초하여 추정된 CD 파라미터의 값 사이의 차이의 플롯을 도시한다.
도 12b는 측정 대상 재료의 유전체 유전율의 이방성 및 등방성 특징에 대한 제1 방위각 및 제2 방위각에서의 측정 데이터의 분석에 기초하여 추정된 높이 파라미터의 값 사이의 차이의 플롯을 도시한다.
도 13은 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(220)을 예시하는 도면이다.
도 14는 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(230)을 예하는 도면이다.
도 15는 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(240)의 도면이다. 이 예에서, 20 나노미터 미만의 임계 치수를 갖는 실리콘 핀 구조물(241)이 측정된다.
도 16은 핀 구조물의 상이한 CD 값에 대한 로렌츠 모델의 제1 발진기와 연관된 공진 에너지 E1의 값의 플롯을 도시한다.
도 17은 핀 구조물(241)의 상이한 CD 값에 대한 로렌츠 모델의 제2 발진기와 연관된 공진 에너지 E2의 값의 플롯을 도시한다.
도 18은 적어도 하나의 새로운 양태에서의 본 발명의 시스템(100)에 의해 구현하기에 적합한 프로세스 흐름(300)을 도시한다.
이제 본 발명의 배경 예 및 일부 실시예가 상세히 참조될 것이며, 본 발명의 예는 첨부 도면에 도시된다.
측정 대상 구조물을 포함하는 하나 이상의 재료의 광학 분산 특성의 이방성 특징을 이용하여 소형의 반도체 구조물(예를 들어, CD 구조물, 오버레이 구조물, 박막 등)의 광학, 모델 기반 측정을 수행하는 방법 및 시스템이 본 명세서에 제시된다. 이는 기하학적 파라미터 간의 상관관계를 줄여, 측정 감도를 향상시키고 측정 정확도를 향상시키며 측정 대상의 복수의 재료 사이의 측정 콘트라스트를 향상시킨다.
일부 실시예에서, 반도체 구조물의 광학 모델 기반 측정은, 유전체 유전율의 이방성 특징 또는 측정 대상 구조물을 포함하는 하나 이상의 재료의 복소 굴절률을 통합한다.
일반적으로, 전기 변위 필드(D)는, 식 (1)에서 설명되는 바와 같이 유전체 유전율 ε에 의해 입사 전계 E와 관련되며, 여기서 ε0는 진공 유전율이다.
Figure pct00001
(1)
종래에는, 측정 대상의 반도체 구조물을 포함하는 재료는, 등방성(isotropic)으로 취급된다(즉, 입사광에 대한 재료의 응답은 방향에 관계없이 동일함). 따라서, 유전체 유전율은 스칼라 함수 ε(λ)로 취급된다. 그러나, 유전체 함수의 방향에 대한 의존성을 설명하기 위해, 유전체 함수는 다차원 텐서(tensor)로서 취급된다. 일부 실시예들에서, 유전체 함수는 행렬
Figure pct00002
로서 취급된다. 예를 들어, 3개의 주요, 직교 방향 x, y, 및 z로 특징지워지는 3차원 공간에서, D-필드의 x, y, 및 z 성분은, 식 (2)에서 설명한 바와 같이 유전체 유전율 행렬
Figure pct00003
을 통해 E-필드의 x, y, 및 z 성분과 관련된다.
Figure pct00004
(2)
이 실시예에서, 광학 분산의 이방성 특징은 3개의 주요 방향의 각각과 연관된 광학 분산 파라미터 εij를 포함하고, 3개의 주요 방향 중 적어도 하나와 연관된 광학 분산 파라미터의 값은 다른 것들과는 다르다. 일 예에서, εzz의 값은 εxx 및 εyy의 값과 다르다. 복소 굴절률 n 및 k는 식 (3)에 의한 유전체 유전율과 관련된다.
Figure pct00005
(3)
이러한 방식으로, 유전율 행렬의 각 요소는, 분산 파라미터 n 및 k에 관하여 표현될 수 있고, 그 반대도 가능하다.
일반적으로, 본 명세서에 기술된 바와 같은 이방성 광학 분산 모델은 임의의 유용한 광학 분산 메트릭을 특징화할 수 있다. 예를 들어, 이방성 광학 분산 모델은 복소 굴절률의 실수 (n) 및 허수 (k) 성분에 의해 특징화될 수 있다. 다른 예에서, 이방성 광학 분산 모델은 복소 유전체 유전율의 실수 (ε1) 및 허수 (ε2) 성분에 의해 특징화될 수 있다. 다른 예에서, 이방성 광학 분산 모델은 ε2의 제곱근, 흡수 상수
Figure pct00006
. 전도율(
Figure pct00007
), 표피 깊이(δ) 및 감쇠 상수
Figure pct00008
중 임의 것에 의해 특징화될 수 있으며, 여기서 μ는 자유 공간 투자율이다. 다른 예들에서, 광학 분산 모델은 전술한 광학 분산 메트릭들의 임의의 조합에 의해 기술될 수 있다. 전술한 광학 분산 메트릭은 비제한적인 예로서 제공된다. 다른 광학 분산 메트릭 또는 메트릭들의 조합이 고려될 수 있다.
도 1은 본 발명의 적어도 하나의 실시예에 따라, 반도체 웨이퍼 상에 제조된 구조물의 스펙트럼 응답을 측정하기 위한 시스템(100)을 도시한다. 도 1에 도시 된 바와 같이, 도 1에 도시된 바와 같이, 시스템(100)은 변환 스테이지(110) 상에 배치된 반도체 웨이퍼(112)의 하나 이상의 구조물(114) 상에서 분광 엘립소메트리를 수행하는데 사용될 수 있다. 이 양태에서, 시스템(100)은 조명기(102) 및 분광기(104)가 구비된 분광 엘립소미터를 포함할 수 있다. 시스템(100)의 조명기(102)는 반도체 웨이퍼(112)의 표면 상에 배치된 구조물(114)에 선택된 파장 범위(예를 들어, 150-2500 nm)의 조명을 생성 및 지향시키도록 구성된다. 차례로, 분광기(104)는 구조물(114)에 전달된 조명에 응답하여 반도체 웨이퍼(112)의 표면으로부터 빛을 수용하도록 구성된다. 조명기(102)로부터 나오는 광은 편광된 조명 빔(106)을 생성하기 위해 편광기(107)를 사용하여 편광되는 것이 또한 주목된다. 웨이퍼(112) 상에 배치된 구조물(114)에 의해 반사된 방사선은 분석기(109) 및 분광기(104)를 통과한다. 이와 관련하여, 수집 빔(108)에서 분광기(104)에 의해 수신된 방사선은 조명 빔(106)의 입사 방사선과 비교되고, 구조물(114)의 스펙트럼 분석이 가능해진다.
추가 실시예에서, 시스템(100)은 하나 이상의 컴퓨팅 시스템(116)을 포함할 수 있다. 하나 이상의 컴퓨팅 시스템(116)은 분광기(104)에 통신 가능하게 연결될 수 있다. 일 양태에서, 하나 이상의 컴퓨팅 시스템(116)은 하나 이상의 웨이퍼 상의 분광기(104)에 의해 수행되는 일 세트의 스펙트럼 측정치를 수신하도록 구성될 수 있다. 분광기로부터의 하나 이상의 샘플링 프로세스의 결과를 수신하면, 하나 이상의 컴퓨팅 시스템(116)은 구조물(114)의 분광 측정을 나타내는 측정 모델과 연관된 구조 파라미터들의 값을 계산할 수 있다. 일 예에서, 컴퓨팅 시스템(116)은 분광기(104)로부터 획득된 스펙트럼을 갖는 측정 모델의 회귀에 기초하여 구조물(114)의 피쳐의 임계 치수(CD)의 값을 추출할 수 있다.
또 다른 실시예에서, 컴퓨팅 시스템(116)은 하나 이상의 구조 파라미터의 결정된 값에 적어도 부분적으로 기초하여 반도체 웨이퍼의 제조 프로세스를 제어할 수 있다. 예를 들어, 컴퓨팅 시스템(116)은 측정되는 반도체 웨이퍼의 제조를 담당하는 하나 이상의 제조 툴(예를 들어, 리소그래피 툴, 에칭 툴 등)에 프로세스 제어 파라미터 값을 전달하도록 구성될 수 있다.
본 개시 내용 전체에 걸쳐 기술된 다양한 단계들은, 단일 컴퓨터 시스템(116) 또는 대안 적으로 복수의 컴퓨터 시스템(116)에 의해 수행될 수 있다는 것을 인식하여야 한다. 또한, 분광 엘립소메터(101)와 같은 시스템(100)의 상이한 서브시스템은 전술한 단계들의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 그러므로, 위의 설명은 본 발명에 대한 제한으로서 해석되어서는 안되며, 단지 예시일 뿐이다. 또한, 하나 이상의 컴퓨팅 시스템(116)은 본 명세서에 기술된 방법 실시예들 중 임의의 방법 실시예의 다른 단계(들)을 수행하도록 구성될 수 있다.
다른 실시예에서, 컴퓨터 시스템(116)은 당해 분야에 공지된 임의의 방식으로 엘립소미터(101)의 분광기(104) 또는 조명기 서브 시스템(102)에 통신 가능하게 연결될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(116)은 엘립소미터(101)의 분광기(104)의 컴퓨팅 시스템 및 조명기 서브시스템(102)의 컴퓨팅 시스템에 연결될 수 있다. 다른 예에서, 분광기(104) 및 조명기(102)는 단일 컴퓨터 시스템에 의해 제어될 수 있다. 이러한 방식으로, 시스템(100)의 컴퓨터 시스템(116)은 단일 엘립소미터 컴퓨터 시스템에 연결될 수 있다.
시스템(100)의 컴퓨터 시스템(116)은, 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템(예를 들어, 분광기(104), 조명기(102) 등)으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(116)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수 있다. 또한, 컴퓨팅 시스템(116)은 저장 매체(즉, 메모리)를 통해 스펙트럼 결과를 수신하도록 구성될 수 있다. 예를 들어, 엘립소미터의 분광기를 사용하여 얻어진 스펙트럼 결과는 영구적 또는 반영구적 메모리 디바이스에 저장될 수 있다. 이 점에 있어서, 스펙트럼 결과는 외부 시스템에서 가져올 수 있다.
또한, 컴퓨터 시스템(116)은 전송 매체를 통해 외부 시스템에 데이터를 전송할 수 있다. 또한, 시스템(100)의 컴퓨터 시스템(116)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들어, 검사 시스템으로부터의 검사 결과 또는 계측 시스템으로부터의 계측 결과)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(116)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 기능할 수 있다. 또한, 컴퓨터 시스템(116)은 전송 매체를 통해 외부 시스템에 데이터를 전송할 수 있다.
컴퓨팅 시스템(116)은 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당해 분야에 공지된 임의의 다른 디바이스를 포함할 수 있지만, 이에 한정되지는 않는다. 일반적으로, "컴퓨팅 시스템"이라는 용어는 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하도록 광범위하게 정의될 수 있다.
본 명세서에 설명된 것과 같은 방법을 구현하는 프로그램 명령어(120)는 캐리어 매체(118)를 통해 전송되거나 캐리어 매체(118)에 저장될 수 있다. 캐리어 매체는 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체일 수 있다. 캐리어 매체는 또한 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프와 같은 컴퓨터 판독 가능한 매체를 포함할 수 있다.
도 1에 도시된 시스템(100)의 실시예는 본 명세서에 설명된 바와 같이 추가로 구성될 수 있다. 또한, 시스템(100)은 본 명세서에 설명된 방법 실시예(들) 중 임의의 실시예의 다른 단계(들)을 수행하도록 구성될 수 있다.
도 2는 컴퓨팅 시스템(116)에 의해 구현되는 예시적인 모델 빌딩(building) 및 분석 엔진(140)을 나타내는 도면이다. 도 2에 도시된 바와 같이, 모델 빌딩 및 분석 엔진(140)은 측정 대상 시료의 측정된 구조물의 구조 모델(142)을 생성하는 구조적 모델 빌딩 모듈(141)을 포함한다. 일부 실시예에서, 구조적 모델 빌딩 모듈(141)은 또한 구조적 모델(142)을 생성하기 위한 프로세스 모델을 통합한다.
일 양태에서, 구조 모델(142)은 시료의 이방성 재료 특성을 포함한다. 일부 실시예에서, 측정 대상 구조물은 각각 상이한 재료로 제조된 2개 이상의 기하학적 피처를 포함한다. 이들 실시예의 일부에서, 구조 모델은 이러한 하나 이상의 상이한 재료의 광학 분산 특성의 이방성 특징을 포함한다. 일부 실시예에서, 구조 모델 빌딩 모듈(141)은, 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor Corporation에 의해 제조된 AcuShape 소프트웨어 제품으로 구현된다. 일 예에서, AcuShape 소프트웨어는, 함께 결합되고, 측정 대상 구조물을 시뮬레이팅하도록 파라미터화된 일 세트의 기하학적 피처(예를 들어, 1D 층, 2D 사다리꼴, 3D 포스트 등)를 포함한다. 또한, AcuShape 소프트웨어는, 사용자가 모델링된 구조적 피처들 중 임의의 것에 이방성 재료 거동을 할당할 수 있는 일 세트의 이방성 빌딩 블록을 포함한다. 일 예에서, AcuShape 소프트웨어는 측정 대상의 모델링된 구조물의 각 기하학적 피처에 대한 각각의 주 방향에서 다른 n(λ) 및 k(λ) 값을 할당하기 위한 옵션을 사용자에게 제공한다. 이러한 방식으로, 사용자는 이방성 분산 특성으로 특징화될 재료(즉, 모델링된 구조물의 어느 부분)를 선택할 수 있다.
구조 모델(142)은 광학 응답 함수 빌딩 모듈(143)에 대한 입력으로서 수신된다. 광학 응답 함수 빌딩 모듈(143)은 구조 모델(142)에 적어도 부분적으로 기초하여 측정 모델(145)을 생성한다. 일 양태에서, 광학 응답 함수 빌딩 모듈(143)은 본 명세서에 설명된 바와 같이 측정 모델(145)을 생성하기 위해 측정 대상 구조물의 광학 분산 특성의 이방성 특징을 이용한다.
일부 실시예에서, 광학 응답 함수 빌딩 모듈(143)은, 엄밀한 결합 파 분석(RCWA), 유한 요소법(FEM), 모멘트 법, 표면 적분 법, 체적 적분 법, 유한 차분 법, 시간 영역(FDTD) 법 등과 같은 알고리즘을 사용하는 전자기 해석기(electro-magnetic solver)를 사용하여, 입사 빔과 측정 대상 구조물의 광학적 상호 작용을 특징화한다. 일부 실시예에서, 본 명세서에 기술된 광학 분산 모델은 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor Corporation으로부터 입수가능한 오프라인 스펙트럼 분석(OLSA) 독립형 소프트웨어의 막 두께 측정 라이브러리(FTML)에서 구현된다.
측정 모델(145)은 피팅 분석 모듈(147)에 대한 입력으로서 수신된다. 피팅 분석 모듈(147)은 모델링된 광학 응답을 대응하는 측정 데이터(135)와 비교하여, 주어진 세트의 측정 시스템 파라미터 값(138)(예를 들어, 입사각, 방위각, 조명 편광, 전기장 배향 등)에 대한 시료의 재료 특성뿐만 아니라 기하학적 특성을 결정한다.
피팅 분석 모듈(147)은 임의의 수의 상이한 데이터 피팅 및 최적화 기술에 의해 측정된 스펙트럼 데이터를 분석한다. 비제한적인 예로서, 피팅 분석 모듈(147)은 라이브러리 매칭 기술, 고속 축소 모델링 기술, 회귀, 신경 네트워크와 같은 머신 학습 알고리즘, SVM(support-vector machine); ICA(Independent Component Analysis), LLE(Local-Linear Embedding)와 같은 치수 감소 알고리즘(dimensionality-reduction algorithm; PCA); 푸리에(Fourier) 또는 웨이브릿 변환 기술과 같은 희소 표현 기술, 칼만 필터링(Kalman filtering), 동일하거나 다른 툴 유형에 걸친 매칭을 촉진하는 알고리즘 등을 구현할 수 있다. 스펙트럼 측정 데이터의 피팅은, 관심 대상의 기하학적 및/또는 재료 파라미터에 감도를 제공하는 임의의 유형의 광학 기술에 유리하다. 시료와의 광학 빔 상호작용을 기술하는 적절한 모델이 사용되는 한, 시료 파라미터는 결정론적(예를 들어, CD, SWA 등)이거나 통계적(예를 들어, 측벽 조도(roughness)의 rms 높이, 조도 상관 길이 등)일 수 있다.
일부 예에서, 모델링된 데이터를 실험 데이터에 맞추는 것은, 카이 제곱(chi-squared) 값을 최소화하여 달성된다. 예를 들어, 광학 측정의 경우, 카이 제곱 값은 다음과 같이 정의될 수 있다.
Figure pct00009
(4)
여기서,
Figure pct00010
는 "채널" j에서 측정된 광 신호(135)이며, 여기서 인덱스 j는 입사각, 방위각, 조명 편광 등과 같은 일 세트의 시스템 파라미터를 기술한다.
Figure pct00011
는 일 세트의 구조(타겟) 파라미터 v1, ..., vL에 대해 평가된 "채널" j에 대한 모델링된 광 신호 Sj이며, 여기서 이 파라미터는 기하학적(CD, 측벽 각도, 오버레이 등) 및 재료(굴절률 등) 특성을 기술한다.
Figure pct00012
는 j번째 채널과 연관된 불확실성이다. Nopt는 광학 계측에서의 총 채널 수이다. L은 계측 타겟을 특징화하는 파라미터의 수이다.
식 (4)는 다른 채널과 연관된 불확실성이 상관관계가 없다고 가정한다. 다른 채널과 연관된 불확실성이 상관되는 예에서는, 불확실성 사이의 공분산이 계산될 수 있다. 이 예에서 광학 측정을 위한 카이 제곱 값은 다음과 같이 표현될 수 있다.
Figure pct00013
여기서, Vopt는 광 채널 불확실성의 공분산 행렬이고, T는 전치(traspose)를 나타낸다.
일부 예에서, 피팅 분석 모듈(147)은 측정 모델(145)과 함께 광학 측정 데이터(135)에 대한 피팅 분석을 수행함으로써 적어도 하나의 시료 파라미터 값을 분석한다. 일부 예에서는,
Figure pct00014
가 최적화되어 있다. 하나의 예에서, 선택된 스펙트럼 범위에 걸친 유전체 유전율의 실수(ε1) 및 허수(ε2) 성분의 광학 분산 모델의 파라미터 값은, 회귀 프로세스를 이용하여 결정된다. 이와 관련하여, 회귀 분석은 선택된 이방성 분산 모델을 사용하여 측정된 스펙트럼 데이터에 적용될 수 있다.
전술한 바와 같이, 광학 데이터의 피팅은 카이 제곱 값의 최소화에 의해 달성된다. 그러나, 일반적으로, 광학 데이터의 피팅은 다른 함수들에 의해 달성될 수 있다.
일부 예에서, 모델 빌딩 및 분석 엔진(140)은 피드 사이드웨이(sideway) 분석, 피드 포워드 분석, 및 병렬 분석의 임의의 조합에 의해 측정된 파라미터의 정확도를 개선시킨다. 피드 사이드웨이 분석은, 동일한 시료의 다른 영역에 복수의 데이터 세트를 가져와서 제1 데이터 세트로부터 결정된 공통 파라미터를 분석을 위한 제2 데이터 세트로 전달하는 것을 지칭한다. 피드 포워드 분석은 다른 시료에 데이터 세트를 가져와서, 단계적 복사의 정확한 파라미터 피드 포워드 접근 방법을 사용하여 공통 파라미터를 후속 분석을 위해 전달하는 것을 지칭한다. 병렬 분석은 피팅 중에 적어도 하나의 공통 파라미터가 결합된 복수의 데이터 세트에 비선형 피팅 방법론을 병렬 또는 동시 적용하는 것을 지칭한다.
복수의 툴 및 구조 분석은, 회귀, 룩업 테이블(look up table)(즉, "라이브러리" 매칭), 또는 복수의 데이터 세트의 다른 피팅 절차에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 지칭한다. 복수의 툴 및 구조 분석을 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에 2009년 1월 13일자로 발행된 미국 특허 제7,478,019호에 개시되어 있으며, 이것의 전체 내용은 본원에 참고로 통합된다. 피드 포워드 분석에 대한 추가 논의는 Proc. SPIE 8324, 마이크로리소그래피를 위한 계측, 검사, 및 프로세스 제어 XXVI, 83241H (2012년 3월 29일)에 공개된 L. Mihardja 등에 의한 명칭이 “향상된 광학 CD 및 막 계측을 위한 데이터 피드 포워드”이라는 기사에 설명되어 있으며, 이것의 내용은 그 전체가 본 명세서에 참조로 통합된다. 병렬 분석에 대한 추가 논의는, Proc. SPIE 8324, 마이크로리소그래피를 위한 계측, 검사, 및 프로세스 제어 XXVI, 832420 (2012년 3월 29일)에 공개된 Wei-Jhe Tzai 등의 의한 명칭이 "산란 측정 기술에 의해 진보적(advanced) 게이트 ADI 임계 치수 측정을 위한 복수의 타겟 적용" 이라는 기사에 설명되어 있으며, 이것의 내용은 그 전체가 본 명세서에 참조로 통합된다
일부 실시예들에서, 하나 이상의 광학 분산 파라미터(예를 들어, n(λ), k(λ) 등)는 피팅 분석 동안에 변수로서 취급된다. 이러한 방식으로, 분산 파라미터는 광학 전계 방향, 광 편광, 조명 입사각 및 조명 방위각에 따라 특정 측정에 대한 값을 변경하도록 허용된다. 따라서, 이방성 재료 응답은, 작은 크기의 피처를 갖는 구조물(예를 들어, 20 나노미터 미만의 피처 크기를 갖는 반도체 구조물)의 분광 측정 동안 효과적으로 캡처된다.
많은 새로운 세대의 반도체 구조물의 재료 치수가 너무 작아서, 양자 효과가 측정 정확도, 서브시스템 매칭 등에 크게 영향을 미치고 있다. 피처 크기가 드 브로이(de broglie) 파장 아래로 줄어들 때, 예기치 않은 광학 응답 거동이 나타난다. 이들 예에서, 측정 대상 나노 구조물을 포함하는 하나 이상의 재료는, 원소 레벨에서 유입되는 광학 조명에 대한 이방성 광학 응답을 나타낸다. 일부 예에서, 광학 분산 파라미터(예를 들어, 굴절률 n(λ) 및 소광 계수 k(λ))는 재료 치수(예를 들어, 두께, CD, SWA)에 의존한다. 일부 예에서, 재료 치수는 측정을 수행하기 위해 사용되는 측정 서브시스템에 의존한다.
종래에는, 벌크 분산 파라미터 값은 CD 구조의 측정을 위해 가정된다. 그러나, 본 발명자들은 측정 대상 재료의 벌크 측정으로부터 얻어진 분산 파라미터 값이 동일한 재료로 제조된 작은 크기의 피처의 광학 모델 기반 측정에서 사용에 더 이상 유효하지 않다는 것을 발견하였다. 이들 예에서, 작은 크기의 피처로 형성된 반도체 재료는 동일한 재료의 두꺼운 벌크 층과는 다른 방식으로 측정 광과 상호 작용한다. 이것은 금속 및 일부 얇은 반도체 피처(예를 들어, 폴리실리콘, 실리콘, 실리콘 게르마늄, 실리콘 카바이드 등)의 광학 측정에서 나타난다.
도 3은 예를 들어, 65 도의 입사각에서 동작하는 SE 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(150)을 도시한다. 구조물(150)는 기판(112)에 대해 수평으로(즉, x-y 평면으로) 배향된 텅스텐의 얇은 층을 갖는 매우 간단한 막 스택 계측 타겟이다. 이 예에서, 두께 T를 갖는 텅스텐(152)의 얇은 층은 상이한 재료의 층(151) 상에 제조된다. 광(154)은 조명 소스(102)에 의해 제공된 조명 광(153)에 응답하여 분광기(104)에 의해 수집된다.
도 4는 예를 들어, 65 도의 입사각에서 동작하는 측정 시스템(100)에 의한 측정 대상의 다른 예시적인 구조물(155)을 도시한다. 구조물(155)은 기판(112)에 대해 수직으로(즉, x-y 평면에 수직으로) 배향된 CD 구조물(157)을 갖는 CD 타겟이다. 이 예에서, 두께 T를 갖는 텅스텐 CD 구조물(157)은 상이한 재료 구조물(156)의 수 개의 층들 내에 제조된다. 광(159)은 조명 소스(102)에 의해 제공된 조명 광(158)에 응답하여 분광기(104)에 의해 수집된다.
도 5는 조명 파장의 범위에 걸쳐서 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 실수 성분 n의 값의 플롯(160)을 도시한다. 이 예에서, 복소 굴절률의 실수 및 허수 성분의 값은, 구조물(150)의 측정과 연관된 대응하는 측정 모델과 함께 측정된 스펙트럼의 회귀에서 플로팅된다. 이와 유사하게, 복소 굴절률의 실수 및 허수 성분의 값은 구조물(155)의 측정과 연관된 대응하는 측정 모델과 함께 측정된 스펙트럼의 회귀에서 플로팅된다. 플롯 라인(162)은 도 3에 도시된 구조물(150)의 측정과 연관된 n의 추정된 값을 도시한다. 플롯 라인(161)은 도 4에 도시된 구조물(155)의 측정과 연관된 n의 추정된 값을 도시한다.
이와 유사하게, 도 6은 조명 파장의 범위에 걸쳐서 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 허수 성분 k의 값의 플롯(165)을 도시한다. 플롯 라인(167)은 도 3에 도시된 구조물(150)의 측정과 연관된 k의 측정된 값을 도시한다. 플롯 라인(166)은 도 4에 도시된 구조물(155)의 측정과 연관된 k의 측정된 값을 도시한다.
종래에는, 측정된 n 및 k 값이 구조물(150 및 155) 양자에 대해 동일할 것으로 예상한다. 그러나, 이 예에서, 막 및 CD 구조물과 연관된 두께 T는 10 나노미터이고, 측정된 n 및 k 값은 크게 상이하다. 이 예는 분산 파라미터(즉, n 및 k)의 값이 주변 구조물에 대한 텅스텐 구조물의 배향 및 측정 대상 구조물의 치수에 매우 민감하다는 것을 예시한다. CD 구조물(155)에 있어서, 텅스텐 나노구조 내의 자유 전자는 인접한 계면에서의 주위 재료에 의해 영향을 받는다. 그 결과, CD 구조물(155)은 이방성 재료 응답 거동을 나타낸다.
막 구조물(150)의 분산은 우수한 스펙트럼 피팅(즉,
Figure pct00015
~ 10)으로 측정되었다. 그러나, 동일한 막 분산 모델(즉, 동일한 분산 파라미터 값)이 CD 구조물(155)의 측정을 위해 사용 된 경우, 스펙트럼 피팅은 비교적 낮았다(즉,
Figure pct00016
~ 15000). 이는 막 적층 구조물(150)과 연관된 텅스텐 막 분산이, CD 구조물(155)와 같은 작은 선폭 구조물에 대해 유효하지 않음을 나타낸다. 또한 텅스텐의 광학 특성이, 10 나노미터의 텅스텐 선폭 T에서 크게 변화함을 나타낸다.
이 예에서, 상이한 재료 분산 모델은 n 및 k 값을 플로팅시킴으로써 CD 구조물(155)에 대해 개발되었다. 플롯 라인(161 및 166)은 새로운 모델에 대한 측정 피팅의 결과를 나타낸다. 이 예에서, 스펙트럼 적합성은 상당히 양호했다(즉,
Figure pct00017
< 100); 종래의 모델링 접근 방식에 비해 아주 큰 개선이 있었다. 도 5 및 도 6에 도시된 바와 같이, 소광 계수 k는 텅스텐이 제한되고 굴절률 n이 또한 증가될 때 강화된다.
추가의 양태에서, 모델 기반 측정은, 측정 대상 재료의 광학 분산의 이방성 특징과 결합 된 2개 이상의 측정 서브 시스템으로부터 수집된 측정 데이터에 기초하여 수행된다. 복수의 측정 서브 시스템에 의해 수집된 측정 데이터를 기초하여 수행된 나노스케일 피처의 측정은, 하부 재료가 이방성 유전체 함수에 의해 특징화될 때 더욱 정확하다. 이러한 예에서, 측정 대상 구조물의 이방성 재료 특징은, 임의의 수의 상이한 측정 시스템 파라미터 또는 이들의 조합에서 데이터를 수집하는 임의의 수의 상이한 측정 시스템에 따라 변한다. 이 특허 문헌의 목적을 위해, 측정 서브 시스템은 특정 세트의 측정 시스템 파라미터에서 측정을 수행하도록 구성된 특정 측정 시스템을 기술한다. 따라서 측정 시스템에서의 변경 또는 측정 시스템 파라미터 값에서의 변경으로 인해 다른 측정 서브 시스템이 생성된다. 일부 예에서, 측정 대상 구조물의 이방성 재료 특징은, 입사각, 조명 편광, 광학 전계 배향 등 중 임의의 것을 변경함으로써 상이한 측정 서브 시스템들 사이에서 변한다.
일반적으로, 파라미터 감도를 최적화하고, 측정 정밀도를 증가시키며, 다른 파라미터와의 상관관계를 최소화하기 위해, 복수의 측정 채널(즉, 서브 시스템)로부터 수집된 측정 데이터에 기초하여 많은 모델 기반 측정이 수행된다. SE 측정 시스템을 사용하는 한 가지 장점은, 넓은 범위의 측정 서브 시스템을 잉용할 수 있다는 것이다. 예를 들어, SE 시스템은 광범위한 입사각(AOI), 분석기 각도(AA), 방위각(AZ), 및 파장을 포함할 수 있다. 일 예에서, 방위각은 측정 감도 및 정밀도에 큰 영향을 미칠 수 있다. 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor Corporation에 의해 제조된 SpectraShapeTM, ShelbyTM, 및 VikingTM 시스템과 같은 분광 측정 시스템은, 넓은 범위의 구조물의 포괄적이고 신속한 특징 분석을 가능하게 하는 다양한 종류의 광학 기술을 제공한다.
종래에는, 문제시되는 계측 타겟에 있어서, 상이한 서브 시스템들로부터 수집된 측정 데이터들 사이의 높은 파라미터 상관관계는, 지속적인 문제이다. 상이한 서브 시스템들 사이의 높은 파라미터 상관관계는, 실질적으로 복수의 측정 채널들이 특정 측정 모델과 연관된 관심 대상의 파라미터의 값에 동의하지 않는다는 것을 의미한다. 그러나, 측정 대상 재료의 광학 분산의 이방성 특성과 결합된 2개 이상의 측정 서브 시스템으로부터 수집된 측정 데이터에 기초한 모델 기반 측정을 수행함으로써, 파라미터 상관관계가 감소된다.
일부 실시예에서, 모델 기반 측정은, 측정 대상 재료의 광학 분산의 이방성 특징과 결합된 2개 이상의 방위각으로부터 수집된 측정 데이터에 기초하여 수행된다.
도 7a는 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(170)의 도면을 도시한다. 이 예에서, 구조물(170)은 STI 구조물(260)의 상부에 제조된 실리콘 얕은 트렌치 절연(STI) 구조물(260) 및 실리콘 질화물 핀 구조(261)을 포함한다.
도 7b는 도 7a-7c에 도시된 y-z 평면을 가로지르는 구조물(170)의 단면도를 도시한다. 도 7b에 도시된 바와 같이, 구조물(170)은, 트렌치 깊이(D), 트렌치의 상부 임계 치수(TCD), 및 실리콘 질화물 핀 구조물의 높이(H)에 의해 파라미터화된다. 이 예에서, 측정 시스템(100)은 2개의 상이한 방위각(즉, 2 개의 상이한 측정 서브 시스템)에서 구조물(170)을 측정한다. 도 7b는 도 7a-7c에 도시된 y-z 평면과 정렬된 배향으로 조명 소스(102)에 의해 제공된 조명 광(172)에 응답하여 분광기(104)에 의해 수집된 광(171)을 도시한다. 이 각도에서, 조명 광(172)은 구조물(170)의 핀 구조의 주기성의 방향에 평행하게(즉, y 방향에 평행하게) 배향된다.
도 7c는 도 7a-7c에 도시된 x-z 평면을 가로지르는 구조물(170)의 단면도를 도시한다. 도 7c는 도 7a-7c에 도시된 x-z 평면과 정렬되는 배향으로 조명 소스(102)에 의해 제공된 조명 광(174)에 응답하여 분광기(104)에 의해 수집된 광(173)을 도시한다. 이 각도에서, 조명 광(172)은 구조물(170)의 핀 구조의 주기성의 방향에 수직(즉, y 방향에 수직)으로 배향된다.
관심 대상의 파라미터(즉, H, D, TCD, 및 MCD 오프셋)의 값은, 유전체 유전율의 2개의 상이한 특징을 갖는 2개의 상이한 방위각에서의 구조물(170)의 측정과 연관된 측정 데이터의 분석에 기초하여 추정된다. 또한, 도 8a-8d는 이들 분석의 결과를 도시한다.
도 8a는 제1 방위각(즉, 주기성의 방향에 평행함)에서의 측정 데이터의 분석 그리고 제2 방위각(즉, 주기성의 방향에 수직함)에서의 측정 데이터의 분석에 기초하여 추정된 높이 파라미터 H의 값들 사이의 차이의 플롯(175)을 도시한다. 플롯 라인(176)은 측정 대상 재료의 유전체 유전율의 등방성 모델이 이용될 때의 차이 값을 도시한다. 플롯 라인(177)은 측정 대상 재료의 유전체 유전율의 이방성 특징을 이용될 때의 차이 값을 도시한다.
도 8b는 제1 방위각 및 제2 방위각에서의 측정 데이터의 분석에 기초하여 추정된 트렌치 깊이 파라미터 D의 값들 사이의 차이의 플롯(178)을 도시한다. 플롯 라인(179)은 측정 대상 재료의 유전체 유전율의 등방성 모델이 이용될 때의 차이 값을 도시한다. 플롯 라인(180)은 측정 대상 재료의 유전체 유전율의 이방성 특징이 이용될 때의 차이 값을 도시한다.
도 8c는 제1 방위각 및 제2 방위각에서의 측정 데이터의 분석에 기초하여 추정된 상부 CD 파라미터, TCD의 값들 사이의 차이의 플롯(181)을 도시한다. 플롯 라인(182)은 측정 대상 재료의 유전체 유전율의 등방성 모델이 이용될 때의 차이 값을 도시한다. 플롯 라인(183)은 측정 대상 재료의 유전체 유전율의 이방성 특징이 이용될 때의 차이 값을 도시한다.
도 8d는 제1 방위각 및 제2 방위각에서의 측정 데이터의 분석에 기초하여 추정된 MCD 오프셋 파라미터(즉, 피치 워크)의 값 사이의 차이를 나타내는 플롯(184)을 도시한다. 플롯 라인(185)은 측정 대상 재료의 유전체 유전율의 등방성 모델이 사용될 때의 차이 값을 도시한다. 플롯 라인(186)은 측정 대상 재료의 유전체 유전율의 이방성 특징이 이용될 때의 차이 값을 도시한다.
도 8a 내지 도 8d에 도시된 바와 같이, 등방성 모델이 사용되는 경우, 2개의 상이한 측정 서브 시스템들 (즉, 2개의 상이한 방위각들) 사이가 불량하게 일치한다. 이는 이러한 특정 예에서 x 방향을 따른 실리콘 MCD는 매우 길지만, y 방향을 따른 실리콘 MCD는 14 나노미터 미만이기 때문에 이해될 수 있다. 양자 및 계면 효과가 모두 20 나노미터 아래에서 중요하기 때문에, 이방성 재료 모델이 유리할 수 있다. 플롯 라인(177, 180, 183, 및 186)에 도시된 측정 예에서, 분산 파라미터 n 및 k는 양쪽 측정 서브 시스템과 연관된 측정 데이터의 분석에서 플로팅된다. 도 8a-8d에 도시된 바와 같이, 이방성 모델이 이용될 때, 2개의 상이한 측정 서브 시스템 사이가 우수하게 일치된다.
도 9는 예를 들어 측정 시스템(100)에 의해 측정 대상의 예시적인 구조물(190)을 도시한다. 이 예에서, 구조물(190)은 실리콘 기판(191) 상에 배치된 실리콘 이산화물(192)에 의해 둘러싸인 텅스텐 격자 구조물(193)을 포함한다. 텅스텐 격자 CD는 대략 10 나노미터이고, 텅스텐 격자의 높이는 대략 30 나노미터이다.
이 예에서, 분산 파라미터 n과 k는, 2개의 상이한 측정 서브 시스템에 대해 플로팅된다. 2개의 측정 서브 시스템은 2개의 직교 방위각(예를 들어, Az = 0도 및 Az = 90도)이다. 두 측정 서브 시스템에 대한 분산 파라미터 값의 회귀와 연관된 시드 값은, 텅스텐 막 타겟(예를 들어, 도 3에 도시된 구조물(150))의 측정된 값으로 설정되어 있다. 회귀는 두 측정 서브 시스템 모두에 대해 n 및 k에 대한 최적화된 값에 도달하도록, 도 2에 도시된 피팅 분석 모듈(147)에 의해 수행되었다. 분산 파라미터(즉, 등방성 재료 모델)의 최적화없이, 스펙트럼 피팅은 극히 열악하였다(즉,
Figure pct00018
~ 15000). 그러나, 각각의 측정 서브 시스템(즉, 이방성 재료 모델)과 연관된 분산 파라미터 각각의 최적화 이후에, 스펙트럼 피팅은 매우 양호하였다(즉,
Figure pct00019
~ 10).
도 10은 조명 파장의 범위에 걸쳐 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 실수 성분(n)의 값의 플롯(194)을 도시한다. 플롯 라인(197)은 텅스텐 막 타겟(예를 들어, 도 3에 도시된 구조물(150))의 측정과 연관된 n의 측정된 값을 도시한다. 플롯 라인(196)은 0 도의 방위각에서 텅스텐 격자 구조물(193)(도 9에 도시됨)의 측정과 연관된 n의 측정된 값을 도시한다. 플롯 라인(195)은 방위각 90도에서의 텅스텐 격자 구조물(193)의 측정과 연관된 n의 측정 값을 도시한다.
이와 유사하게, 도 11은 조명 파장의 범위에 걸쳐 도 1에 도시된 측정 시스템(100)에 의해 측정된 복소 굴절률의 허수 성분 k의 값의 플롯(198)을 도시한다. 플롯 라인(201)은 텅스텐 막 타겟(예를 들어, 도 3에 도시된 구조물(150))의 측정과 연관된 n의 측정된 값을 도시한다. 플롯 라인(199)은 0 도의 방위각에서의 텅스텐 격자 구조물(193)(도 9에 도시됨)의 측정과 연관된 n의 측정된 값을 도시한다. 플롯 라인(200)은 90 도의 방위각에서의 텅스텐 격자 구조물(193)의 측정과 연관된 n의 측정된 값을 도시한다.
도 10 및 도 11에 도시된 바와 같이, 텅스텐 격자 구조물(193)의 등방성 특징이 이용되면 중요한 측정 오차가 예상된다.
관심 대상의 파라미터(즉, H 및 CD)의 값은, 유전체 유전율의 2개의 상이한 특징을 갖는 2개의 상이한 방위각에서 구조물(190)의 측정과 연관된 측정 데이터의 분석에 기초하여 추정된다. 또한, 도 12a 내지 도 12b는 이들 분석의 결과를 도시한다.
도 12a는 제1 방위각에서의 측정 데이터의 분석 그리고 제2 방위각에서의 측정 데이터의 분석에 기초하여 추정된 CD 파라미터의 값들 사이의 차이에 대한 플롯(205)을 도시한다. 플롯 라인(206)은 측정 대상 재료의 유전체 유전율의 등방성 모델이 이용될 때의 차이 값을 도시한다. 플롯 라인(207)은 측정 대상 재료의 유전체 유전율의 이방성 특징이 이용될 때의 차이 값을 도시한다.
도 12b는 제1 방위각 및 제2 방위각에서의 측정 데이터의 분석에 기초하여 추정된 높이 파라미터(H)의 값들 사이의 차이의 플롯(208)을 도시한다. 플롯 라인(210)은 측정 대상 재료의 유전체 유전율의 등방성 모델이 이용될 때의 차이 값을 나타낸다. 플롯 라인(209)은 측정 대상 재료의 유전체 유전율의 이방성 특징이 이용될 때의 차이 값을 나타낸다.
도 12a 내지 도 12b에 도시된 바와 같이, 등방성 모델이 이용되는 경우, 2개의 상이한 측정 서브 시스템들(즉, 2개의 상이한 방위각들) 사이가 불량하게 일치한다. 이는 한 방향의 텅스텐 CD는 매우 길지만, 다른 방향에는 대략 10 나노미터이므로 이해할 수 있다. 플롯 라인(207 및 209)에 도시된 측정 예에서, 분산 파라미터(n 및 k)는 두 측정 서브 시스템과 연관된 측정 데이터의 분석에서 플로팅된다. 도 8a 및 도 8b에 도시된 바와 같이, 이방성 모델이 이용될 때, 2개의 상이한 측정 서브 시스템 사이가 우수하게 일치한다.
전술한 예들에서, 측정 대상 구조물의 이방성 재료 특징은, 각각의 측정과 연관된 방위각의 변화에 따라 변한다. 그러나, 일반적으로, 측정 대상 구조물의 이방성 재료 특징은 측정 시스템 파라미터 값의 임의의 조합의 변화에?따라 변할 수 있다.
다른 양태에서, 유전체 유전율 행렬의 비대각 요소가 0 값인 것으로 추정된다는 가정 하에서 모델 기반 측정이 수행된다. 이는 전자기적 모델 빌딩 및 피팅 분석을 매우 크게 단순화한다.
또 다른 양태에서, 모델 기반 측정은 측정 대상의 기하하적 구조의 주축과 정렬되도록 선택된 방위각에서 수행된다. 이러한 가정은 일 방향에서 주기적으로 패터닝된 피처를 갖는 적층 구조물(예를 들어, 핀 구조물, 게이트 구조물 등)의 측정을 수행할 때 유리할 수 있다. 이러한 예들에서, 측정은 주기성의 방향(예를 들어, Az = 0도)과 정렬된 제1 방향에서 수행되고, 또 다른 측정은 제1 방향에 수직인 제2 방향(예를 들어, Az = 90도)에서 수행된다. 이러한 간단한 조명의 기하학적 구조(Az = 0 및 Az = 90)는, p 및 s 편광의 완전한 디커플링을 허용한다. 그러나, 편광 디커플링이 있더라도, 엘립소메트리 신호는 s 및 p 편광 모두를 포함하기 때문에, 엘 립소메트릭 신호를 정확하게 모델링하기 위해서는 둘 이상의 굴절률이 필요하다. 이들 실시예에서, 측정 대상 구조물의 재료 특징은 각 측정과 연관된 방위각에 따라 변한다.
또 다른 추가의 양태에서, 관심 대상의 하나 이상의 파라미터의 값의 초기 추정치는, 측정 타겟에 대한 입사 조명 빔의 단일 배향에서 수행된 광학 측정치에 기초하여 결정된다. 초기 추정 값은, 복수의 배향에서의 광학 측정으로부터 수집된 측정 데이터를 갖는 측정 모델의 회귀에 대한 관심 대상 파라미터의 시작 값으로서 구현된다. 이러한 방식으로, 비교적 적은 양의 계산 노력으로 관심 대상 파라미터의 근사 추정치가 결정되고, 훨씬 더 큰 데이터 세트에 걸친 회귀에 대한 시작점으로서 이러한 근사 추정치를 구현함으로써, 관심 대상 파라미터의 엄밀한 추정치는 전체적인 계산 노력이 적어진 상태로 획득된다.
일 예에서, 각각의 측정 채널(즉, 서브 시스템)의 광학 분산 파라미터의 초기 값은 측정 대상의 동일한 재료의 막 타겟으로부터 결정된 벌크 파라미터 값 또는 분산 파라미터 값으로 도입된다.
다른 양태에서, 반도체 구조물의 광학 모델 기반 측정은, 구조물의 기하학적 구조에 의존하는 측정 대상 구조물을 포함하는 하나 이상의 재료의 광학 분산의 특징을 통합한다. 일부 실시예에서, 측정 대상 반도체 구조물을 포함하는 재료의 유전체 유전율은 등방성으로 취급된다. 이들 실시예에서, 유전체 유전율은 기하학적 구조의 함수 ε(λ, g)로서 취급된다. 일부 다른 실시예에서, 유전체 함수는 다차원 텐서로서 취급되며, 여기서 다차원 텐서의 하나 이상의 요소는 기하학적 구조의 함수 εij(λ, g)로서 취급된다. 일부 실시예들에서, 광학 분산 메트릭 n 및 k는 측정 서브 시스템 파라미터(예를 들어, 광학 전계 방향, 광 편광, AOI, 방위각 등)에 추가하여 측정 대상 구조물의 기하학적 프로파일들에 의존한다.
또 다른 양태에서, 기하학적 인 파라미터의 추정 된 값이 반복적으로 업데이트됨에 따라 회귀 동안 광학 분산 파라미터가 변경된다. 일 실시예에서, 플로팅 재료 파라미터는 양자 효과를 특징화하는 광학 응답 모델을 사용하여 관심 대상의 구조 파라미터들을 모니터링하는데 사용된다. 이러한 의미에서, 기하학적 파라미터에 의해 부분적으로 특징화된 재료 파라미터 값의 추정은, 기하학적 파라미터에 대한 측정 감도를 향상시킨다.
반도체 구조물에서의 양자 구속은 굴절률 및 흡수 계수의 변화를 야기한다. 이것은 때때로 강한 빌트인 전기장이 있는 상태에서 양자 컨디먼트(condiment) 스타크 효과로 지칭된다. 이러한 반도체 양자 구속의 경우에, 전자-정공 파(wave) 함수 오버랩이 증가한다. 이는 광 흡수를 증가시킨다. 또한, 굴절률의 변화는 크라머스 크로니히(Kramers-Kronig) 관계에 따라 발생한다. 빌트인 전계 하에서 반도체 양자 우물의 경우에, 전자-정공 파 함수 오버랩 감소가 광 흡수를 감소시키고 그에 따라 굴절률을 변화시킨다. 이러한 경우, 등방성 광학 재료 응답의 가정은 측정 오차를 초래한다.
양자 효과는 일부 재료(예를 들어, 금속 및 반도체)의 양자 우물 구조, 양자 와이어 구조, 양자점 구조 등에 발생한다. 양자 우물은 전자 및 정공과 같은 입자를 층 표면 또는 계면에 수직인 차원으로 한정할 수 있는 층으로서 정의될 수 있다. 2 개의 직교 방향으로의 이동은 제한되지 않은 상태로 남겨진다. 따라서, 양자 우물은 1차원 한정(confining) 시스템이다.
양자 우물은 상이한 재료들을 함께 결합시킴으로써 제조될 수 있다. 물리적으로, 양자 우물은 더 넓은 밴드갭을 갖는 2개의 다른 층으로 둘러싸인 얇은 층이다. 얇은 층은 일반적으로 "우물"이라고 지칭되고 "우물"의 각 측에 있는 주위 층은 각각 "배리어"로 지칭된다. 일반적으로, 양자 구속 효과는 우물 구조물(예를 들어, 층)의 두께가 20 나노미터 미만일 때 반도체 재료에서 발생한다. 금속의 경우, 더 큰 두께에서 양자 구속 효과가 발생할 수 있다.
도 13은 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(220)을 도시한다. 이 예에서, 두께 T를 갖는 텅스텐의 얇은 층(222)은, 텅스텐과 다른 재료의 층(221 및 223) 사이에 개재된다. 일부 예에서, 텅스텐 층의 두께는 20 나노미터 미만이다. 광(225)은 조명 소스(102)에 의해 제공된 조명 광(224)에 응답하여 분광기(104)에 의해 수집된다. 반도체 막 적층 구조물(220)은 양자 우물로서 제조된다. 층(222)은 층들(221 및 223)(즉, 배리어들) 사이에 개재된 재료의 얇은 층(즉, 우물)이다. MBE(Metal Beam Epitaxy) 및 MOCVD(Metal-Organic Chemical Vapor Deposition)과 같은 제조 기술을 사용하여 층(221-223)과 같은 얇은 층을 제조한다. 상대적으로 큰 x 및 y 방향의 치수를 갖는 층들(221-223)은 z 방향에서 비교적 얇다.
이 예에서, 양자 구속은 z 방향으로 발생한다. 전술한 바와 같이, 재료-광학 응답 특성 연관 층(222)은 이방성이다. 우물 층의 z 방향을 따른 유전체 유전율은, x 및 y 방향의 유전체 유전율과 다르다. 그러나, 광학 응답 자체는 조명 방위각과는 독립적이다.
도 14는 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(230)을 도시한다. 이 예에서, 두께 T를 갖는 텅스텐의 얇은 층은, 상이한 재료(231)에 의해 둘러싸여 있다. 일부 예에서, 텅스텐 층의 두께는 20 나노미터 미만이다. 하나의 측정에서, 광(235)은 조명 소스(102)에 의해 제공된 조명 광(233)에 응답하여 분광기(104)에 의해 수집된다. 다른 측정에서, 광(236)은 조명 소스(102)에 의해 제공된 조명 광(234)에 응답하여 분광기(104)에 의해 수집된다.
복수의 패터닝 기술을 포함하는 진보된 리소그래피 기술은, 20 나노미터 미만의 두께를 갖는 측방 (CD) 구조물의 제조를 가능하게 한다. 반도체 제조 프로세스 흐름 동안에, 도 13에 도시된 구조물(220)과 같은 x-y 평면 내의 양자 우물은, MBE 및 MOCVD에 의해 의도적으로 제조된다. 그러나, 구조물(230)과 같은 "수직" 양자 우물은 디바이스 치수를 축소시키는 것의 결과로서 의도하지 않게 제조된다. 이러한 의도하지 않은 "수직" 양자 우물 구조물은 디바이스 성능이나 수율에 현저하게 영향을 주지 않지만, 분광 측정 결과에 중요한 영향을 미친다. 이 예에서, y 방향을 따르는 우물 층의 유전체 유전율은 x 방향의 유전체 유전율과 상이하다. 결과적으로, 광학 응답은 조명 방위각에 의존한다.
가장 일반적으로 사용되는 분산 모델은, 고조파 발진기 모델이라고도 알려진 로렌츠 모델이다. 로렌츠 모델은 광학 조명 하에서 재료의 원자에 결합된 전자의 진동을 고조파 발진기의 앙상블(ensemble)로서 취급한다. 일 예에서, 유전체 함수의 표현은 식 (6)에 기술된다.
Figure pct00020
(6)
여기서 nb는 균일한 백그라운드 인덱스(디폴드 값 = 1), E는 E = 1,240/λ에 의해, 파장 λ의 함수로서 표현된 전계 에너지이며, Hs는 s번째 발진기의 기여도이며, νs는 s번째 발진기에 대한 로컬 필드 보정 인자이다. νs는 금속의 경우에는 0와 동일하며, 대부분의 반도체의 경우 0에 가까우며, 이상적인 유전체의 경우 0.333과 동일하다. Hs는 식 (7)에서 추가로 상세히 기술된다.
Figure pct00021
(7)
여기서, Ry는 리드베르크(Rydberg) 상수(13.6058 eV와 동일함)이며, r0은 보어)(bohr) 반경 (0.0529177 나노미터와 동일함), Ns는 이 발진기의 상대적 중요성을 나타내는 s번째 발진기의 수 밀도이며, Ens는 s번째 발진기의 공진 에너지이고, Egs는 s번째 발진기의 감쇠 상수 에너지이며,
Figure pct00022
는 s번째 발진기의 상대 위상이다. 가장 낮은 값의 공진 에너지는 종종 밴드갭 에너지로 불린다. 로렌츠 모델은 일반적으로 대부분의 재료, 특별히 Si, Ge, SiGe와 같은 반도체 재료, W, Cu, Co 등과 같은 금속을 포함한 여러 피크가 있는 재료를 설명하는데 사용된다.
식 (7)의 발진기 파라미터는 제한 방향(예를 들어, 도 13의 z 방향 및 도 14의 y 방향)에서의 양자 한정 효과에 민감하다.
공진 에너지 Ens 및 발진기 강도 Ns가 가장 민감하다. 또한, 양자 구속을 나타내는 구조물의 모델은 에너지 레벨의 이산화(discretization)로 인해 많은 수의 발진기를 포함해야 한다.
1 차원(즉, 구속 방향)에서의 슈뢰딩거의 방정식은 식 (8)에 예시된 바와 같이 양자 우물의 기본 특성을 설명한다.
Figure pct00023
(8)
여기서 V(z)는 구속 방향(이 예에서는 z 방향)을 따라 입자에 의해 보이는 양자 우물 전위이며,
Figure pct00024
은 파동 함수이다. 우물이 각 측에서 무한히 높다고 간주되는 경우, 식 (8)의 해는 식 (9) 및 식 (10)에 의해 주어지며,
Figure pct00025
(9)
Figure pct00026
(10)
여기서 Lz는 z 방향의 우물의 치수이고, z는 우물 내의 z 방향의 위치이다.
식 (9)와 식 (7)을 결합하면, 양자 구속 효과가 측정 모델에서 고려될 때 각각의 발진기의 에너지 레벨(Ens)이 구속 방향의 우물 치수에 의존한다는 것이 명확해진다.
실리콘, 게르마늄 및 카드뮴 셀레나이드 나노결정의 경우, 실온에서의 밴드갭과 구조물의 크기 사이의 관계 d는, 식 (11)에 의해 근사화된다.
Figure pct00027
(11)
여기서, Ebandgap0은 벌크 밴드갭이고, β 및 α는 나노 구조물의 크기(즉, 양자 우물 두께)뿐만 아니라 재료에 의존한다. 실리콘 나노 구조물의 경우에, 식 (11)은 식 (12)에 의해 근사화된다.
Figure pct00028
(12)
실리콘 나노 구조물의 경우에, 식 (12)를 식 (6)과 식 (7)에 대입하여, 유전체 유전율을 측정 대상 구조물의 치수의 함수로서 나타낸다.
일반적으로, 여러 방법론은 이 특허 문헌의 범위 내에서 피처의 기하학적 구조 및 크기에 대한 유전체 함수의 의존성을 통합하는데 사용될 수 있다. 일 예에서, 맥스웰-가넷(Maxwell-Garnett) 유효 매체 이론은, 측정 대상 피처의 기하학적 구조 및 크기에 대한 유전체 함수의 의존성을 모델링하는데 사용된다.
일부 예에서, 광학 분산 파라미터(n 및 k)는, 이들이 예를 들어 nj(λ; CD, HT, SWA) 및 kj(λ; CD, HT, SWA)와 같은 구조물의 기하학적 파라미터에 의존하도록 파라미터화된다.
일 예에서, 식 (13)은 식 (12)에 도시된 밴드갭과 양자 우물 두께 사이의 관계에 기초하여 밴드갭의 함수로서 실리콘 나노 구조물의 광 흡수 계수를 나타낸다.
Figure pct00029
(13)
이와 유사하게, 식 (14)는 식 (12)에 예시된 밴드갭과 양자 우물 두께 사이의 관계에 기초하여 밴드갭의 함수로서 유전체 함수의 허수부를 나타낸다.
Figure pct00030
(14)
광학 파라미터의 파라미터화는 유효 매체 이론 근사들 중 하나에 기초하여, 또는 다른 방식으로 구현될 수 있다. 효과적인 매체 이론이나 다른 이론들은 양자 체제에서 유전체 함수의 거동을 설명하는데 사용될 수 있다. 일 예에서, 표 형태의 벌크 거동과 양자 효과를 나타내는 것으로 알려진 기하학적 구조에서의 거동 사이의 선형 천이가 가정된다. 벌크 거동와 양자 거동 사이의 보간을 이용한 룩업 테이블을 사용할 수도 있다.
도 15는 예를 들어 측정 시스템(100)에 의한 측정 대상의 예시적인 구조물(240)를 도시한다. 이 예에서, 20 나노미터 미만의 임계 치수를 가진 실리콘 핀 구조물(241)이 측정된다.
이 예에서, 각각이 다른 공지된 CD 값을 갖는 복수의 상이한 핀 구조물이 측정된다. 5개의 고조파 발진기를 포함하는 로렌츠 모델은, 식 (5) 및 (6)에 따라 구현된다. 또한, 밴드갭 에너지는 식 (12)에 따라 구조물(241)의 기하학적 구조와 연관된다. 벌크 실리콘 분산 파라미터 값을 분산 파라미터의 시드 값으로 사용되었다. 분산 파라미터의 값을 최적화하기 위해 측정 데이터에 기초하여 측정 모델의 회귀 분석을 수행하였다.
도 16은 핀 구조물(241)의 상이한 CD 값에 대한 로렌츠 모델의 제1 발진기와 연관된 공진 에너지 E1의 값을 나타낸다. 도 17은 핀 구조물(241)의 상이한 CD 값에 대한 로렌츠 모델의 제2 발진기와 연관된 공진 에너지 E2의 값을 나타낸다. 도 16 및 도 17에 도시된 바와 같이, E1 및 E2는 모두 양자 효과에 따라 변화하는데, 이는 에너지 레벨이 우물이 좁아짐에 따라 증가한다는 것을 예측한다.
또 다른 양태에서, 유전체 유전율을 설명하는 다차원 텐서의 하나 이상의 요소가 상이하게 모델링된다. 예를 들어, 다차원 텐서의 다른 요소인 εij(λ, g)에 대해 다른 다중 발진기 모델을 사용할 수 있다. 모델의 각각의 다른 모델과 연관된 발진기 상수는, 구조물의 기하학 구조에 의존한다. 이러한 예에서, 구조물을 구비하는 재료의 유전체 유전율을 설명하는 다차원 텐서의 요소는 다차원 텐서의 다른 요소와 상이하게 모델링된다.
일부 실시예들에서, 등방성 모델에 기초하여 추정된 분산 파라미터 값들과 광학 분산의 이방성 모델에 기초하여 추정된 동일한 분산 파라미터 값들 사이의 차이에 기초하여 관심 대상의 기하학적 파라미터(예를 들어, H, CD, 깊이 등)가 추정된다. 일 예에서, 도 1에 도시된 측정 시스템(100)의 컴퓨팅 시스템(116)은, 각각 도 5 및 도 6에 도시되는 추정된 n 및 k 값 간의 차이에 기초하여 피처(157)의 두께(T)의 값을 추정한다.
도 1에 도시된 바와 같이, 측정 시스템(100)은 분광 엘립소미터이다. 그러나, 일반적으로, 측정 시스템(100)은 분광 엘립소미터, 분광 반사계, 회전 편광자, 회전 보상기 분광 엘립소미터(RPRC SE), 편광 분광 반사계, 포토 반사계 등으로 구성될 수 있다. 일반적으로, 본 명세서에 설명된 기술은 임의의 모델 기반 분광 측정 시스템 또는 모델 기반 분광 측정 시스템의 조합으로부터 수집된 측정 데이터에 적용될 수 있다.
또 다른 양태에서, 특정 측정 애플리케이션과 연관된 측정 레시피(즉, 상이한 측정 시스템 파라미터, 상이한 측정 시스템, 또는 양자의 조합)는 광학 분산의 이방성 모델의 플로팅 파라미터들 사이의 최대 감도 및 최소 상관을 위해 최적화된다.
도 18은 본 발명의 시스템(100)에 의한 구현에 적합한 프로세스 흐름(300)을 도시한다. 일 양태에서, 프로세스 흐름(300)의 데이터 프로세싱 단계는, 컴퓨팅 시스템(116)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있음이 인식된다. 다음의 설명은 시스템(100)의 맥락에서 제시되지만, 시스템(100)의 특정 구조적 양태는 제한을 나타내지 않으며 단지 예시적인 것으로 해석되어야 함을 본 명세서에서 인식된다.
블록 301에서, 예를 들어 조명기(102)에 의해 스펙트럼 범위에 걸쳐 미완성된 다층 반도체 웨이퍼 상에 제조된 구조물에 제1 양의 조명이 제공된다.
블록 302에서, 제1 양의 광은, 예를 들어 분광기(104)에 의해 제1 양의 조명에 응답하여 측정 대상 구조물로부터 수집된다.
블록 303에서, 측정 대상 구조물의 스펙트럼 응답을 나타내는 제1 양의 스펙트럼 데이터가, 예를 들어 분광기(104)에 의해 생성된다.
블록 304에서, 측정 대상 구조물의 광학 분산의 이방성 특징을 포함하는 측정 모델에 기초하여, 예를 들어, 컴퓨팅 시스템(116)에 의해 측정 대상 구조물과 연관된 관심 대상의 하나 이상의 기하학적 파라미터의 값이 추정된다.
다른 추가의 양태에서, 디바이스 성능은 추정된 관심 대상의 파라미터에 적어도 부분적으로 기초하여 반도체 웨이퍼의 제조 프로세스를 제어함으로써 개선된다. 일 예에서, CD는 본 명세서에 설명된 바와 같이 광학 분산의 이방성 특징에 기초하여 추정된 CD 값에 기초하여 제어될 수 있다.
또 다른 추가의 양태에서, 측정 대상 구조물의 상이한 피처와 연관된 관심 대상의 파라미터의 개별적인 추정치는 동일한 스펙트럼 응답 데이터에 기초하여 만들어진다. 예를 들어, 측정 대상 웨이퍼는 복수의 층 및 구조적 피처를 포함할 수 있다. 분광기(104)로부터 수신된 스펙트럼 응답 데이터는 이들 층들 및 피처들 모두로부터의 기여도를 포함한다. 이러한 층 및 피처의 각각의 기여도를 캡처하는 측정 모델은, 분석 대상의 각각의 다른 물리적 층 또는 피처와 연관된 관심 대상의 파라미터들을 개별적으로 결정하는데 사용될 수 있다.
하나 이상의 예시적인 실시예들에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 함수는 컴퓨터 판독 가능한 매체 상에 하나 이상의 명령어 또는 코드로서 저장되거나 전송될 수 있다. 컴퓨터 판독 가능한 매체는 컴퓨터 저장 매체, 및 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 용이하게 하는 임의의 매체를 포함하는 통신 매체 양자를 포함한다. 저장 매체는 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수 있다. 제한없이 예로서, 그러한 컴퓨터 판독 가능한 매체는, RAM, ROM, EEPROM, CD-ROM, 또는 다른 광 디스크 저장 장치, 자기 디스크 저장 장치, 또는 다른 자기 저장 디바이스, 또는 명령어 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 휴대 또는 저장하는데 사용될 수 있으며 그리고 범용 또는 특수 목적 컴퓨터, 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속은 컴퓨터 판독 가능한 매체로 적절하게 지칭된다. 예를 들어 동축 케이블, 광섬유 케이블, 트위스트 페어, 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 기타 원격 소스로부터 소프트웨어가 전송된 경우, 동축 케이블, 광섬유 케이블, 트위스트 페어, DSL, 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술은, 매체의 정의에 포함된다. 본 명세서에서 사용되는 디스크(disk) 및 디스크(dics)은, 디스크(disk)가 일반적으로 데이터를 자기적으로 재생하는 반면, 디스크(disc)가 레이저로 광학적으로 데이터를 재생하는 CD(compact disc), 레이저 디스크, 광학 디스크, DVD(digital versatile disc), 플로피 디스크 및 블루레이 디스크를 포함한다. 위의 조합은 또한 컴퓨터 판독 가능한 매체의 범위 내에 포함되어야 한다.
본 명세서에 사용된 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비반도체 재료로 형성된 기판을 지칭한다. 그러한 반도체 또는 비반도체 재료의 예는 단결정 실리콘, 실리콘 온 인슐레이터, 스트레인드(strained) 실리콘 온 인슐레이터, 갈륨 비화물, 및 인듐 인화물을 포함할 수 있지만, 이들로 제한되지는 않는다. 이러한 기판은 일반적으로 반도체 제조 설비에서 발견 및/또는 처리될 수 있다.
하나 이상의 층이 웨이퍼 상에 형성될 수 있다. 예를 들어, 이러한 층들은 레지스트, 유전체 재료, 도전성 재료, 및 반도체 재료를 포함할 수 있지만, 이들로 제한되지는 않는다. 이러한 층의 많은 상이한 유형이 당해 분야에 공지되어 있으며, 본 명세서에서 사용되는 웨이퍼라는 용어는, 모든 유형의 이러한 층이 형성될 수 있는 웨이퍼를 포함하도록 의도된다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 복수의 다이를 포함할 수 있으며, 각각의 다이는 반복 가능한 패턴 피처를 갖는다. 이러한 재료 층의 형성 및 처리는, 궁극적으로 완성된 디바이스를 초래할 수 있다. 많은 다른 유형의 디바이스가 웨이퍼 상에 형성될 수 있으며, 본 명세서에서 사용된 웨이퍼라는 용어는 당해 분야에 공지된 임의의 유형의 디바이스가 제조되는 웨이퍼를 포함하도록 의도된다.
일반적인 반도체 프로세스는 로트에 의한 웨이퍼 프로세싱을 포함한다. 본 명세서에서 사용되는 "로트(lot)"는 함께 처리되는 웨이퍼들의 그룹(예를 들어, 25개의 웨이퍼의 그룹)이다. 로트 내의 각각의 웨이퍼는, 리소그래피 프로세싱 툴(예를 들어, 스테퍼, 스캐너 등)로부터의 많은 노광 필드로 구성된다. 각 필드 내에는 복수의 다이가 존재할 수 있다. 다이는 궁극적으로 단일 칩이되는 기능 유닛이다. 웨이퍼 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 복수의 다이를 포함할 수 있으며, 각각의 다이는 반복 가능한 패턴 피처를 갖는다. 이러한 재료 층의 형성 및 처리는 궁극적으로 완성된 디바이스를 초래할 수 있다. 많은 다른 유형의 디바이스가 웨이퍼 상에 형성될 수 있으며, 본 명세서에서 사용된 웨이퍼라는 용어는, 당해 분야에 공지된 임의의 유형의 디바이스가 제조되는 웨이퍼를 포함하도록 의도된다.
실시예가 웨이퍼에 대하여 본 명세서에서 설명되었지만, 실시예가 일반적으로 마스크 또는 포토마스크라고도 지칭되는 레티클과 같은 다른 시료의 구조를 특징화하는데 사용될 수 있음을 이해해야 한다. 많은 상이한 유형의 레티클이 당해 분야에 공지되어 있으며, 본 명세서에서 사용되는 "레티클", "마스크" 및 "포토마스크"라는 용어는 당해 분야에 공지된 모든 유형의 레티클을 포함하도록 의도된다.
실시예가 웨이퍼에 적용되는 구조물의 측정과 관련하여 본 명세서에서 설명되었지만, 본 명세서에 개시된 방법 및 시스템은, 반도체 구조물의 임계 치수, 반도체 구조물의 층간 오버레이, 및 반도체 구조물의 재료 조성을 특징화하기 위해 사용될 수 있다.
본 명세서에 설명된 실시예는 일반적으로 높은 처리량에서 광학 모델 파라미터 값에 기초하여 다층 구조물의 관심 대상의 파라미터를 결정하는 방법에 관한 것이다. 예를 들어, 일 실시 예는 분광 엘립소미터 데이터로부터 유도된 광학 모델 파라미터 값에 기초하여 다층 구조물의 임계 치수를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 그러나, 다른 예들에서, 본 명세서에 설명된 기술들을 사용하여 임계 치수, 오버레이, 및 재료 조성의 측정이 또한 고려된다. 이와 유사하게, 본 명세서에 설명된 방법은 광학 모델 파라미터 값이 도출될 수 있는 계측 시스템의 유형에 제한되지 않는다. 예를 들어, 일 실시예에서, 계측 시스템은 반사계(reflectometer)를 포함한다. 일반적으로, 본 명세서에 설명된 광학 분산 모델은, 다양한 광대역 및 협대역 계측 툴로부터 수신된 측정 데이터의 분석에 적용될 수 있다. 예를 들어, 임의의 수 또는 유형의 조명 소스(예를 들어, 가시 광선, 적외선, 자외선, 진공 자외선, 깊은 자외선 스펙트럼의 광을 방출하는 램프 또는 레이저 기반 소스)를 비롯하여, 분광기 엘립소미터 및 반사계, 멀티-앵글 엘립소미터 및 반사계)이 이 특허 문헌의 범위 내에서 고려될 수 있다.
또한, 계측 시스템은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 검사를 위해 구성될 수 있다. 검사 시스템은, LED 검사 툴, 엣지 검사 툴, 뒷면 검사 툴, 매크로 검사 툴, 또는 다중 모드 검사 툴(하나 이상의 플랫폼으로부터 동시에 데이터를 포함함) 및 높은 처리량에서 광학 모델 파라미터 값들에 기초하여 다층 구조물의 구조적 특징의 결정으로부터 이득을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다. 따라서 "계측" 시스템과 "검사" 시스템이라는 용어는 교환 가능하게 사용될 수 있다.
임의의 특정 실시예가 교시 목적을 위해 위에서 설명되었지만, 이 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 전술한 특정 실시예로 제한되지 않는다. 따라서, 청구 범위에서 설명된 본 발명의 범위를 벗어나지 않고 설명된 실시예들의 다양한 피처의 다양한 변형, 개조, 및 조합이 실시될 수 있다.

Claims (27)

  1. 측정 시스템에 있어서,
    미완성된 다층 반도체 웨이퍼 상에 제조된 구조물에 스펙트럼 범위에 걸쳐 제1 양의 조명을 제공하는 제1 조명기와,
    제1 양의 조명에 응답하여 측정 대상 구조물(structure under measurement)로부터 제1 양의 광을 수집하고 상기 측정 대상 구조물의 스펙트럼 응답을 나타내는 제1 양의 스펙트럼 데이터를 생성하는 제1 분광기 - 제1 조명기와 제1 분광기는 제1 세트의 측정 시스템 파라미터들에 따라 구성됨 - 와,
    상기 측정 대상 구조물의 광학 분산의 이방성 특징(characterization)을 포함하는 측정 모델에 기초하여 상기 측정 대상 구조물과 연관된 관심 대상의 하나 이상의 기하학적 파라미터의 값을 추정하도록 구성된 피팅(fitting) 분석 모듈
    을 포함하는 측정 시스템.
  2. 제1항에 있어서, 상기 측정 대상 구조물은 각각 상이한 재료로 제조된 2개 이상의 기하학적 피처를 포함하고, 상기 측정 모델은 각각의 상이한 재료와 연관된 상기 광학 분산의 상이한 이방성 특징을 포함하는 것인 측정 시스템.
  3. 제1항에 있어서, 상기 관심 대상의 하나 이상의 기하학적 파라미터의 값을 추정하는 것은 상기 측정 모델을 사용한 상기 제1 양의 스펙트럼 데이터의 회귀를 포함하는 것인 측정 시스템.
  4. 제1항에 있어서, 상기 제1 조명기 및 상기 제1 분광기는 분광 엘립소미터 또는 분광 반사계로 구성되는 것인 측정 시스템.
  5. 제1항에 있어서, 상기 측정 대상 구조물의 광학 분산의 이방성 특징은, 상기 광학 분산의 행렬 함수를 포함하는 것인 측정 시스템.
  6. 제5항에 있어서, 상기 행렬 함수의 비대각(off-diagonal) 요소들의 각각은 0 값을 가지며, 상기 행렬 함수의 2개 이상의 대각 요소들은 상이한 값을 가지는 것인 측정 시스템.
  7. 제1항에 있어서, 상기 광학 분산의 이방성 특징은, 3개의 주 방향의 각각과 연관된 하나 이상의 광학 분산 파라미터를 포함하고, 상기 3개의 주 방향 중 적어도 하나의 방향과 연관된 상기 하나 이상의 광학 분산 파라미터의 값은, 상기 3개의 주 방향 중 다른 하나의 방향과 연관된 하나 이상의 광학 분산 파라미터 값과 상이한 것인 측정 시스템.
  8. 제1항에 있어서,
    상기 측정 대상 구조물에 제2 양의 조명을 제공하는 제2 조명기와,
    상기 제2 양의 조명에 응답하여 측정 대상 구조물로부터 제2 양의 광을 수집하고 상기 측정 대상 구조물의 스펙트럼 응답을 나타내는 제2 양의 스펙트럼 데이터를 생성하는 제2 분광기 - 상기 제1 조명기 및 상기 제2 분광기는 제2 세트의 측정 시스템 파라미터에 따라 구성됨 -
    를 더 포함하는 측정 시스템.
  9. 제8항에 있어서, 상기 제1 및 제2 조명기는 동일한 조명기이고, 상기 제1 및 제2 분광기는 동일한 분광기인 것인 측정 시스템.
  10. 제8항에 있어서, 상기 제1 세트의 측정 시스템 파라미터는, 방위각, 입사각, 조명 광 편광, 광학 전계 배향, 또는 이들의 임의의 조합을 포함하고, 상기 제2 세트의 측정 시스템 파라미터는, 방위각, 입사각, 조명 광 편광, 및 광학 전계 배향 중 어느 하나의 상이한 값을 포함하는 것인 측정 시스템.
  11. 제8항에 있어서, 상기 관심 대상의 하나 이상의 기하학적 파라미터의 값을 추정하는 것은, 상기 측정 모델을 이용하여 상기 제1 및 제2 양의 스펙트럼 데이터를 회귀시키는 것을 포함하며, 상기 측정 대상 구조물의 재료와 연관된 상기 하나 이상의 광학 분산 파라미터는, 상기 제1 및 제2 양의 스펙트럼 데이터의 회귀 동안에 플로팅되고, 관심 대상의 하나 이상의 기하학적 파라미터의 값은 제1 및 제2 양의 스펙트럼 데이터의 회귀 동안에 동일한 값이 되도록 제한되는 것인 측정 시스템.
  12. 제11항에 있어서, 상기 제1 및 제2 양의 스펙트럼 데이터의 회귀 동안에 사용된 상기 하나 이상의 광학 분산 파라미터의 초기 값은, 상기 하나 이상의 광학 분산 파라미터의 벌크(bulk)의 등방성 값인 것인 측정 시스템.
  13. 제12항에 있어서, 상기 하나 이상의 광학 분산 파라미터의 벌크의, 등방성 값은, 상기 재료의 막의 분광 측정으로부터 결정되는 것인 측정 시스템.
  14. 제1항에 있어서, 상기 측정 대상 구조물의 광학 분산의 이방성 특징은, 상기 측정 대상 구조물의 기하학적 파라미터의 함수인 것인 측정 시스템.
  15. 제14항에 있어서, 상기 기하학적 파라미터는 관심 대상의 기하학적 파라미터들 중 하나인 것인 측정 시스템.
  16. 제14항에 있어서, 상기 광학 분산의 이방성 특징은 복수의 고조파 발진기 함수를 포함하는 것인 측정 시스템.
  17. 방법에 있어서,
    미완성된 다층 반도체 웨이퍼 상에 제조된 구조물에 스펙트럼 범위에 걸쳐 제1 양의 조명을 제공하는 단계와,
    제1 양의 조명에 응답하여 측정 대상 구조물로부터 제1 양의 광을 수집하는 단계와,
    측정 대상 구조물의 스펙트럼 응답을 나타내는 스펙트럼 데이터의 제 1 양을 생성하는 단계과
    측정 대상 구조물의 광학 분산의 이방성 특징을 포함하는 측정 모델에 기초하여 측정 대상 구조물과 연관된 관심 대상의 하나 이상의 기하학적 파라미터의 값을 추정하는 단계
    를 포함하는 방법.
  18. 제17항에 있어서, 상기 측정 대상 구조물은 각각 상이한 재료로 제조된 2 이상의 기하학적 피처를 포함하고, 상기 측정 모델은 각각의 상이한 재료와 연관된 상기 광학 분산의 상이한 이방성 특징을 포함하는 것인 방법.
  19. 제17항에 있어서, 상기 측정 대상 구조물의 광학 분산의 이방성 특징은 광학 분산의 행렬 함수를 포함하는 것인 방법.
  20. 제17항에 있어서, 상기 광학 분산의 이방성 특징은, 3개의 주 방향들의 각각과 연관된 하나 이상의 광학 분산 파라미터를 포함하고, 상기 3개의 주 방향들 중 적어도 하나와 연관된 하나 이상의 광학 분산 파라미터 값은, 상기 3개의 주 방향들 중 다른 하나의 방향과 연관된 하나 이상의 광학 분산 파라미터 값과 상이한 것인 방법.
  21. 제17항에 있어서, 상기 측정 대상 구조물의 광학 분산의 이방성 특징은 측정 대상 구조물의 기하학적 파라미터의 함수인 것인 방법.
  22. 제21항에 있어서, 상기 기하학적 파라미터는 관심 대상의 기하학적 파라미터들 중 하나인 것인 방법.
  23. 측정 시스템에 있어서,
    미완성된 다층 반도체 웨이퍼 상에 제조된 구조물에 스펙트럼 범위에 걸쳐 제1 양의 조명을 제공하는 제1 조명기와,
    제1 양의 조명에 응답하여 측정 대상 구조물로부터 제1 광량을 수집하고 상기 측정 대상 구조물의 스펙트럼 응답을 나타내는 제1 양의 스펙트럼 데이터를 생성하는 제1 분광기 - 상기 제1조명기 및 상기 제1 분광기는 제1 세트의 측정 시스템 파라미터들에 따라 구성됨 - 와,
    하나 이상의 프로세서에 의해 실행될 때, 상기 하나 이상의 프로세서로 하여금,
    상기 측정 대상 구조물의 광학 분산의 이방성 특징을 포함하는 측정 모델에 기초하여 측정 대상 구조물과 연관되는 관심 대상의 하나 이상의 기하학적 파라미터의 값을 추정하게 하는 명령어들을 저장하는 비일시적 컴퓨터 판독 가능한 매체
    를 포함하는 측정 시스템.
  24. 제23항에 있어서, 상기 측정 대상 구조물은 상이한 재료로 각각 제조된 2 이상의 기하학적 피처를 포함하고, 상기 측정 모델은 각각의 상이한 재료와 연관된 상기 광학 분산의 상이한 이방성 특징을 포함하는 것인 측정 시스템.
  25. 제23항에 있어서, 상기 측정 대상 구조물의 광학 분산의 이방성 특징은, 광학 분산의 행렬 함수를 포함하는 것인 측정 시스템.
  26. 제23항에 있어서, 상기 광학 분산의 이방성 특징은 3개의 주 방향의 각각과 연관된 하나 이상의 광학 분산 파라미터를 포함하고, 상기 하나 이상의 광학 분산 파라미터의 값은 상기 3개의 주 방향 중 다른 방향과 연관된 하나 이상의 광학 분산 파라미터의 값과 상이한 것인 측정 시스템.
  27. 제23항에 있어서, 상기 측정 대상 구조물의 광학 분산의 이방성 특징은 상기 측정 대상 구조물의 기하학적 파라미터의 함수인 것인 측정 시스템.
KR1020197009076A 2016-08-31 2017-08-16 이방성 유전체 유전율을 가진 반도체 구조물의 모델 기반 광학 측정 KR102269517B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662381987P 2016-08-31 2016-08-31
US62/381,987 2016-08-31
US15/649,843 US10458912B2 (en) 2016-08-31 2017-07-14 Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US15/649,843 2017-07-14
PCT/US2017/047159 WO2018044572A1 (en) 2016-08-31 2017-08-16 Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity

Publications (2)

Publication Number Publication Date
KR20190039331A true KR20190039331A (ko) 2019-04-10
KR102269517B1 KR102269517B1 (ko) 2021-06-24

Family

ID=61242207

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197009076A KR102269517B1 (ko) 2016-08-31 2017-08-16 이방성 유전체 유전율을 가진 반도체 구조물의 모델 기반 광학 측정

Country Status (7)

Country Link
US (1) US10458912B2 (ko)
JP (1) JP6801110B2 (ko)
KR (1) KR102269517B1 (ko)
CN (1) CN109643672B (ko)
IL (1) IL264571B (ko)
TW (1) TWI728179B (ko)
WO (1) WO2018044572A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016208264A1 (de) * 2016-05-13 2017-11-16 Trumpf Werkzeugmaschinen Gmbh + Co. Kg Verfahren und Vorrichtung zur Überwachung, insbesondere zur Regelung, eines Schneidprozesses
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US11036898B2 (en) * 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
JP6830464B2 (ja) * 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
WO2020080758A1 (ko) * 2018-10-15 2020-04-23 주식회사 고영테크놀러지 검사를 위한 장치, 방법 및 명령을 기록한 기록 매체
CN109580551A (zh) * 2018-11-30 2019-04-05 武汉颐光科技有限公司 一种傅里叶变换红外穆勒矩阵椭偏仪及其测量方法
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
CN111380464B (zh) * 2018-12-28 2021-05-07 上海微电子装备(集团)股份有限公司 一种光栅尺的安装装置、安装方法、光栅测量系统及光刻机
US11060982B2 (en) * 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
CN112067559B (zh) * 2019-06-11 2023-06-13 南开大学 材料光学常数的确定方法、材料数据库的扩展方法及装置
CN110416106B (zh) * 2019-07-30 2022-05-27 上海华力集成电路制造有限公司 Ocd测试图形结构及其制造方法
CN110596011B (zh) 2019-08-26 2020-12-29 华中科技大学 一种材料介电张量测量方法
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN111595812B (zh) * 2020-05-29 2021-06-22 复旦大学 基于动量空间色散关系的关键参数的量测方法和系统
WO2021260765A1 (ja) * 2020-06-22 2021-12-30 株式会社日立ハイテク 寸法計測装置、半導体製造装置及び半導体装置製造システム
CN113420260B (zh) * 2021-07-01 2022-10-28 深圳市埃芯半导体科技有限公司 一种半导体尺寸的测量计算方法、装置及计算机存储介质
CN117716203A (zh) * 2021-07-26 2024-03-15 鲁姆斯有限公司 用于验证内部小平面之间的平行度的方法和系统
CN114018820B (zh) * 2021-09-14 2023-04-07 深圳市埃芯半导体科技有限公司 光学测量方法、装置、系统及存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology
US20130083320A1 (en) * 2011-09-27 2013-04-04 Kla-Tencor Corporation High Throughput Thin Film Characterization And Defect Detection
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69523274D1 (de) 1994-12-29 2001-11-22 At & T Corp Linienbreitenmessung an integrierten Schaltungsstrukturen
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5969273A (en) 1998-02-12 1999-10-19 International Business Machines Corporation Method and apparatus for critical dimension and tool resolution determination using edge width
US5943122A (en) 1998-07-10 1999-08-24 Nanometrics Incorporated Integrated optical measurement instruments
US6031614A (en) * 1998-12-02 2000-02-29 Siemens Aktiengesellschaft Measurement system and method for measuring critical dimensions using ellipsometry
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7414721B1 (en) * 2002-12-23 2008-08-19 Lsi Corporation In-situ metrology system and method for monitoring metalization and other thin film formation
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4363368B2 (ja) * 2005-06-13 2009-11-11 住友電気工業株式会社 化合物半導体部材のダメージ評価方法、及び化合物半導体部材の製造方法
JP4988223B2 (ja) * 2005-06-22 2012-08-01 株式会社日立ハイテクノロジーズ 欠陥検査装置およびその方法
WO2007049259A1 (en) * 2005-10-24 2007-05-03 Optical Metrology Patents Limited An optical measurement apparatus and method
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US10088413B2 (en) * 2011-11-21 2018-10-02 Kla-Tencor Corporation Spectral matching based calibration
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10624612B2 (en) * 2014-06-05 2020-04-21 Chikayoshi Sumi Beamforming method, measurement and imaging instruments, and communication instruments
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology
US20130083320A1 (en) * 2011-09-27 2013-04-04 Kla-Tencor Corporation High Throughput Thin Film Characterization And Defect Detection

Also Published As

Publication number Publication date
IL264571B (en) 2021-06-30
WO2018044572A1 (en) 2018-03-08
TW201812275A (zh) 2018-04-01
JP2019532518A (ja) 2019-11-07
TWI728179B (zh) 2021-05-21
US20180059019A1 (en) 2018-03-01
KR102269517B1 (ko) 2021-06-24
CN109643672B (zh) 2020-10-27
CN109643672A (zh) 2019-04-16
IL264571A (en) 2019-02-28
JP6801110B2 (ja) 2020-12-16
US10458912B2 (en) 2019-10-29

Similar Documents

Publication Publication Date Title
KR102269517B1 (ko) 이방성 유전체 유전율을 가진 반도체 구조물의 모델 기반 광학 측정
US10101670B2 (en) Statistical model-based metrology
US9875946B2 (en) On-device metrology
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
KR102512180B1 (ko) 계산 효율적인 x 선 기반의 오버레이 측정
CN107076681B (zh) 用于基于图像的测量及基于散射术的叠对测量的信号响应度量
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
JP6184490B2 (ja) 複合型x線および光学計測のためのモデル構築ならびに解析エンジン、方法、コンピュータ読み取り可能媒体
TWI603052B (zh) 產生最佳化量測配方之方法、系統及電腦可讀媒體
US10712145B2 (en) Hybrid metrology for patterned wafer characterization
TWI631310B (zh) 用於光學度量衡之自動波長或角度修剪
TW201920947A (zh) 非對稱結構之尺寸之偵測與測量
KR20210094106A (ko) 반도체 구조체들에서의 스트레인의 측정을 위한 스캐터로메트리 기반 방법들 및 시스템들
Dixit et al. Silicon fin line edge roughness determination and sensitivity analysis by Mueller matrix spectroscopic ellipsometry based scatterometry
WO2023043859A1 (en) Semiconductor profile measurement based on a scanning conditional model
WO2023140957A1 (en) Methods and systems for targeted monitoring of semiconductor measurement quality

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant