JP7218412B2 - 半導体製造計測および処理制御 - Google Patents

半導体製造計測および処理制御 Download PDF

Info

Publication number
JP7218412B2
JP7218412B2 JP2021179992A JP2021179992A JP7218412B2 JP 7218412 B2 JP7218412 B2 JP 7218412B2 JP 2021179992 A JP2021179992 A JP 2021179992A JP 2021179992 A JP2021179992 A JP 2021179992A JP 7218412 B2 JP7218412 B2 JP 7218412B2
Authority
JP
Japan
Prior art keywords
spectral
semiconductor wafer
spectra
data
tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021179992A
Other languages
English (en)
Other versions
JP2022020745A (ja
Inventor
ロススタイン・エイタン
ルビノヴィッチ・イリヤ
タル・ノアム
ブリンゴルッツ・バラク
キム・ヨンハ
ブロイトマン・アリエル
コーヘン・オデッド
ラビノヴィッチ・エイロン
ザハロニ・タル
ヨゲヴ・シェイ
カンデル・ダニエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nova Ltd
Original Assignee
Nova Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nova Ltd filed Critical Nova Ltd
Publication of JP2022020745A publication Critical patent/JP2022020745A/ja
Priority to JP2023009145A priority Critical patent/JP2023055776A/ja
Application granted granted Critical
Publication of JP7218412B2 publication Critical patent/JP7218412B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • G03F7/706841Machine learning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computational Linguistics (AREA)
  • Health & Medical Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

この発明は,半導体製造計測および処理制御に関する。
今日の半導体製造処理の寸法縮小および増大する複雑さはそのような処理の計測を限界にもたらし,厳しい処理制限によって求められる仕様内に計測ツール(the metrology tools)を維持することを非常に困難にしている。計測結果に含まれる正確性,処理堅牢性,精度,マッチングおよびその他の不確実性は,現在の方法では達成するのが非常に困難である。さらに,スループット(TPT)やウェーハ内(within-wafer)(WiW)サンプリングレートの制限などのメトリック(metrics)が所与の処理制御要件に特に困難をもたらしている。最後にするが,モデルベースの計測ソリューションをトレーニングおよび/またはテストするための外部参照データの取得がますます困難になっている。
今日,これらの困難は主に「移動および測定」(move and measure)(MAM)時間,ツール安定性およびツール再現性を最適化するハードウェアの改善によって軽減され,これはTPTおよびサンプリングレートも最適化する。処理堅牢性は,現在,レシピ(すなわち測定プロトコル)の作成時に可能な限り安定した計測レシピを検索することによって処理されるがパフォーマンスを犠牲にすることがある。
この発明は,その実施形態において,教師あり学習に基づく機械学習(ML)方法を提示する。詳細には,各測定サンプルi(たとえば一連の半導体ウェーハ上の各ダイについてのもの)の光信号Siのデータセットと,パラメータPiの参照値が与えられた場合,MLは,まだ測定されていない信号S <new>のP <predicted>を予測するためのモデルを確立する。モデル複雑性はさまざまであり,モデルの選択は,モデルのトレーニングに使用されるデータセットのタイプおよびサイズ,SからPへの固有感度,およびPに関連する参照計測におけるノイズのタイプおよび振幅によって異なるものとなる。
この発明の第1の観点において半導体計測方法が提供され,この方法は,スペクトル取得ツールを使用しかつ第1の測定プロトコルにしたがって,第1セットの半導体ウェーハ・ターゲット上のスペクトルのベースライン・セット(a baseline set of spectra on a first set of semiconductor wafer targets)を収集し,光学計測ツールを使用しかつ第2の測定プロトコルにしたがって,スペクトル変動性の一または複数の所定ソースのそれぞれについて(for each of one or more predetermined sources of spectra variability),上記第1セットの半導体ウェーハ・ターゲットの所定パラメータの値を収集し,上記スペクトル取得ツールおよび上記第1の測定プロトコルにしたがって,上記第1セットの半導体ウェーハ・ターゲットに対応する第2セットの半導体ウェーハ・ターゲット上のスペクトルの変動セット(a variability set of spectra)を収集し,ここで上記スペクトルの変動セットは上記スペクトル変動性を具体化するものであり(the variability set of spectra embodies the spectra variability),上記収集されたスペクトルのセットおよびパラメータ値を使用して,機械学習を用いて予測モデルをトレーニングしかつ上記予測モデルに関連する損失関数を最小化し,ここで上記予測モデルは第3セットの半導体ウェーハ・ターゲットの製造スペクトル(production spectra)を使用して上記所定パラメータのいずれかの値を予測するために使用されるように構成され,上記製造スペクトルは上記スペクトル取得ツールを使用しかつ上記第1の測定プロトコルにしたがって収集され,上記損失関数は,上記スペクトル変動性の一または複数の所定ソースのそれぞれについて,上記スペクトル変動性を表す項を組み込む(incorporating, for each of the one or more predetermined sources of spectral variability, a term representing the spectral variability)ことによって最小化される。
この発明の他の観点では,上記スペクトル変動性の所定ソースはツール変動性(tool variability)を含む。
この発明の他の観点では,上記変動スペクトルの収集は,上記スペクトル取得ツールと同一のものを複数使用して,上記半導体ウェーハ・ターゲットの選択された一つから変動スペクトルを収集することを含む。
この発明の他の観点では,上記スペクトル変動性の所定ソースは測定反復性(measurement repeatability)を含む。
この発明の他の観点では,上記変動スペクトルの収集は,複数の異なる時点において上記スペクトル取得ツールを用いて上記半導体ウェーハ・ターゲットの選択された一つから上記変動スペクトルを収集することを含む。
この発明の他の観点では,上記第1および第2の測定プロトコルは,チャネル数,照明角度,ターゲット,および同一ターゲットから取得される信号のいずれかが異なる。
この発明の他の観点では,上記方法は,製造半導体ウェーハ(a production semiconductor wafer)の製造中に製造散乱測定スペクトル(production scatterometric spectra)を収集し,予測モデルを用いて上記製造散乱測定スペクトルに基づいて上記所定パラメータのいずれかの予測値を生成することをさらに含む。
この発明の他の観点は,上記製造半導体ウェーハの製造中に半導体製造ツールの動作を制御するために半導体製造ツールに入力を提供することをさらに含む。
この発明の他の観点では半導体計測システムが提供され,上記半導体計測システムは,第1の測定プロトコルにしたがって第1セットの半導体ウェーハ・ターゲット上の散乱スペクトルのベースライン・セットを収集し,スペクトル変動性の一または複数の所定ソースのそれぞれについて,上記第1の測定プロトコルにしたがって,上記第1セットの半導体ウェーハ・ターゲットに対応する第2セットの半導体ウェーハ・ターゲット上の,上記スペクトル変動性を具体化する散乱測定スペクトルの変動セットを収集するように構成されるスペクトル取得ツールと,第2の測定プロトコルにしたがって上記第1セットの半導体ウェーハ・ターゲットの所定パラメータの値を収集するように構成される光学計測ツールと,収集されたスペクトルのセットおよびパラメータ値を使用して,機械学習を用いて予測モデルをトレーニングし,かつ上記予測モデルと関連する損失関数を最小化するように構成されるトレーニング・ツールと,を備え,上記予測モデルが第3セットの半導体ウェーハ・ターゲットの製造スペクトルを用いて上記所定パラメータのいずれかの値を予測するために使用されるように構成され,上記製造スペクトルが上記スペクトル取得ツールを使用して上記第1の測定プロトコルにしたがって収集され,上記損失関数がスペクトル変動性の一または複数の所定ソースのそれぞれについて,上記スペクトル変動性を表す項を組み込むことによって最小化される。
この発明の他の実施態様では,スペクトル変動性の上記所定ソースはツール変動性を含む。
この発明の他の実施態様では,上記スペクトル取得ツールが,上記スペクトル取得ツールと同一のものを複数使用して上記半導体ウェーハ・ターゲットの選択された一つから上記変動スペクトルを収集するように構成されている。
この発明の他の実施態様では,上記スペクトル変動性の所定ソースが測定再現性を含む。
この発明の他の実施態様では,上記スペクトル取得ツールが,複数の異なる時点において上記スペクトル取得ツールを用いて上記半導体ウェーハ・ターゲットの選択された一つから上記変動スペクトルを収集するように構成されている。
この発明の他の実施態様では,上記第1および第2の測定プロトコルが,チャネル数,照明角度,ターゲットおよび同一ターゲットから取得される信号のいずれかにおいて異なっている。
この発明の他の実施態様では,上記スペクトル取得ツールが製造半導体ウェーハの製造中に製造散乱計測スペクトルを収集するように構成されており,上記予測モデルを使用して,上記製造散乱計測スペクトルに基づいて上記所定パラメータのいずれかの予測値を生成するように構成される予測ユニットをさらに備えている。
この発明の他の実施態様では,上記システムがさらに,上記予測値に基づいて半導体製造ツールに入力を提供して,上記製造半導体ウェーハの製造中に上記半導体製造ツールの動作を制御するように構成される処理制御ユニットを備えている。
この発明の態様は,以下の添付図面を参照する詳細な説明からより完全に理解および評価されるであろう。
この発明の一実施態様による,半導体計測および処理制御のためのシステムの簡略化された概念図である。 この発明の一実施態様による,半導体計測および処理制御のためのシステムの簡略化された概念図である。 この発明の種々の実施態様にしたがって動作する,図1および図2のシステムの例示的な動作方法の簡略化されたフローチャートである。 複数のレイヤにわたる制御要素およびレイヤごとの複数のパラメータの調査を表すこの発明の実験結果を表す簡略化されたグラフである。 複数のレイヤにわたる制御要素およびレイヤごとの複数のパラメータの調査を表すこの発明の実験結果を表す簡略化されたグラフである。 研磨時間におけるDOEがこの発明の予測モデルによってどのように学習されたかを示す,この発明の実験結果を表す簡略化されたグラフである。 意図された残りの厚さにおけるDOEがこの発明の予測モデルによってどのように学習されたかを示す,この発明の実験結果を表す簡略化されたグラフである。 この発明の実施態様を実施するためのシステム・アーキテクチャの簡略化された概念図である。 この発明の実施態様を実施するためのシステム・アーキテクチャの簡略化された概念図である。 この発明の予測モデルの改善された精度性能の例を示すこの発明の実験結果を表す簡略化されたグラフである。 この発明の予測モデルの改善された精度性能の例を示すこの発明の実験結果を表す簡略化されたグラフである。 この発明の予測モデルのトレーニングに関連するこの発明の実験結果を表す簡略化されたグラフである。
図1Aおよび図1Bを参照して,これらはいずれも,この発明の一実施態様によって構築されかつ動作する,半導体計測システムの簡略化された概念図である。図1Aにおいて,スペクトル取得ツール100は,従来技術にしたがって一または複数の参照(基準)半導体ウェーハ106上の複数のターゲット(たとえば構造(structures),ダイ(dies))104の散乱測定スペクトル(scatterometric spectra)102を収集するために使用される。スペクトル取得ツール100は,所与のエッチング・ステップの完了直後など,参照半導体ウェーハ106の製造中の選択された処理ステップの近くで(proximate)第1の所定測定プロトコル(a first predetermined measurement protocol)にしたがって,ここではベースライン・スペクトル102と呼ぶ散乱測定スペクトル102を収集する。スペクトル取得ツール100は半導体ウェーハ・ターゲットの散乱測定スペクトルを収集することができる既知の任意のタイプのツールとすることができ,スペクトル・エリプソメータ(Spectral Ellipsometer)(SE),スペクトル反射率計(Spectral Reflectometer)(SR),偏光スペクトル反射率計(Polarized Spectral Reflectometer),または他の任意の光学臨界寸法(Optical Critical Dimension)(OCD)計測ツールなどである。スペクトル取得ツール100によって用いられる上記第1の所定測定プロトコルは,好ましくは2つ以上の情報チャネルからの散乱測定計測値を取り入れる。
参照(基準)計測ツール108,たとえば臨界寸法走査型電子顕微鏡(Critical Dimension Scanning Electron Microscope)(CD―SEM),原子間力顕微鏡(Atomic Force Microscope)(AFM),断面トンネリング電子顕微鏡(cross-section Tunneling Electron Microscope)(TEM),電気計測ツール(electric metrology tool),臨界寸法原子間力顕微鏡(Critical Dimension Atomic Force Microscope)(CD―AFM),X-RAY計測ツール(X-RAY metrology tool),または光学計測ツール(optical metrology tool)などが使用されて,従来技術にしたがって,スペクトル取得ツール100がベースライン・スペクトル102を収集した参照半導体ウェーハ106の製造中の同一の選択された処理ステップの近くで第2の所定測定プロトコルにしたがって(in accordance with a second predetermined measurement protocol proximate to the same selected processing step during fabrication of reference semiconductor wafers 106 at which spectrum acquisition tool 100 collected baseline spectra 102),参照半導体ウェーハ106上のターゲット104の所定パラメータの測定値110が収集される。所定パラメータは半導体ウェーハの任意のタイプの既知のパラメータとすることができ,半導体ウェーハ構造の物理的および化学的特性,材料特性,電気的特性,および幾何学的特性に関連するものなどである。
スペクトル取得ツール100によって使用される第1の測定プロトコルおよび参照計測ツール108によって使用される第2の測定プロトコルは,好ましくは,以下の観点のうちの1つまたは複数において互いに異なるものである。
・情報チャネルの相違。たとえば,一方のプロトコルが様々な照明角度による複数の照明チャネルからの複数の信号を使用するのに対し,他方のプロトコルが所与のサンプルを通常に照明している間に取得された信号のみを使用する場合などである。
・同一サンプル中の位置の相違。たとえば,一方のプロトコルが所与のサンプルの領域A内のスポットターゲット位置(spot target positions)から取得した信号を使用するのに対し,他方のプロトコルが同一サンプルのエリアB内のスポットターゲット位置から取得した信号を使用する場合などである。
・信号の組み合わせの相違。たとえば,一方のプロトコルが複数のスポットターゲット位置から同一照明チャネルを介して取得された複数のオーバーラップ信号を使用するのに対し,他方のプロトコルが単一のスポットターゲット位置から取得された単一の信号を使用する場合などである。
ベースライン・スペクトル102に加えて,スペクトル取得ツール100は,第1の所定測定プロトコルにしたがって,スペクトル取得ツール100がベースライン・スペクトル102を収集した参照半導体ウェーハ106の製造中の同じ選択された処理ステップの近くで,一または複数の参照半導体ウェーハ106 '(参照半導体ウェーハ106であってもまたは異なる半導体ウェーハであってもよい)上において,ここでは変動スペクトル(variability spectra)112と呼ぶ一または複数セットの散乱測定スペクトル112を収集する。スペクトル取得ツール100はスペクトル変動性の所定ソース(スペクトル変動の所定の原因)(a predetermined source of spectra variability)に関連する変動スペクトル112の各セットを収集し,これによってスペクトル変動112のセットがスペクトル変動を具体化(具現化)する(the set of spectra variability 112 embodies the spectra variability)。たとえばスペクトル変動性の所定ソースがツール変動性(tool variability)に関連する場合,スペクトル取得ツール100は,同じ測定プロトコルを使用する複数の同一ツール(すなわち同じメーカーの同じモデル)を用いて,所定サンプルを測定することによって(たとえば,特定ウェーハ上の特定ダイ内の構造のスペクトルを収集する)変動スペクトル112のセットを収集する。同様に,スペクトル変動性の所定ソースが測定再現性(measurement repeatability)に関連する場合,スペクトル取得ツール100は,異なる時点で所定サンプルを測定することによって(たとえば特定ウェーハ上の特定ダイ内の構造のスペクトルを収集する),変動スペクトル112のセットを収集する。スペクトル取得ツール100は任意の数のスペクトル変動性の所定ソースに関連して任意の数の変動スペクトルのセット112を収集する。
トレーニング・ユニット114は,機械学習(machine learning)(ML)を実行することによって予測モデル116をトレーニングすることで,上記モデルに関連する損失関数を最小化しながら(while minimizing a loss function associated with the model),スペクトル取得ツール100によって収集された散乱測定スペクトルすなわちベースライン・スペクトル102および様々なセットの変動スペクトル112と,参照半導体ウェーハ106上のターゲット104の所定パラメータの測定値110との間の対応を識別するように構成される。予測モデル116は第1の測定プロトコルにしたがってスペクトル取得ツールを使用することでスペクトルが収集される大量生産(high-volume manufacturing)(HVM)処理中に半導体ウェーハ・ターゲットの散乱測定スペクトルを使用するなどして,所定パラメータのいずれかの値を予測するために使用されるように構成される。例示的な損失関数は次のように表される。
Figure 0007218412000001
・Loss accuracy はベースライン・スペクトル102および測定値110を考慮した損失項である。
・Xは上記スペクトル取得ツールによって収集されるスペクトルである。
・yは参照計測ツールを使用した計測の結果である所定パラメータの値である。
・y^(X)(y^はアルファベットyの上方に^が位置する記号,以下同様)は,スペクトルXを使用してyの予測(prediction)を提供する予測モデルである。
・全合計は,固有の物理サンプル(たとえばウェーハ上の様々なダイ)の合計を指す。
・Variance(y^)across somethingは,サンプル上で実行される一連の測定全体でサンプルごと(たとえばウェーハ上の特定ダイ)について算出された予測y^(X)の分散(variance)を指し,特定の変動原因(a specific source of variability)を反映する。たとえば,Variance (y^)across repetitionsは,変動原因が測定再現性である場合について,同じダイ上で実行された10回の繰り返し測定にわたる予測y^(X)の分散を表す。
上記の損失関数の例において,Loss accuracyの2乗を任意の正の累乗に置き換えることができ,および/または|y-y^(X)| に代えて任意の単調増加関数を使用することができる。Loss variability のタイプに関しては,特定変動を表す任意のメトリックを使用することができ,必ずしも分散でなくとも,変動性を説明するより高い任意の統計モーメント(any higher statistical moment which describes the variability)などであってもよい。すなわち,たとえばyの分散(これは<(y^-<y^>)>に等しい)を使用する代わりに,<(y^-<y^>)>(ここで任意のp>0)を使用することができ,またはy^と<y^>の差の単調増加関数の他の任意のモーメントを使用することができる。
上記パラメータΛは,予測モデル116のハイパーパラメータ(hyperparameters)を表し,変動項全体の変動を抑制することの相対的な重要性と精度項の重要性(the relative importance of suppressing the variability across the variability terms versus the importance of the accuracy term)を決定する。これらは,好ましくは,精度,再現性,ツール・マッチング,およびユーザにとって重要なその他の機能の観点における,図1Aおよび図1Bのシステムのユーザの仕様のような所定仕様を反映するユーザ定義レシピ・ランキング(user-defined recipe ranking)を最適化する検証および相互検証の方法によってMLモデル・トレーニング中に修正される。
予測モデル116は,好ましくは半導体ウェーハ上の半導体デバイスの製造を制御するように構成された処理制御装置とともに使用するために提供され,図1Bを参照して以下に説明する。
図1Bにおいて,スペクトル取得ツール100または他の類似または同一のスペクトル取得ツールであるスペクトル取得ツール100'が,半導体ウェーハ上の半導体デバイスを製造する大量生産(HVM)処理中などの製造処理中に使用され,製造半導体ウェーハ(半導体ウェーハ製品)(a production semiconductor wafer)106'の散乱測定スペクトルが収集される。スペクトル取得ツール100’は,第1の所定測定プロトコルにしたがって,スペクトル取得ツール100がベースライン・スペクトル102を収集した参照半導体ウェーハ106の製造中の同一の選択された処理ステップ近くで(proximate to the same selected processing step during fabrication of reference semiconductor wafers 196 at which spectrum acquisition tool 100 collected baseline spectra)散乱測定スペクトルを収集する。予測ユニット118は,製造半導体ウェーハ106'から収集されたスペクトルに予測モデル116を適用し,その処理ステップで製造半導体ウェーハ106'の任意のターゲットの図1を参照して上述した任意の所定パラメータに関連する予測値を生成する。処理制御ユニット120は,半導体ウェーハ上に半導体デバイスを製造する処理を制御するための任意の既知の処理制御ハードウェアおよび/またはソフトウェアとすることができ,製造半導体ウェーハ106'の製造中にツールの動作を制御する任意の既知の半導体製造(製作)ツール122(たとえばリソグラフィツール,エッチングツール,堆積ツールなど)に入力を提供することによって,従来の技術にしたがって,製造半導体ウェーハ106'またはその後の製造半導体ウェーハの製造を制御するように構成され,上記入力が上記予測値を使用する所定プロトコルにしたがって決定される。
このように図1Aおよび図1Bのシステムは,同じ物理的ダイおよびウェーハの測定値であって,複数のツールからの(マッチングの最適化のため),および/または複数の時点での(再現性の最適化のため)測定値を表す予測モデル116のMLトレーニング中に追加データを追加することによって,ツールの安定性およびツールの再現性などのメトリックを改善するために使用される。これらのデータはパラメータの参照値を必要としないので比較的安価に(inexpensive)取得することができる。これらのデータが取得されると,予測モデル116は参照値を予測するために使用することができ,同時に仕様内のマッチングおよび再現性を有することができるように最適化される。これは,α・Lrepeatability +βT2Tなどの追加のメトリックを反映する損失項(loss terms)によって,これらの項のハイパーパラメータの事前係数αおよびβを使用して精度と生産性のバランスを取る参照(Loss = Loss reference)との一致を最小限にすることを通常の目的とする予測モデル116の標準損失関数を補足することによって達成される。以下の表1は,精度が合理的に損なわれないものとしつつ,異なる「レイヤ」(すなわち異なるタイプの半導体製造ステップにおける異なる物理的パラメータの異なる測定シナリオ)にわたる再現性の改善を示すこの発明の実験結果を示している。
Figure 0007218412000002
再現性,T2T,ウェーハの滑らかさなどの自己整合性メリット(self-consistency merit)は,すべて,モデルが正則化されるほど強く改善されることを理解されたい。この効果は,精度とこれらの他のパフォーマンス・メトリックのバランスをとる正則化スキームの最適ポイントを見つけるために使用することができる。追加のメトリックの改善を決定するハイパーパラメータは,同じ精度を維持しつつ,任意の適切な手法を用いることを自動的に選択することができる。
図2を参照して,図2はこの発明の一実施例にしたがって動作する,図1Aおよび図1Bのシステムの例示的な動作方法の簡略化されたフローチャートである。図2の方法において,複数の参照(基準)半導体ウェーハ・ターゲットのベースライン・スペクトルが,第1の所定の測定プロトコルにしたがって収集される(ステップ200)。上記参照半導体ウェーハ・ターゲットの所定パラメータの測定値が第2の所定の測定プロトコルにしたがって収集される(ステップ202)。一または複数の変動スペクトルのセットが第1の所定の測定プロトコルにしたがって複数の参照半導体ウェーハ・ターゲット上で収集され,上記変動セットが上記スペクトル変動性を具体的に表す(具体化する)(ステップ204)。予測モデルが機械学習(ML)を実行することによってトレーニングされ,上記モデルに関連付けられた損失関数を最小限にしつつ,上記収集されたスペクトルと上記所定パラメータの測定値との間の対応を識別する(ステップ206)。製造半導体ウェーハ・ターゲットの製造散乱測定スペクトルが上記第1の所定の測定プロトコルにしたがって収集される(ステップ208)。上記予測モデルが上記製造スペクトルに適用され,上記製造半導体ウェーハ・ターゲットの任意の所定パラメータに関連する予測値が生成される(ステップ210)。製造半導体ウェーハまたはその後の製造半導体ウェーハの製造が入力を半導体製造ツールに提供することによって制御され,上記入力は上記予測値を使用する所定プロトコルにしたがって決定される(ステップ212)。
サンプリング強化(Sampling Enhancement)
この発明の一実施形態では,信号Sをウェーハあたり10~15個のダイといった比較的少数の半導体ウェーハ・ダイ上で測定される信号セットに設定し,予測モデル116をトレーニングして完全なウェーハ・マップといったより多くのダイのパラメータPを予測することによって,サンプリング強化が達成される。処理制御ユニット122によって使用されるパラメータは,たとえば,ウェーハ平均(the wafer mean)またはウェーハ・マップの半径方向の記述における多項式パラメータ(the polynomial parameters in a radial description of the wafer map)などのウェーハ・モデル・パラメータとすることができる。以下の表2は,この発明の実験結果を表すもので,BEOLアプリケーションにおけるCMP厚さパラメータのウェーハ平均の精度(the accuracy of the wafer mean of a CMP thickness parameter in a BEOL application)を示している。上記測定についての正解(ground truth)は,イスラエルのレホヴォトのノバ メジャリング インスツルメント リミテッドから市販されているNOVA600(登録商標)Advanced Optical CD MetrologyツールのRCWAインタープリテーションとし,65個のダイの完全なウェーハ・マップを測定したものとした。表に示すように,13個のダイのスペクトルをMLと一緒に使用して65個のダイの完全なウェーハ・マップの平均を予測したところ,~2.0A-2.6Aの適度なエラーとなり,これは,上記スペクトルを収集するために用いたものと同一ダイ上において算出されたウェーハ平均において得られた誤差として選択されるベンチマーク・エラーと非常に近いものであった。
Figure 0007218412000003
現在のレイヤと前のレイヤで実行された測定に基づいてサンプリング戦略をバイアスすることによって,より良好なサンプリングおよびサンプリングの精度向上も達成することができ,これにより前のレイヤの測定が使用される場合に,異なるレイヤでのスパース・サンプリング(sparse sampling)が現在のレイヤの完全なウェーハ・マップ予測を改善するために最適化される。このような方法は,上述したようにパラメータを予測するために,またはオートエンコーダの変形および他の深層学習アップサンプリング方法を使用して,測定されていないダイの生スペクトル自体(raw spectra itself)を予測するために使用することができる。この発明のこの実施形態によれば,全ウェーハ情報はウェーハ上のダイの小さなセットを測定することによって提供される。
測定されるチャネル数を減らすことによるスループットの最適化
この発明の一実施態様では,計測スループット(metrology throughput)(TPT)は,多くの測定チャネルを含む計測レシピから開始し,通常の物理モデリングを使用して参照値を取得し,TPTが優れている少数のチャネル(たとえば2つの通常チャネルのみ)であって,そのうちの一つが精度とスループットのバランスが取れたソリューションを「転送」できるものを検索することによって最適化することができる。この効果が図3Aおよび図3Bに示されており,図3Aおよび図3Bは7つのレイヤ(層)にわたる22個の制御要素およびレイヤごとの複数のパラメータの調査を示す,この発明の実験結果を表している。図3Aおよび図3Bにおいて,参照値はCDSEMやTEMといった,物理モデリングまたは外部参照ツールのいずれかで提供されたものである。図3Aおよび図3Bに示すように,精度(図3A)および再現性(図3B)に重大なペナルティをもたらすことなく,多くのチャネルを単一のチャネルに転送(transfer)することができる。これによりTPTを3倍から4倍に増やすことができる。
この発明のこの実施形態によれば,トレーニング・ユニット114が,ユーザ定義されたまたは他の方法で所定所望のスループットを有するように制約された最適チャネルを自動的に選択する。
限られた量の参照データを処理する方法(Methods of handling a limited amount of reference data)
直接処理制御
この発明の一実施態様では,半導体製造において使用されるアドバンスト・プロセス・コントロール(Advanced Process Control)(APC)システムにおいて使用されるようなツール・ノブ制御ソリューション(tool knob control solutions)が,2つのレベルの変動ソース,すなわち処理ウェーハ内(バッチ)およびウェーハ間変動(バッチ間)に提供される。処理ツール(たとえばCMP時間またはエッチング温度を制御するノブ上のDOE)のレシピ・パラメータの範囲において測定される信号Sのセットを最初に取得することによって処理パラメータを直接制御するためにMLを使用することができる。次に予測モデル116は,ツール・ノブへのOCD信号を学習および較正するようにトレーニングされる。次に,予測モデル116を使用してツール・ノブへのフィードバックとして使用できる有効なノブ設定を予測することができる。
2つの異なるDOEレベルを有する2つのグループの信号が与えられると,DOEレベルを基準として,トレーニング・ユニット114は,MLを使用してノブの変化に対するスペクトル応答,すなわちノブに対するスペクトル感度を抽出する。トレーニング・ユニット114はグループ間のスペクトル差を追跡し,グループのノミナル(公称値,nominal)は,好ましくは,ノブ効果が支配的なものになるように可能な限り近いものである。次に,学習した関係にしたがって将来の処理が調整され,パラメータの所望の目標結果を達成したり,処理およびツールのドリフトを調整して潜在的な障害を防止したりすることができる。この効果が図4Aおよび図4Bに示されており,これらは研磨時間(図4A)および意図された残りの厚さ(図4B)においてDOEがIMスペクトルを使用する予測モデル116によってどのように学習されたかを示すこの発明の実験結果を表している。図4Aおよび図4Bは,上記DOEのウェーハ・レベルの均一性にかかわらず,「有効ノブ」がWIW変動をキャプチャする(すなわち,上記モデルが各ウェーハの有効ノブ設定の範囲を予測する)ことを示している。この効果的なノブ技術が有用であることを確認するために,イスラエル国,レホヴォトのノバ メジャリング インスツルメント リミテッドからから市販されているNOVA T600(登録商標)MMSR Advanced Optical CD Metrologyツールを使用した物理アルゴリズムによって測定されたターゲットの残りの厚さの外部正解(external ground truth)に対して提示されている。このようなモデルは,WIWノブを備えた処理ツールへのフィードバックに使用することができる。図4Aに示すように,予測された有効研磨時間(「有効ノブ」)は、正解の研磨層厚と高い相関関係があり,他方で図2Bは基準(参照)に対する予測研磨厚効果を示している。
データ拡張(Data augmentation)
シンプルなMLアルゴリズムには,説明しようとしている基礎となる物理学に関する事前知識が含まれていない。これは,それらの成功がデータの可用性(availability),より具体的には参照データの可用性に基づいていることを意味する。この発明の一実施形態では,予測モデル116をトレーニングするために使用されるトレーニング・データ・セットのサイズは,データの非常に複雑な確率分布を記述する能力を有するML方法のクラスである生成モデルによって(by means of generative models, which are a class of ML methods that have the ability of describing a highly complex probability distribution of data)増加する。単純な物理的アナロジーは統計物理学におけるボルツマン重み(Boltzmann weight)またはユークリッド量子論の経路積分形式(path integral formalism for Euclidean quantum theories)である。いずれの場合も,システムの自由度の振る舞い(たとえば統計物理学におけるスピンや量子電気力学における電子)を表す確率関数が定義される。これらの確率関数は明示的な形式を有しており,包含される物理学の実現を生成するために(to generate realizations of the physics involved)使用することができる。事実として,物理学の多くの分野では,このような形式は,物質の物理的特性を計算するための大規模なマルコフ連鎖モンテカルロ・シミュレーション(large scale Markov chain Monte-Carlo simulations)において使用される。データ・サイエンスの生成モデルは明示的または暗黙的であることがあり,明示的モデルでは確率関数が明示的に記述され(ボルツマン重みと同じ),暗黙的モデルではアルゴリズムが経験的データセットの統計を「学習」し,その後に同じ統計を用いて新しいデータ例を生成することができる。暗黙的方法の例には,変分オートエンコーダ(Variational Auto Encoders)や生成的敵対的ネットワーク(Generative Adversarial Networks)が含まれる。この発明の一実施態様では,これらの方法は,参照データセットを増加および多様化のために使用され,それによってトレーニング・ユニット114の性能が最適化され,基礎となる物理学を予測モデル116に反映する機械学習モデルの様々な特徴間の事前情報および制約の導入が可能になる。
処理堅牢性の解決(Solving process robustness)
処理堅牢性を解決するために,この発明の一実施態様では,MLアルゴリズムとビッグデータ・システムの組み合わせが採用され,これは以下のようにレシピを作成し,これを監視かつ制御する。
・レシピ・トレーニング:
MLを使用して可能な限り自動的に,数百のスペクトル・タイプ(「測定レシピ」)にわたることがあるビッグ・データ・データベース内において,数か月,場合によっては数年にわたってユーザがトレーニング・データをクエリできるようにする。
・レシピの検証:
相互検証とブラインド・テスト方法論を使用して,レシピの品質に関するカスタマー・フィードバックを生成する。
・レシピ監視:
トレーニング・データを用いて,監視防御インデックス(monitor defense indices)を,これらのインデックスの仕様とともに生成する。次に,これらのインデックスをインラインで監視し,たとえばSEMI標準SPCルール(SEMI standard SPC rules)を採用等することでレシピの精度が低下した場合にフラグを立てる。
・レシピ更新:
監視(モニタ)が修復のために計測レシピにフラグを立てると,自動再トレーニング・シーケンスが開始され,新しいレシピがその検証結果とともに自動的に提案される。
図5Aに示すこの実施態様は,外側円が参照ツールを表しており,これはNOVA T600(登録商標)-MMSRのようなハイエンドの,チャネルが豊富な光学計測ツール,またはXPS,SEMまたはTEMなどの非光学参照(non-optical reference)とすることができる。通常,これらのツールのCOOは高く,TPTは低く,破壊性,ツール・マッチング,再現性などの重要な生産性の課題も反映する。精度的には,これらのツールは情報量が多いという特徴がある(たとえば高解像度のイメージング・ツールやマルチチャネルの光学ツール)。内側円は,イスラエル,レホヴォトのノバ メジャリング インスツルメント リミテッドからから市販されているNOVA i550(登録商標)法線入射チャネル統合計測(normal incidence channel integrated metrology)(IM)ツールのような,高速かつコンパクトなOCDツールを表しており,これは,スループットが高く,必要なサンプリングレート(ダイの数)ですべてのウェーハをインラインで測定する機能があり,入力がSinner-circleで,Preferenceについての予測を出力するインラインで実行されるMLアルゴリズムを備えるものである。
システム・アーキテクチャ(System architecture)
図5Bは,この明細書に記載のこの発明の一または複数の実施形態を実装するためのアーキテクチャを示しており,コンピュータ・クラスタが,ウェブサーバレイヤ(たとえばNodeJS(商標))およびビッグデータレイヤ(たとえば,メリーランド州,フォレストヒルのアパッチ・ソフトウエア・ファウンデーション(Apache Software Foundation)から市販されているHadoop(商標)クラスタ)を含む。ビッグデータレイヤは,スケーラブルかつ分散された方法においてデータを保存および処理するために用いられる。ウェブサーバレイヤは,ユーザが制御システムにおける処理ジョブを規定し,制御システムのレポートおよび実行ステータスを表示できるようにする。両レイヤはスケーラビリティ,高可用性および負荷分散を実現するためにマイクロサービス・アプローチ(micro-services approach)を使用して設計される。メッセージ・キューが用いられてサービス間の通信が行われ,密結合(tight coupling)が減らされる。ビッグデータレイヤのパフォーマンスを向上させるために,さまざまなデータ前処理パイプラインが採用される。
データサイズおよび長期処理の堅牢性(Data size and long-term process robustness)
ビッグデータ・システムを使用すると,予測モデル116のトレーニングに利用可能なトレーニング・データの量を増やすことができ,予測モデル116をリアルタイムで改善および更新でき,これによりデータ管理のオーバーヘッドによる精度制限がなくなり,長期的な処理の堅牢性が確保される。図6Aおよび図6Bは,FEOLレイヤに適用された予測モデル116の改善された精度性能の2つの例を示している。ここで参照(基準)は,NOVA T600(登録商標)-MMSRで開発された物理モデルとし,予測モデル116には推論のために2つの通常チャネルを使用した。プロットは,~2000ダイにわたるブラインド・テスト結果の1σとして定義される予測モデル116の精度が,モデルのトレーニングに使用されるウェーハ(各ウェーハは~15ダイを持つ)の数にどのように依存するか示している。図6Aに示すように,トレーニング・サイズ(train size)を5ウェーハから200ウェーハに増やすと精度を45%向上させることができる(13A→6A)。このような大きなサンプルで飽和することは一般的ではなく,DOEが存在する場合,現場では数十倍の良好な結果が得られることがよくある(we often see good results on tens on sites provided a DOE is present)。図6Bは別の状況を示すもので,ここでは,予測モデル116の精度が,使用されるデータの量だけではなく,これをトレーニングするために使用されるデータに強く依存していることを示している。具体的には,図6bは,ブラインド・テスト・ウェーハに時間的に最も近い(closest in time)~20枚のウェーハでトレーニングした場合(曲線600で示す),モデルが,ブラインド・テストから最も遠い100枚のウェーハでトレーニングした場合(曲線602で示す)と同じレベルの精度に収束したことを示している。これらの曲線の間にはベンチマーク曲線(曲線604で示す)があり,これは時間の重要性の記憶を「失う」ためのデータのランダムなシャッフル(a random shuffle of the data so as to ‘lose’ memory of the important of time)を記述する。これは,ウェーハのタイプが重要であり,このアプリケーションが,この実施形態のビッグデータ・システムが可能であるMLレシピの動的更新から利益を得るであろうことを示している。
上記のレシピ更新ステップは,再トレーニングの実行に使用されるデータの選択と同様に自動的に実行される。この選択では,利用可能なすべてのデータを使用するようにしてもよく,または各ウェーハの監視フラグの値および/または処理されている他のウェーハへの所与のウェーハの時間的または処理空間的な近接性を考慮する論理に基づくことができる。たとえば,再トレーニングに利用可能なデータにおいてウェーハごとに異なる重みpを設定することができる。上記重みpは,そのウェーハのフラグ値,スペクトル自体,および/またはウェーハやロットIDなどの他のメタデータ特性に依存させてもよい。これが図7に示されている。
この明細書に記載のこの発明の任意の態様は,従来の技術にしたがって,非一時的なコンピュータ読み取り可能な媒体に具現化されるコンピュータ・ハードウェアおよび/またはコンピュータ・ソフトウェア,一または複数のコンピュータ・プロセッサを含むコンピュータ・ハードウェア,コンピュータ・メモリ,I/Oデバイス,および従来の手法にしたがって相互運用するネットワーク・インターフェースに実装することができる。
この明細書において使用される「プロセッサ」または「デバイス」という用語は,たとえばCPU(中央処理装置)および/または他の処理回路を含むものなどの任意の処理デバイスを含むことを意図していることを理解されたい。「プロセッサ」または「デバイス」という用語は,複数の処理デバイスを指す場合があり,処理デバイスに関連する様々な要素が他の処理デバイスによって共有される場合があることも理解されたい。
この明細書において使用される「メモリ」という用語は,処理装置またはCPUと協調するメモリ,たとえば,RAM,ROM,固定メモリ装置(たとえばハードドライブ),リムーバブル・メモリ装置(たとえばディスク),フラッシュ・メモリ等を含むことを意図する。このようなメモリをコンピュータ読み取り可能な記憶媒体と考えてもよい。
さらには,この明細書において使用される「入力/出力装置」または「I/O装置」という用語は,たとえば処理ユニットにデータを入力するための一または複数の入力装置(たとえばキーボード,マウス,スキャナ等),および/または処理ユニットと協調して結果を提示する一または複数の出力装置(たとえばスピーカ,ディスプレイ,プリンタ等)を含むことを意図する。
この発明の実施形態は,システム,方法,および/またはコンピュータプログラム製品を含む。コンピュータプログラム製品は,プロセッサにこの発明の態様を実行させるためのコンピュータ読み取り可能なプログラム命令を有するコンピュータ読み取り可能な記憶媒体(または複数の媒体)を含む。
コンピュータ読み取り可能な記憶媒体は,命令実行デバイスによって使用される命令を保持および記憶することができる有形デバイスとすることができる。コンピュータ読み取り可能な記憶媒体は,たとえば,これらに限定されないが,電子記憶装置,磁気記憶装置,光記憶装置,電磁記憶装置,半導体記憶装置,またはこれらの任意の適切な組み合わせとすることができる。コンピュータ読み取り可能な記憶媒体のより具体的な例の非網羅的なリストには,可搬のコンピュータディスケット,ハードディスク,ランダムアクセスメモリ(RAM),読み取り専用メモリ(ROM),消去可能なプログラム可能な読み取り専用メモリ(EPROMまたはフラッシュ・メモリ),静的ランダムアクセスメモリ(SRAM)、可搬のコンパクトディスク読み取り専用メモリ(CD-ROM),デジタル多用途ディスク(DVD),メモリスティック,フロッピーディスク,パンチカードまたは指示が記録された溝内隆起構造のような機械的符号化装置,およびこれらの任意の適切な組み合わせを含む。この明細書で使用されるコンピュータ読み取り可能な記憶媒体は,電波または他の自由に伝播する電磁波,導波管または他の伝送媒体を通って伝播する電磁波(たとえば光ファイバケーブルを通過する光パルス)またはワイヤを介して送信される電気信号などの一時的信号自体と解釈されるべきではない。
この明細書に記載のコンピュータ読み取り可能なプログラム命令は,コンピュータ読み取り可能な記憶媒体からそれぞれのコンピューティング/処理デバイスに,またはネットワークたとえばインターネット,ローカルエリアネットワーク,ワイドエリアネットワークおよび/またはワイヤレスネットワークを介して外部コンピュータまたは外部記憶デバイスに,ダウンロードすることができる。ネットワークは,銅線伝送ケーブル,光ファイバー,無線伝送,ルーター,ファイアウォール,スイッチ,ゲートウェイコンピューターおよび/またはエッジサーバーを含むことができる。各コンピューティング/処理デバイスのネットワークアダプタカードまたはネットワーク・インターフェースは,ネットワークからコンピュータ読み取り可能なプログラム命令を受信し,それぞれのコンピューティング/処理デバイス内のコンピュータ読み取り可能な記憶媒体に記憶するためにコンピュータ読み取り可能なプログラム命令を転送する。
この発明の動作を実行するためのコンピュータ読み取り可能なプログラム命令は,アセンブラ命令,命令セットアーキテクチャ(ISA)命令,機械命令,機械依存命令,マイクロコード,ファームウェア命令,状態設定データ,もしくはソースコード,またはJava,Smalltalk,C ++などのオブジェクト指向プログラミング言語,「C」プログラミング言語または同様のプログラミング言語などの従来の手続き型プログラミング言語を含む,一つ以上のプログラミング言語の任意の組み合わせで記述されるオブジェクトコードのいずれかとすることができる。コンピュータ読み取り可能なプログラム命令は,完全にユーザのコンピュータ上で,一部はユーザのコンピュータ上でスタンドアロンソフトウェアパッケージとして,一部はユーザのコンピュータ上で一部はリモートコンピュータ上で,または完全にリモートコンピュータまたはサーバ上で,実行される。後者のシナリオでは,リモートコンピュータは,ローカルエリアネットワーク(LAN)またはワイドエリアネットワーク(WAN)を含む任意のタイプのネットワークを介してユーザのコンピュータに接続するか,外部コンピュータに接続することができる(たとえばインターネットサービスプロバイダを使用したインターネット経由)。いくつかの実施形態では,たとえばプログラマブルロジック回路,フィールドプログラマブルゲートアレイ(FPGA)またはプログラマブルロジックアレイ(PLA)を含む電子回路が,この発明の態様を実行するために,コンピュータ読み取り可能なプログラム命令の状態情報を利用して電子回路をパーソナライズすることにより,コンピュータ読み取り可能プログラム命令を実行することができる。
この発明の態様は,この発明の実施形態による方法,装置(システム)およびコンピュータプログラム製品のフローチャートおよび/またはブロック図を参照してこの明細書に記載される。フローチャートおよび/またはブロック図の各ブロック,およびフローチャートおよび/またはブロック図のブロックの組み合わせはコンピュータ読み取り可能なプログラム命令によって実装できることが理解されよう。
これらのコンピュータ読み取り可能なプログラム命令は,汎用コンピュータ,専用コンピュータ,またはその他のプログラム可能なデータ処理装置のプロセッサに提供され,コンピュータのプロセッサまたは他のプログラム可能なデータ処理装置を介して命令を実行する機械が製造され,フローチャートおよび/または一または複数のブロックにおいて特定される機能/作用を実施するための手段を作成することができる。これらのコンピュータ読み取り可能なプログラム命令はまた,コンピュータ読み取り可能な記憶媒体に記憶することができ,コンピュータ,プログラム可能なデータ処理装置および/または他のデバイスに特定の態様において機能するように指示することができる。コンピュータ読み取り可能な記憶媒体はそこに記憶されたフローチャートおよび/または一または複数のブロックにおいて特定される機能/作用の態様を実装する命令を含む製造品を含む。
コンピュータ読み取り可能なプログラム命令はまた,コンピュータ,他のプログラム可能なデータ処理装置,または他のデバイスにロードされ,コンピュータ上,他のプログラム可能な装置上または他のデバイス上で一連の操作ステップを実行させ,コンピュータ実装処理を生成することができる。コンピュータ,他のプログラム可能な装置または他のデバイス上で実行される命令は,フローチャートおよび/または一または複数のブロック図において特定される機能/作用を実施する。
図面中のフローチャートおよびブロック図は,この発明の様々な実施形態によるシステム,方法,およびコンピュータプログラム製品に実装可能なアーキテクチャ,機能および動作を示している。これに関して,フローチャートまたはブロック図の各ブロックは,コンピュータ命令のモジュール,セグメントまたは部分を表すことができ,これは特定の論理機能を実装するための一または複数の実行可能なコンピュータ命令を含む。いくつかの他の実装形態では,ブロックに示されている機能は,図面中に示されている順序から外れて動作することがある。たとえば,連続して示される2つのブロックは,実際には実質的に同時に実行されたり,または関連する機能に応じてブロックが逆の順序で実行されたりする場合もある。フローチャートおよびブロック図の各ブロックならびにブロックの組み合わせは,特定の機能または動作を実行する専用ハードウェアベースおよび/またはソフトウェアベースのシステムによって実装できることにも留意されたい。
この発明の様々な実施形態の説明は,例示目的で提示されており,網羅的であることを意図するものではなく,開示する実施形態に限定されることを意図するものではない。たとえば,本書に記載のシステムおよび方法は,半導体ウェーハ上の任意の構造に適用可能である。説明する実施形態の範囲および精神から逸脱することのない多くの修正および変形が当業者には明らかであろう。

Claims (22)

  1. スペクトル取得ツールを使用しかつ第1の測定プロトコルにしたがって,第1セットの半導体ウェーハ・ターゲット上のスペクトルのベースライン・セットを収集し,
    参照計測ツールを使用しかつ第2の測定プロトコルにしたがって,上記第1セットの半導体ウェーハ・ターゲットの所定パラメータの値を収集し,
    スペクトル変動性の一または複数の所定ソースのそれぞれについて,上記スペクトル取得ツールを使用しかつ上記第1の測定プロトコルにしたがって,上記第1セットの半導体ウェーハ・ターゲットに対応する第2セットの半導体ウェーハ・ターゲット上のスペクトルの変動セットを収集し,ここで上記スペクトルの変動セットはスペクトル変動性を具体化するものであり,
    トレーニングセット・データを使用して,機械学習を用いて予測モデルをトレーニングし,かつ上記予測モデルに関連する損失関数を最小化し,
    ここで上記トレーニングセット・データは,収集されたスペクトルのセットおよびパラメータ値を用いて構成され,かつ一または複数の生成モデルを用いてそのサイズが増やされるものであり,
    上記予測モデルは,第3セットの半導体ウェーハ・ターゲットの製造スペクトルを使用して上記所定パラメータのいずれかの値を予測するために使用されるように構成され,上記製造スペクトルは上記スペクトル取得ツールを使用しかつ上記第1の測定プロトコルにしたがって収集され,
    上記損失関数は,上記スペクトル変動性の一または複数の所定ソースのそれぞれについて上記スペクトル変動性を表す項を組み込むことによって最小化される,
    半導体計測方法。
  2. 上記生成モデルのいずれかは,トレーニングセット中のデータの確率分布を提供する所定の確率関数を用いて,上記確率関数を使用して新しいデータ例を生成し,これによって上記トレーニングセット・データのサイズを増やすものである,
    請求項1に記載の方法。
  3. 上記確率関数が明示的に記述されている,
    請求項2に記載の方法。
  4. 上記生成モデルのいずれかは,所定のアルゴリズムを用いて上記トレーニングセット中のデータの統計を決定し,同じ統計を持つ新しいデータ例を生成することで上記トレーニングセット・データのサイズを増やすものである,
    請求項1に記載の方法。
  5. 上記生成モデルのいずれかは,変分オートエンコーダである,
    請求項4に記載の方法。
  6. 上記生成モデルのいずれかは,生成的敵対ネットワークを用いるものである,
    請求項4に記載の方法。
  7. 上記半導体ウェーハ・ターゲットの基礎となる物理学を反映する任意の生成モデルの様々な特徴間の任意の情報および制約を,上記予測モデルに導入することをさらに含む,
    請求項1に記載の方法。
  8. 上記所定のパラメータは,上記半導体ウェーハ・ターゲットの構造の物理的および化学的特徴,材料特性,電気的特性,および幾何学的特性のいずれかに関連するものである,
    請求項1に記載の方法。
  9. 上記スペクトル取得ツールは,スペクトル・エリプソメーター(SE),スペクトル反射率計(SR),偏光スペクトル反射率計,および光学限界寸法(OCD)計測ツールのいずれかである,
    請求項1に記載の方法。
  10. 上記ツールのいずれかが,統合計測システムにおいて用いられるように構成されている,
    請求項1に記載の方法。
  11. 上記予測モデルが大量生産(HVM)処理中に用いられる,
    請求項1に記載の方法。
  12. 第1の測定プロトコルにしたがって第1セットの半導体ウェーハ・ターゲット上の散乱スペクトルのベースライン・セットを収集し,
    スペクトル変動性の一または複数の所定ソースのそれぞれについて,上記第1の測定プロトコルにしたがって,上記第1セットの半導体ウェーハ・ターゲットに対応する第2セットの半導体ウェーハ・ターゲット上の,上記スペクトル変動性を具体化する散乱測定スペクトルの変動セットを収集するように構成されるスペクトル取得ツールと,
    第2の測定プロトコルにしたがって,上記第1セットの半導体ウェーハ・ターゲットの所定パラメータの値を収集するように構成される参照計測ツールと,
    トレーニングセット・データを使用して,機械学習を用いて予測モデルをトレーニングし,かつ上記予測モデルに関連する損失関数を最小化するように構成されるトレーニング・ユニットと,を備え,
    ここで上記トレーニングセット・データは,収集されたスペクトルのセットおよびパラメータ値を用いて構成され,かつ一または複数の生成モデルを用いてそのサイズが増やされるものであり,
    上記予測モデルが第3セットの半導体ウェーハ・ターゲットの製造スペクトルを用いて所定パラメータのいずれかの値を予測するために使用されるように構成され,上記製造スペクトルが上記スペクトル取得ツールを使用して上記第1の測定プロトコルにしたがって収集され,
    上記損失関数が,スペクトル変動性の一または複数の所定ソースのそれぞれについて,上記スペクトル変動性を表す項を組み込むことによって最小化される,
    半導体計測システム。
  13. 上記生成モデルのいずれかは,トレーニングセット中のデータの確率分布を提供する所定の確率関数を用いて,上記確率関数を使用して新しいデータ例を生成し,これによって上記トレーニングセット・データのサイズを増やすものである,
    請求項12に記載のシステム。
  14. 上記確率関数が明示的に記述されている,
    請求項13に記載のシステム。
  15. 上記生成モデルのいずれかは,所定のアルゴリズムを用いて上記トレーニングセット中のデータの統計を決定し,同じ統計を持つ新しいデータ例を生成することで上記トレーニングセット・データのサイズを増やすものである,
    請求項12に記載のシステム。
  16. 上記生成モデルのいずれかは,変分オートエンコーダである,
    請求項15に記載のシステム。
  17. 上記生成モデルのいずれかは,生成的敵対ネットワークを用いるものである,
    請求項15に記載のシステム。
  18. 上記半導体ウェーハ・ターゲットの基礎となる物理学を反映する任意の生成モデルの様々な特徴間の任意の情報および制約を,上記予測モデルに導入することをさらに含む,
    請求項12に記載のシステム。
  19. 上記所定のパラメータは,上記半導体ウェーハ・ターゲットの構造の物理的および化学的特徴,材料特性,電気的特性,および幾何学的特性のいずれかに関連するものである,
    請求項12に記載のシステム。
  20. 上記スペクトル取得ツールは,スペクトル・エリプソメーター(SE),スペクトル反射率計(SR),偏光スペクトル反射率計,および光学限界寸法(OCD)計測ツールのいずれかである,
    請求項12に記載のシステム。
  21. 上記ツールのいずれかが,統合計測システムにおいて用いられるように構成されている,
    請求項12に記載のシステム。
  22. 上記予測モデルが大量生産(HVM)処理中に用いられる,
    請求項12に記載のシステム。
JP2021179992A 2018-06-14 2021-11-04 半導体製造計測および処理制御 Active JP7218412B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023009145A JP2023055776A (ja) 2018-06-14 2023-01-25 半導体製造計測および処理制御

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862684817P 2018-06-14 2018-06-14
US62/684,817 2018-06-14
PCT/IB2019/054994 WO2019239380A1 (en) 2018-06-14 2019-06-14 Metrology and process control for semiconductor manufacturing
JP2020569886A JP6974635B2 (ja) 2018-06-14 2019-06-14 半導体製造計測および処理制御

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020569886A Division JP6974635B2 (ja) 2018-06-14 2019-06-14 半導体製造計測および処理制御

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023009145A Division JP2023055776A (ja) 2018-06-14 2023-01-25 半導体製造計測および処理制御

Publications (2)

Publication Number Publication Date
JP2022020745A JP2022020745A (ja) 2022-02-01
JP7218412B2 true JP7218412B2 (ja) 2023-02-06

Family

ID=68843046

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020569886A Active JP6974635B2 (ja) 2018-06-14 2019-06-14 半導体製造計測および処理制御
JP2021179992A Active JP7218412B2 (ja) 2018-06-14 2021-11-04 半導体製造計測および処理制御
JP2023009145A Pending JP2023055776A (ja) 2018-06-14 2023-01-25 半導体製造計測および処理制御

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020569886A Active JP6974635B2 (ja) 2018-06-14 2019-06-14 半導体製造計測および処理制御

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023009145A Pending JP2023055776A (ja) 2018-06-14 2023-01-25 半導体製造計測および処理制御

Country Status (7)

Country Link
US (3) US11093840B2 (ja)
JP (3) JP6974635B2 (ja)
KR (3) KR20230144122A (ja)
CN (2) CN114997408A (ja)
IL (1) IL279273B (ja)
TW (3) TWI714388B (ja)
WO (1) WO2019239380A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
KR20220123301A (ko) * 2020-01-06 2022-09-06 노바 엘티디. 물리적 모델링과 머신 학습 결합
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
US11209737B1 (en) * 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
IL308126A (en) * 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
KR102504761B1 (ko) * 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법
TW202405371A (zh) * 2022-04-07 2024-02-01 以色列商諾威股份有限公司 用於光學臨界尺寸量測的方法、用於光學臨界尺寸量測的系統以及非暫時性機器可存取儲存媒體

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008171911A (ja) 2007-01-10 2008-07-24 Tokyo Electron Ltd ラフネス評価方法及びシステム
JP2015045520A (ja) 2013-08-27 2015-03-12 芝浦メカトロニクス株式会社 エッチング量測定用パターン、エッチング量測定装置、およびエッチング量測定方法
JP2017026638A (ja) 2012-02-21 2017-02-02 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100708423B1 (ko) * 1999-09-08 2007-04-18 어드밴스드 마이크로 디바이시즈, 인코포레이티드 발광 스펙트럼의 주성분 분석을 이용하여 식각 종료점을 결정하는 방법 및 이를 포함하는 웨이퍼 식각 방법
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
WO2008020899A2 (en) * 2006-04-17 2008-02-21 Cdm Optics, Inc. Arrayed imaging systems and associated methods
US8520186B2 (en) * 2009-08-25 2013-08-27 Cymer, Llc Active spectral control of optical source
US9052709B2 (en) * 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
US9127927B2 (en) * 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
KR102269514B1 (ko) * 2014-11-25 2021-06-25 케이엘에이 코포레이션 랜드스케이프의 분석 및 활용
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
KR102377411B1 (ko) * 2015-04-10 2022-03-21 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
CN107533299B (zh) * 2015-04-10 2021-04-02 Asml荷兰有限公司 用于检测及量测的方法与装置
WO2016177548A1 (en) * 2015-05-07 2016-11-10 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10395356B2 (en) * 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
CN109313393A (zh) * 2016-06-09 2019-02-05 Asml荷兰有限公司 计量设备

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008171911A (ja) 2007-01-10 2008-07-24 Tokyo Electron Ltd ラフネス評価方法及びシステム
JP2017026638A (ja) 2012-02-21 2017-02-02 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法
JP2015045520A (ja) 2013-08-27 2015-03-12 芝浦メカトロニクス株式会社 エッチング量測定用パターン、エッチング量測定装置、およびエッチング量測定方法

Also Published As

Publication number Publication date
KR20220008384A (ko) 2022-01-20
CN111566566B (zh) 2022-04-08
CN114997408A (zh) 2022-09-02
JP2021521654A (ja) 2021-08-26
JP2022020745A (ja) 2022-02-01
TW202244486A (zh) 2022-11-16
KR102586405B1 (ko) 2023-10-10
TWI830165B (zh) 2024-01-21
TWI714388B (zh) 2020-12-21
CN111566566A (zh) 2020-08-21
US11093840B2 (en) 2021-08-17
US20220036218A1 (en) 2022-02-03
US20240078450A1 (en) 2024-03-07
TW202045911A (zh) 2020-12-16
US11763181B2 (en) 2023-09-19
IL279273B (en) 2022-02-01
JP6974635B2 (ja) 2021-12-01
WO2019239380A1 (en) 2019-12-19
US20210150387A1 (en) 2021-05-20
KR20210033945A (ko) 2021-03-29
IL279273A (en) 2021-01-31
KR20230144122A (ko) 2023-10-13
JP2023055776A (ja) 2023-04-18
TW202127009A (zh) 2021-07-16
TWI760929B (zh) 2022-04-11
KR102349299B1 (ko) 2022-01-11

Similar Documents

Publication Publication Date Title
JP7218412B2 (ja) 半導体製造計測および処理制御
TWI794451B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
KR102376824B1 (ko) 제조 공정들을 학습하고 제조 공정들을 최적화하기 위한 시스템 및 방법
TWI672599B (zh) 探索裝置及探索方法
JP2009075110A (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
JP2023523156A (ja) スペクトルに基づく測定およびプロセス制御のための機械学習および深層学習の方法
Kim et al. Computational metrology: enabling full-lot high-density fingerprint information without adding wafer metrology budget, and driving improved monitoring and process control
Yang et al. Application of machine learning-based metrology for writer main pole CD measurement by CDSEM
TWI838361B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
US20230054159A1 (en) Method and device for predicting defects
TW202341307A (zh) 用於腔室條件監測的預測模型
TW202414132A (zh) 用於防止機器學習模型性能的波動的方法和機制
TW202340885A (zh) 用於在評估系統與製造系統之間進行介接的通訊節點
CN118020083A (en) Estimating defect risk using defect models and optimizing process recipe

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211202

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230125

R150 Certificate of patent or registration of utility model

Ref document number: 7218412

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150