TW202018430A - 用於基於影像之疊對量測之信號回應計量 - Google Patents

用於基於影像之疊對量測之信號回應計量 Download PDF

Info

Publication number
TW202018430A
TW202018430A TW108126827A TW108126827A TW202018430A TW 202018430 A TW202018430 A TW 202018430A TW 108126827 A TW108126827 A TW 108126827A TW 108126827 A TW108126827 A TW 108126827A TW 202018430 A TW202018430 A TW 202018430A
Authority
TW
Taiwan
Prior art keywords
image
measurement
model
image data
metrology
Prior art date
Application number
TW108126827A
Other languages
English (en)
Inventor
史帝藍 伊凡渥夫 潘戴夫
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW202018430A publication Critical patent/TW202018430A/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/28Determining representative reference patterns, e.g. by averaging or distorting; Generating dictionaries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/772Determining representative reference patterns, e.g. averaging or distorting patterns; Generating dictionaries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30168Image quality inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Evolutionary Biology (AREA)
  • General Engineering & Computer Science (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Multimedia (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本發明揭示用於僅基於所量測之基於影像的訓練資料來產生一基於影像的量測模型的方法及系統。接著,該經訓練之基於影像的量測模型用於直接根據自其他晶圓收集的量測影像資料來計算一或多個所關注參數值。該等基於影像之量測模型接收影像資料直接作為輸入,且提供一或多個所關注參數之值作為輸出。在一些實施例中,該基於影像之量測模型實現疊對誤差之該直接量測。在一些實施例中,自裝置上結構之影像判定疊對誤差。在一些其他實施例中,自專用目標結構之影像判定疊對誤差。在一些實施例中,來自多個目標之影像資料、藉由多個計量收集之影像資料或兩者係用於模型建立、訓練及量測。在一些實施例中,一最佳化演算法自動化該基於影像之量測模型建立及訓練程序。

Description

用於基於影像之疊對量測之信號回應計量
所描述之實施例係關於計量系統及方法,且更特定言之係關於用於改良基於影像之量測之方法及系統。
通常藉由應用至一樣本之一序列處理步驟製造半導體裝置(諸如邏輯及記憶體裝置)。藉由此等處理步驟形成半導體裝置之各種特徵及多個結構層級。舉例而言,微影尤其係涉及產生半導體晶圓上之圖案之半導體製造程序。半導體製造程序之額外實例包含但不限於化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可在一單一半導體晶圓上製造,且接著分為個別半導體裝置。 在半導體製造程序期間在各種步驟處使用計量程序來偵測晶圓上缺陷,以促進更高良率。光學計量技術實現高產量之可能而無樣品破壞之風險。包含散射量測及反射量測實施方案及相關聯分析演算法之數個基於光學計量技術常用於特徵化臨界尺寸、薄膜厚度、組成物、疊對物及奈米尺度結構之其他參數。 疊對誤差係指一晶圓之不同層上結構之相對位置。疊對誤差越大,結構未對準越多。若疊對誤差過大,則可損及所製造之電子裝置之效能。 通常基於藉由一微影工具量測形成於晶圓上各種位置處之專用目標結構而評估疊對誤差。目標結構可採取許多形式,諸如一箱中箱(box in box)結構。在此形式中,一箱產生於晶圓之一個層上且一第二、更小箱產生於另一層上。藉由比較兩個箱中心之間的對準而量測局部疊對誤差。在晶圓上目標結構可用之位置處採用此等量測。 基於影像之疊對誤差量測通常涉及專用目標結構之影像之分析以估計疊對誤差。影像分析通常涉及影像中特定目標特徵(例如,線段、箱等等)之辨識,且基於此等特徵之相對位置計算疊對誤差。專用目標結構通常特定針對影像處理演算法。舉例而言,與疊對目標(例如,箱中箱目標、框中框目標、進階成像計量(AIM)目標)相關聯之線段經特別設計以遵守演算法之細節。為此,無法使用任意疊對目標或裝置結構可靠地執行基於傳統影像之疊對計量分析演算法。 另外,因為演算法僅對影像之特定區域正常運作,所以一些資訊丟失。換言之,選擇特定線邊緣等等作為用於評估疊對誤差之焦點忽略影像中其他像素可作出的貢獻。 再者,基於傳統影像之演算法係對於程序變動、不對稱性及光學系統誤差敏感,此係此等演算法缺少一系統性方式來擷取經擷取之影像上之此等誤差源之影響。 未來疊對計量應用存在計量挑戰,歸因於愈來愈小之解決方案需要及愈來愈高之晶圓面積值。因此,需要用於改良之疊對量測之方法及系統。
呈現用於僅基於所量測之基於影像之訓練資料(例如,自一實驗設計(DOE)晶圓收集之影像)產生一基於影像之量測模型之方法及系統。接著,經訓練之基於影像之量測模型用於直接根據自其他晶圓收集之量測影像資料計算一或多個所關注參數值。 在一項態樣中,本文描述之該等經訓練之基於影像之量測模型接收影像資料直接作為輸入且提供一或多個所關注參數之值作為輸出。藉由流線化該量測程序,改良該等預測結果,以及縮減計算及使用者時間。 在另一態樣中,可自裝置上結構之影像判定所關注之參數值。在一些實施例中,裝置上結構之影像用來訓練一基於影像之量測模型,如本文描述。接著,該等經訓練之基於影像之量測模型用於直接根據自其他晶圓收集之相同裝置上結構之影像計算一或多個所關注參數之值。 如本文描述,藉由僅使用原始影像資料來產生該基於影像之量測模型,減少與基於傳統影像之計量方法相關聯之該等誤差及近似值。另外,該基於影像之量測模型並不對系統誤差、不對稱性等等敏感,此係因為該基於影像之量測模型基於自一特定計量系統收集之影像資料予以訓練且用於基於自相同計量系統收集之影像執行量測。 在一進一步態樣中,基於如本文描述自原始影像資料產生之一基於影像之量測模型直接量測藉由連續微影程序形成於一基板上之結構之間的疊對誤差。基於具有已知疊對變動之影像組訓練該基於影像之量測模型。接著,該經訓練之基於影像之量測模型用於預測來自一未知影像之疊對誤差。一般言之,該等結構可定位於該基板之相同層上或定位於不同層上。 在另一進一步態樣中,本文描述之該等方法及系統非僅限於疊對誤差之量測。一般言之,前述基於影像之量測技術可經應用至其他程序、結構、分散參數或此等參數之任何組合之量測。舉非限制性實例而言,可使用本文描述之技術量測臨限尺寸、疊對誤差、焦點及劑量之任意者。 在另一進一步態樣中,用於訓練該基於影像之量測模型之該等方法及系統包含一最佳化演算法來自動化到達一經訓練之基於影像之量測模型所需之該等元件之任意者或全部。 在一進一步態樣中,針對模型建立、訓練及量測而收集來自具有不同結構之多個目標但由相同程序條件形成之影像資料。此增大嵌入至該模型中之該資訊且減少與程序或其他參數變動之該疊對相關性。 在另一進一步態樣中,針對模型建立、訓練及量測而收集導出自藉由多個、不同量測技術之一組合執行之量測之影像資料。使用與多個、不同量測技術相關聯之量測資料,增大在該組合之信號組中之該資訊內容且減小與程序或其他參數變動之該疊對相關性。 在又另一態樣中,本文描述之該基於影像之量測模型結果可用於提供主動回饋至一程序工具(例如,微影工具、蝕刻工具、沈積工具等等)。 前文係一發明內容且,因此必要地含有細節之簡化、一般化及省略;因此,熟習此項技術者將瞭解發明內容僅係闡釋性的且不以任何方式限制。將在本文提出之非限制性實施方式中瞭解本文描述之該等裝置及/或程序之其他態樣、發明特徵及優點。
[ 相關申請案之交叉參考 ] 本專利申請案根據35 U.S.C. §119規定主張在2014年2月20日申請之題為「用於基於影像之疊對量測之信號回應計量」之美國臨時專利申請案第61/942,204號之優先權,該案標的之全部內容以引用之方式併入本文中。 現將詳細參考本發明之背景實例及一些實施例,其等之實例在隨附圖式中繪示。 呈現用於僅基於所量測之基於影像之訓練資料(例如,自一實驗設計(DOE)晶圓收集之影像)產生一基於影像之量測模型之方法及系統。接著,經訓練之基於影像之量測模型用於直接根據自其他晶圓收集之量測影像值計算一或多個所關注參數之值。 在一項態樣中,本文描述之該等經訓練之基於影像之量測模型接收影像資料直接作為輸入且提供一或多個所關注參數之值作為輸出。藉由流線化該量測程序,改良該等預測結果,以及縮減計算及使用者時間。 在另一態樣中,可自裝置上結構之影像判定所關注之參數值。在一些實施例中,裝置上結構之影像用來訓練一基於影像之量測模型,如本文描述。接著,該等經訓練之基於影像之量測模型用於直接根據自其他晶圓收集之相同裝置上結構之影像計算一或多個所關注參數之值。在此等實施例中,避免使用專用目標。在一項實例中,使用模具內裝置結構作為一疊對計量目標來執行疊對量測。此實現模具內量測且避免使用專用疊對計量目標且將影像分析演算法調諧至此等專用目標之複雜性。在一些實施例中,可在少於一小時中產生基於影像之量測模型。另外,藉由採用一簡化模型,相較於現有基於影像之計量方法縮減量測時間。 如本文描述,藉由僅使用原始影像資料來產生基於影像之量測模型,減少與基於傳統影像之計量方法相關聯之誤差及近似值。另外,基於影像之量測模型並不對系統誤差、不對稱性等等敏感,此係因為基於影像之量測模型基於自一特定計量系統收集之影像資料予以訓練且用於基於自相同計量系統收集之影像執行量測。 在一進一步態樣中,基於如本文描述自原始影像資料產生之一基於影像之量測模型直接量測藉由連續微影程序形成於一基板上之結構之間的疊對誤差。基於具有已知疊對變動之影像組訓練該基於影像之量測模型。接著,基於影像之量測模型用於預測來自一未知影像之疊對誤差。一般言之,結構可定位於基板之相同層上或定位於不同層上。 一般言之,本文描述之方法及系統整體分析影像。替代辨識影像中之個別特徵,各像素被視為含有關於疊對誤差(及其他參數(例如,結構參數、程序參數、分散參數))之資訊(或對其等敏感)之一個別信號。 圖1繪示適用於藉由一計量系統(諸如圖19中繪示之本發明之計量系統300)實施之一方法100。在一項態樣中,已認知,可經由藉由運算系統330 (或任何其他通用運算系統)之一或多個處理器執行之一預程式化演算法來實行方法100之資料處理區塊。本文中已認知,計量系統300之特定結構態樣並不表示限制,且應解釋為僅闡釋性。 在區塊101中,由一運算系統(例如,運算系統330)接收與一半導體晶圓之一表面上之第一複數個位點之影像相關聯之一第一量影像資料。影像展現至少一個所關注參數之已知變動。自由至少一個計量技術執行之量測導出影像資料。可自一光學成像系統、一掃描電子顯微鏡或其他影像形成系統獲得影像。 在一些實施例中,於一半導體晶圓(例如,DOE晶圓)之表面上之一實驗設計(DOE)圖案中組織(若干)所關注參數的變動。以此方式,量測位點詢問晶圓表面上之不同位置,其等對應於(若干)所關注參數之不同值。在一項實例中,DOE圖案係一疊對誤差圖案。展現一疊對誤差圖案之一DOE晶圓通常包含量測位點之一網格圖案。在一個網格方向(例如,x方向)中,疊對在x方向中變動,同時在y方向中之疊對保持不變。在正交網格方向(例如,y方向)中,y方向中之疊對誤差變動,同時x方向中之疊對誤差保持不變。以此方式,自DOE晶圓收集之影像資料包含與x及y方向兩者中之疊對之已知變動相關聯的資料。圖18描繪具有展現疊對誤差中已知變動之目標(例如,目標181)之一網格之一DOE晶圓180。x方向疊對誤差根據x方向上DOE晶圓180上之位置而變化。y方向疊對誤差根據y方向上DOE晶圓180上之位置而變化。在一些實例中,x及y疊對誤差範圍自-20奈米至20奈米。在一些其它實例中,x及y疊對誤差範圍自-80奈米至80奈米。 在上述實例中,影像資料係與用疊對誤差中已知變動處理之一DOE晶圓相關聯。然而,一般言之,可考量與處理參數、結構參數、分散等等之任何已知變動相關聯的影像資料。DOE晶圓之影像應展現(若干)所關注參數之範圍,且亦應展現其他雜訊源之範圍,諸如程序變動(例如,焦點/劑量)及光學系統誤差(例如,相機偏移)。 圖3描繪兩個光柵之一模擬影像120,該等光柵之各者經安置於一半導體晶圓之一不同層上。在此模擬中,光柵間距係一微米。光柵之各線的寬度(即,光柵之臨界尺寸)係五百奈米。在三百個不同影像內,x及y方向兩者之疊對誤差的範圍係十六奈米。為將額外誤差引入分析中,在三百個影像內,光柵之各線的寬度變動五奈米,且在三百個影像內,x及y方向兩者之相機偏移誤差(即,整個影像移位)變動四個像素。在此模擬中,像素解析度係每十奈米一個像素。 圖9描繪兩個光柵之一模擬影像130,該兩個光柵之各者經安置於一半導體晶圓之一不同層上。在此模擬中,光柵間距係四百奈米。光柵之各線之寬度(即,光柵之臨界尺寸)係兩百奈米。在兩百個不同影像內,x及y方向兩者之疊對誤差之範圍係一百奈米。為將額外誤差引入分析中,在兩百個影像內,光柵之各線之寬度變動五奈米,且在兩百個影像內,x及y方向兩者之相機偏移誤差(即,整個影像移位)變動五個像素。在此模擬中,像素解析度係每十奈米一個像素。 在選用之區塊102中,在區塊101中接收之影像之各者藉由一或多個影像濾波器濾波。影像濾波器可經採用用來減少雜訊,增強對比等等。可由一使用者或由一自動程序選擇所採用之影像濾波器。不同影像濾波器之數量及與各選定濾波器相關聯之參數經選擇以改良最終量測結構而無不當計算負擔。儘管使用基於影像之濾波器可係有利的,但一般言之其係不必要的。在此意義上,區塊102係選用的。 在區塊103中,基於第一量影像資料之複數個影像之各者之至少一部分判定一特徵提取模型。特徵提取模型減小第一量影像資料之一大小。一特徵提取模型將初始信號映射至一新減小之信號組。基於第一量影像資料中之(若干)所關注參數中之變動判定變換。將各影像之各像素作為在程序範圍內針對第一量影像資料之不同影像改變之一初始信號予以處理。特徵提取模型可應用至所有影像像素或一影像像素子組。在一些實例中,隨機選擇經受特徵提取模型分析之像素。在一些其他實例中,經受特徵提取模型分析之像素歸因於其等對於(若干)所關注參數中之改變之相對高敏感度而被選擇。舉例而言,可忽略對於(若干)所關注參數中之改變不敏感之像素。在圖3及圖9中描繪之實例中,隨機取樣五千個像素以供分析。 舉非限制性實例而言,特徵提取模型可係一主成分分析(PCA)模型、一核心PCA模型、一非線性PCA模型、一獨立成分分析(ICA)模型或使用字典之其他降維方法(一離散餘弦變換(DCT)模型、快速傅立葉變換(FFT)模型、一小波模型等等)。 自第一量影像資料提取一或多個特徵。在一些實例中,使用主成分分析(PCA)或非線性PCA分析影像資料以提取最強烈反映在不同量測位點處之(若干)所關注參數中之變動之特徵。在一些其他實例中,可應用一信號濾波技術以提取最強烈反映在不同量測位點處之參數變動之信號資料。在一些其他實例中,可自影像資料中存在之多個信號選擇最強烈反映在不同量測位點呈現之參數變動之個別信號。 圖4繪示自一DOE晶圓收集之影像之主成分映圖之一矩陣130,該晶圓包含疊對誤差中之已知變動。如繪示,第一及第二主成分強烈關聯。此指示跨影像資料組存在系統性質,且第一及第二主成分係回應於疊對誤差。圖4亦繪示,第三主成分與第一及第二主成分弱相關。此指示,第三主成分比第一及第二主成分更回應於雜訊或其他不需要擾動。在此實例中,較佳地僅利用第一及第二主成分來訓練基於影像之量測模型。以此方式,為模型建立及後續基於影像之量測分析之目的而截略主要反映雜訊之主成分。 在區塊104中,基於自複數個影像提取之特徵及至少一個所關注參數之已知值訓練一基於影像之量測模型。基於影像之量測模型經結構化以接收由在一或多個量測位點處之一計量系統產生之影像資料,且直接判定與各量測目標相關聯之(若干)所關注參數。在一些實施例中,基於影像之量測模型經實施為一神經網路模型。在一項實例中,基於自影像資料提取之特徵選擇神經網路之節點數量。在其他實例中,基於影像之量測模型可實施為一線性模型、一多項式模型、一回應表面模型、一支援向量機模型或其他類型之模型。在一些實施例中,基於影像之量測模型可實施為模型之一組合。基於自特徵提取模型及(若干)所關注參數中之已知變動判定所減少之信號組而訓練選定模型。模型經訓練使得其輸出適配由DOE影像定義之參數變動間隔中之所有影像之(若干)所關注參數中之定義變動。 在另一態樣中,經訓練之模型用作量測其他晶圓之量測模型。圖2繪示適用於藉由一計量系統(諸如圖19中繪示之本發明之計量系統300)實施之一方法110。在一項態樣中,已認知,可經由藉由運算系統330 (或任何其他通用運算系統)之一或多個處理器執行之一預程式化演算法來實行方法110之資料處理區塊。本文中已認知,計量系統300之特定結構態樣並不表示限制且應解釋為僅闡釋性。 在區塊111中,由一運算系統(例如,運算系統330)接收與一半導體晶圓之一表面上之複數個位點之影像相關聯之一影像資料量。自藉由相同計量技術或如參考方法100所描述之計量技術之組合執行之量測導出影像資料。類似地,影像資料包含如參考方法100所描述之相同類型結構之影像,但具有未知疊對誤差。 在選用之區塊112中,在區塊111中接收之影像之各者藉由如參考方法100所描述之相同影像濾波器或影像濾波器之組合予以濾波。儘管使用基於影像之濾波器可係有利的,但一般言之其係不必要的。在此意義上,區塊112係選用的。 在區塊113中,基於一經訓練之特徵提取模型(例如,參考方法100所描述之經訓練之特徵提取模型)之一應用判定來自影像資料量之至少一部分之影像特徵。較佳地使用方法100中所採用自訓練資料提取特徵之相同分析,自影像資料提取特徵。以此方式,藉由用來減小訓練資料之大小之相同特徵提取模型來執行所需影像資料之大小減小。 在區塊114中,基於經判定影像特徵與一經訓練之基於影像之量測模型(例如,參考方法100所描述之經訓練之基於影像之量測模型)之一適配,來判定與複數個位點之各者相關聯之至少一個所關注參數之值。以此方式,基於經訓練之基於影像之量測模型及經減少之影像信號組判定(若干)所關注參數。 在區塊115中,(若干)所關注參數之(若干)判定值經儲存於一記憶體中。舉例而言,參數值可儲存於量測系統300內(例如,記憶體332中),或可傳達(例如,經由輸出信號340)至一外部記憶體裝置。 在一些實例中,藉由使用模型來量測未作為訓練資料組之部分參與但具有已知疊對誤差之一影像組而判定經訓練之基於影像之量測模型之量測效能。所期望疊對及量測之疊對之間的差異指示模型效能。 圖5描繪針對如參考圖3描述之模擬指示y軸上實際疊對誤差(即,已知疊對誤差值)及x軸上對應預測疊對誤差(即,如藉由一經訓練之基於影像之量測模型予以量測)之模擬結果之一標繪圖120。在標繪圖120中描繪之結果包含參與訓練組中之影像及未參與訓練組中之影像之量測。如在圖5中描繪,用對應、已知值緊密分組經模擬之量測結果。 圖6描繪指示與在圖5中描繪之各量測點相關聯之殘餘疊對誤差值之一標繪圖121。殘餘疊對值係實際疊對誤差值與預測疊對誤差值之間的差。 圖7描繪繪示針對與訓練影像相關聯之量測點之在圖6中描繪之殘餘值分佈之一標繪圖122。此影像組之殘餘值之西格瑪值係0.54奈米。 圖8描繪繪示針對與未參與訓練資料組之影像相關聯之量測點之在圖6中描繪之殘餘值分佈之一標繪圖123。此影像組之殘餘值之西格瑪值係0.57奈米。 圖10描繪針對如參考圖9描述之模擬指示y軸上實際疊對誤差(即,已知疊對誤差值)及x軸上對應預測疊對誤差(即,如藉由一經訓練之基於影像之量測模型予以量測)之模擬結果之一標繪圖131。在標繪圖131中描繪之結果包含參與訓練組中之影像及未參與訓練組中之影像之量測。如在圖10中描繪,用對應、已知值緊密分組經模擬之量測結果。 圖11描繪指示與在圖10中描繪之各量測點相關聯之殘餘疊對誤差值之一標繪圖132。 圖12描繪繪示針對與訓練影像相關聯之量測點之在圖11中描繪之殘餘值分佈之一標繪圖133。此影像組之殘餘值之西格瑪值係0.06奈米。 圖13描繪繪示針對與未參與訓練資料組之影像相關聯之量測點之在圖10中描繪之殘餘值分佈之一標繪圖134。此影像組之殘餘值之西格瑪值係0.14奈米。 如在上文中描述,本文描述之量測方法及系統並不侷限於專用目標。一般言之,可根據本文描述之方法及系統採用當藉由可用成像系統成像時展現對於一所關注參數之敏感性之任何目標。 然而,在一些實例中,有利地採用當藉由可用成像系統成像時展現對於一所關注參數之高敏感性之專用量測目標以增強基於影像之量測效能。舉例而言,當信號回應計量經應用至如本文描述之疊對誤差量測時,吾人期望最大化歸因於x及y方向上之疊對誤差中之改變而改變之像素的數量。 圖14A至圖14D分別展現計量目標140至143,其等可有利於疊對誤差之基於影像的量測。在一些實施例中,於圖14A至圖14D中描繪之計量目標係一微米乘一微米。在一些其他實施例中,於圖14A至圖14D中描繪之計量目標係三微米乘三微米。一般言之,在圖14A至圖14D中描繪之計量目標可係任何適當尺寸。在圖14A至圖14D中描繪之實例中,暗陰影區域經印刷覆於半導體晶圓之一個層上,且淺影區域經印刷覆於半導體晶圓之另一層上。在一些實施例中,淺及暗陰影區域係實心區塊。然而,在一些其他實施例中,淺及暗陰影區域係光柵結構。當光柵結構之間存在重疊時,光柵結構將交叉。相較於淺及暗陰影區域係實心區塊之實施例,所得之強度改變提供額外且不同之信號。圖14A描繪具有各元件之間之間隔之一計量目標140。以此方式,一特定量疊對誤差可出現在一個層上任一元件皆不與另一層上任何其他元件重疊的情況下。以此方式,主要藉由經定位於元件之間的像素中的改變來判定疊對誤差。圖14B描繪一計量目標141,其中各層之元件經配置使得x及y方向兩者上之任何疊對誤差導致一個層上之元件與另一層上之元件之間之一重疊。圖14C描繪一計量目標142,其中各層之元件經配置使得任何方向上之任何疊對誤差導致一個層上之元件與另一層上之元件之間之重疊的改變。圖14D描繪一計量目標143,其係類似於在圖14A中描繪之計量目標140,除各層上印刷有更少、更大之元件。當計量系統之解析度並不足以清晰地解析在圖14A至圖14C中描繪之更小元件時,此可係有利的。 圖15A至圖15B分別展現計量目標150至151,其等可有利於疊對誤差之基於影像的量測。在一些實施例中,於圖15A至圖15B中描繪之計量目標係三微米乘三微米。在一些其他實施例中,於圖15A至圖15B中描繪之計量目標係五微米乘五微米。一般言之,在圖15A至圖15B中描繪之計量目標可係任何適當尺寸。在圖15A至圖15B中描繪之實例中,暗陰影區域經印刷覆於半導體晶圓之一個層上,且淺影區域經印刷覆於半導體晶圓之另一層上。在一些實施例中,淺及暗陰影區域係實心區塊。然而,在一些其他實施例中,淺及暗陰影區域係光柵結構。 圖15A描繪具有各元件之間之間隔之一計量目標150。以此方式,一特定量疊對誤差可出現在一個層上任一元件皆不與另一層上任何其他元件重疊的情況下。以此方式,主要藉由經定位於元件之間的像素中的改變來判定疊對誤差。另外,計量目標150包含不同大小之元件。當期望與具有不同成像解析度之各種計量系統一起使用一個計量目標時,此一目標可係有利的。 圖15B描繪一計量目標151,其中各層之元件經配置使得x及y方向兩者上之任何疊對誤差導致一個層上之元件與另一層上之元件之間之一重疊。 圖16A至圖16B分別展現計量目標160至161,其等可有利於疊對誤差之基於影像之量測。在一些實施例中,於圖16A至圖16B中描繪之計量目標係七微米乘七微米。一般言之,在圖15A至圖15B中描繪之計量目標可係任何適當尺寸。在圖16A至圖16B中描繪之實例中,暗陰影區域經印刷覆於半導體晶圓之一個層上,且淺影區域經印刷覆於半導體晶圓之另一層上。在一些實施例中,淺及暗陰影區域係實心區塊。然而,在一些其他實施例中,淺及暗陰影區域係光柵結構。 圖16A描繪具有各元件之間的間隔之一計量目標160。以此方式,一特定量疊對誤差可出現在一個層上任一元件皆不與另一層上任何其他元件重疊的情況下。以此方式,主要藉由定位於元件之間的像素中之改變判定疊對誤差。 圖16B描繪具有鄰近彼此安置之各層之多個元件之一計量目標161。 圖17A至圖17B分別展現計量目標170至171,其等可有利於疊對誤差之基於影像之量測。在一些實施例中,在圖17A至圖17B中描繪之計量目標係十微米乘十微米。然而,一般言之,在圖17A至圖17B中描繪之計量目標可係任何適當尺寸。在圖17A至圖17B中描繪之實例中,暗陰影區域經印刷覆於半導體晶圓之一個層上,且淺影區域經印刷覆於半導體晶圓之另一層上。在一些實施例中,淺及暗陰影區域係實心區塊。然而,在一些其他實施例中,淺及暗陰影區域係光柵結構。 圖17A描繪具有各元件之間的間隔之一計量目標170。以此方式,一特定量疊對誤差可出現在一個層上任一元件皆不與另一層上任何其他元件重疊的情況下。以此方式,主要藉由定位於元件之間的像素中之改變判定疊對誤差。另外,計量目標170包含不同大小之元件。此一目標可當期望地與具有不同成像解析度之各種計量系統一起使用使用一個計量目標時係有利的。 圖17B描繪一計量目標171,其中各層之元件經配置使得x及y方向兩者上之任何疊對誤差導致一個層上之元件與另一層上之元件之間的一重疊。 在另一進一步態樣中,本文描述之方法及系統非僅限於疊對誤差之量測。一般言之,前述基於影像之量測技術可經應用至其他程序、結構、分散參數或此等參數之任何組合之量測。舉非限制性實例而言,可使用上述技術量測臨限尺寸、疊對誤差、焦點及劑量之任意者。 在另一進一步態樣中,用於訓練基於影像之量測模型之方法及系統包含一最佳化演算法來自動化到達一經訓練之基於影像之量測模型所需之元件之任意者或全部。 在一些實例中,一最佳化演算法經組態以藉由最佳化下列參數之任意者或全部而最大化量測之效能(由一成本函數定義):影像濾波器清單、濾波器之參數、特徵提取模型之類型、選定特徵提取模型之參數、量測模型之類型、選定量測模型之參數。最佳化演算法可包含使用者定義之啟發法且可係經嵌套之最佳化之組合(例如,組合及連續最佳化)。 在一進一步態樣中,針對模型建立、訓練及量測收集來自不同目標之影像資料。使用與具有不同結構但藉由相同程序條件形成之多個目標相關聯之影像資料,增大嵌入至模型中之資訊且減小疊對與程序或其他參數變動之關聯。特定言之,使用包含在一或多個量測位點處之多個、不同目標之影像之訓練資料實現所關注參數值之更精確估計。 在另一進一步態樣中,來自多個目標之信號可經處理以減少對程序變動之敏感性且增大對所關注參數之敏感性。在一些實例中,來自不同目標之影像(或影像部分)之信號自彼此相減。在一些其他實例中,來自不同目標之影像(或影像部分)之信號經適配於一模型,且殘餘部分用於建立、訓練且使用如本文描述之基於影像之量測模型。在一項實例中,減去來自兩個不同目標之影像信號以消除(或顯著減少)各量測結果中程序雜訊之效應。一般言之,可在來自不同目標影像(或目標影像之部分)之信號之間應用各種數學運算來判定對程序變動減小之敏感性及對所關注參數增大之敏感性之影像信號。 在另一進一步態樣中,針對模型建立、訓練及量測而收集導出自藉由多個、不同量測技術之一組合執行之量測之量測資料。使用與多個、不同量測技術相關聯之量測資料,增大在組合之信號組中之資訊內容且減小與程序或其他參數變動之疊對關聯性。可自藉由多個、不同量測技術之任何組合執行之量測導出量測資料。以此方式,可藉由多個、不同量測技術(例如,CD-SEM、成像技術(諸如2-D BPR)、散射量測等等)量測不同量測位點,以增強所關注參數之估計可用之量測資訊。 一般言之,由於藉由用於訓練及量測之特徵提取模型及基於影像之量測模型處理之資訊具有向量形式,所以在此專利文件之範疇內可考量任何量測技術或兩個或兩個以上量測技術之組合。因為如本文描述之信號回應計量技術對資料向量操作,故單獨處理影像資料之各像素。另外,不管資料係二維影像資料、一維影像資料或甚至單一點資料,可能串連來自多個、不同計量之資料。 可提供資料用於根據本文描述之信號回應計量技術之分析之例示性量測技術包含但不限於光譜橢圓偏光量測(包含穆勒(Mueller)矩陣橢圓偏光量測)、光譜反射量測、光譜散射量測、散射量測疊對、束輪廓反射量測(角解析及偏光解析兩者)、束輪廓橢圓偏光量測、單一及多個離散波長橢圓偏光量測、傳輸小角度x射線散射計(TSAXS)、小角度x射線散射(SAXS)、掠入射小角度x射線散射(GISAXS)、廣角度x射線散射(WAXS)、x射線反射率(XRR)、x射線繞射率(XRD)、掠入射x射線繞射(GIXRD)、高解析度x射線繞射(HRXRD)、x射線光電光譜(XPS)、x射線螢光(XRF)、掠入射x射線螢光(GIXRF)、x射線斷層掃描及x射線橢圓偏光量測。一般言之,可個別地或在任何組合中考量適用於半導體結構之特徵化之任何計量技術(包含基於影像之計量技術)。 在另一進一步態樣中,藉由多個計量所量測之信號可經處理以減少對程序變動之敏感性且增大對所關注參數之敏感性。在一些實例中,來自藉由不同計量所量測之目標之影像(或影像部分)之信號自彼此相減。在一些其他實例中,來自藉由不同計量所量測之目標之影像(或影像部分)之信號經適配於一模型,且殘餘部分用於建立、訓練且使用如本文描述之基於影像之量測模型。在一項實例中,減去來自藉由不同計量所量測之目標之影像信號以消除(或顯著減少)各量測結果中程序雜訊之效應。一般言之,可在藉由不同計量所量測之目標影像(或目標影像之部分)之信號之間應用各種數學運算,來判定具有對程序變動減小之敏感性及對所關注參數增大之敏感性之影像信號。 一般言之,來自各藉由多個計量技術量測之多個目標之影像信號增大在組合之信號組中之資訊內容且減小與程序或其他參數變動之疊對關聯性。 圖19繪示用於根據本文呈現之例示性方法量測一樣品之特性之一系統300。如在圖19中展示,系統300可用來執行一樣品301之一或多個結構之光譜橢圓偏光量測。在此態樣中,系統300可含裝備有一照明器302及一光譜儀304之一光譜橢圓偏光儀。系統300之照明器302經組態以產生一選定波長範圍(例如,150至850 nm)之照明且將其引導至安置於樣品301之表面上之結構。繼而,光譜儀304經組態以接收自樣品301之表面反射之照明。進一步應注意,使用一偏光狀態產生器307使自照明器302出現之光偏光以產生一偏光照明光束306。藉由安置於樣品301上之結構反射之輻射行進通過一偏光狀態分析器309且至光譜儀304。關於偏光狀態分析藉由光譜儀304接收之在收集光束308中之輻射,而容許藉由分析器對光譜儀傳遞之輻射進行光譜分析。此等光譜311經傳遞至運算系統330以用於結構分析。 如在圖19中描繪,系統300包含以單一量測技術(即,SE)。然而,一般言之,系統300可包含任何數量之不同量測技術。舉非限制實例,系統300可經組態為一光譜橢圓偏光量測儀(包含穆勒矩陣橢圓偏光量測)、一光譜反射儀、一光譜散射儀、一疊對散射儀、一角解析式束輪廓反射儀、一偏光解析式束輪廓反射儀、一束輪廓反射儀、一束輪廓橢圓偏光量測儀、任何單一及多個離散波長橢圓偏光量測儀或任何其等組合。此外,一般言之,可自多個工具(而非整合多個技術之一個工具)收集藉由不同量測技術收集且根據本文描述之方法分析之量測資料。 在一進一步實施例中,系統300可包含用來基於根據本文描述之方法開發之基於影像之量測模型執行疊對量測之一或多個運算系統330。一或多個運算系統330可經通信耦合至光譜儀304。在一項態樣中,一或多個運算系統330經組態以接收與樣品301之結構之量測相關聯之量測資料311。 應認知,可藉由一單一運算系統330或替代地一多電腦系統330執行遍及本揭示內容所描述之各種步驟。再者,系統300之不同子系統(諸如光譜橢圓偏光量測儀)可包含適用於執行本文描述之步驟之至少一部分之一電腦系統。因此,上述描述不應解釋為限制本發明而僅闡釋本發明。此外,一或多個運算系統330可經組態以執行本文描述之方法實施例之任意者之任何(若干)其他步驟。 另外,電腦系統330可以任何技術已知之方式通信耦合至光譜儀304。舉例而言,一或多個運算系統330可耦合至與光譜儀304相關聯之運算系統。在另一實例中,可藉由耦合至電腦系統330之一單一電腦系統直接控制光譜儀304。 計量系統300之電腦系統330可經組態以藉由可包含有線及/或無線部分之一傳輸媒體接收及/或獲取來自系統之子系統(例如,光譜儀304或類似物)之資料或資訊。以此方式,傳輸媒體可充當電腦系統330與電腦系統330之其他子系統之間的一資料鏈路。 整合式計量系統300之電腦系統330可經組態以藉由可包含有線及/或無線部分之一傳輸媒體接收及/或獲取來自其他系統之資料或資訊(例如,量測結果、模型化輸入、模型化結果等等)。以此方式,傳輸媒體可充當電腦系統330與其他系統(例如,記憶體內建計量系統300、外部記憶體、參考量測源320或其他外部系統)之間的一資料鏈路。舉例而言,運算系統330可經組態以經由一資料鏈路接收來自一儲存媒體(即,記憶體332或一外部記憶體)之量測資料。舉例而言,使用光譜儀304獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如,記憶體332或一外部記憶體)中。就此而言,可自內建記憶體或自一外部記憶體系統匯入光譜結果。再者,電腦系統330可經由一傳輸媒體發送資料至其他系統。舉例而言,藉由電腦系統330判定之一整合式量測模型或一樣品參數340可傳達且儲存於一外部記憶體中。就此而言,量測結果可匯出至另一系統。 運算系統330可包含但不限於一個人電腦系統、主機電腦系統、工作站、影像電腦、平行處理器、或任何技術已知之其他裝置。一般言之,可廣泛定義術語「運算系統」以涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。 可在一傳輸媒體(諸如一有線、纜線或無線傳輸鏈路)上傳輸實施方法(諸如本文描述者)之程式指令334。舉例而言,如在圖19中繪示,儲存於記憶體332中之程式指令334在匯流排333上傳輸至處理器331。程式指令334儲存於一電腦可讀媒體(例如,記憶體332)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁或光碟或一磁帶。 在一些實例中,本文描述之模型建立、訓練及量測方法經實施為可購自美國加州苗必達市(Milpitas, California, USA)之KLA-Tencor公司之一SpectraShape®光學臨界尺寸計量系統之一元件。以此方式,模型經產生且在DOE晶圓光譜藉由系統收集後立即可使用。 在一些其他實例中,(例如)藉由實施可購自美國加州苗必達市(Milpitas, California, USA)之KLA-Tencor公司之一AcuShape®軟體之一運算系統離線實施本文描述之模型建立、訓練方法。所得經訓練之模型可被併入作為可由執行量測之一計量系統存取之一AcuShape®庫之一元件。 儘管上文參考一基於影像之疊對量測模型描述若干實例,但本文描述之方法及系統可涉及其他程序模型(例如,焦點、劑量、蝕刻或沈積處理)。本文描述之方法及系統亦可涉及其他計量技術(例如,SEM、TEM、AFM、X射線)。再者,本文描述之方法及系統參考成像計量系統而論述,但亦可應用於其他計量(例如,光譜橢圓偏光量測儀、反射儀、BPR系統、CD-SAXS、XRR等等)。 在又另一態樣中,本文描述之基於影像之量測模型結果可用於提供主動回饋至一程序工具(例如,微影工具、蝕刻工具、沈積工具等等)。舉例而言,使用本文描述之方法判定之疊對誤差值可經傳達至一微影工具以調整微影系統以實現一所需輸出。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等等)或沈積參數(例如,時間、濃度等等)可包含於一量測模型中,以將主動回饋分別提供至蝕刻工具或沈積工具。 一般言之,本文描述之方法及系統可作為製備用於離線或工具上量測之一量測模型之程序之部分而實施。另外,量測模型及任何參數化量測模型兩者可描述一或多個目標結果及量測位點。 如本文描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角度、光柵高度等等),在任何兩個或兩個以上結構之間的一臨界尺寸(例如,在兩個結構之間的距離)及在兩個或兩個以上結構之間的一位移(例如,疊對光柵結構之間的疊對位移等等)。結構可包含三維結構、經圖案化結構、疊對結構等等。 如本文描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。 如本文描述,術語「計量系統」包含至少部分用來特徵化任何態樣中之一樣品之任何系統,其等包含量測應用,諸如臨界尺寸計量、疊對計量、焦點/劑量計量、及組成計量。然而,此等技術術語並不限制如本文描述之術語「計量系統」之範疇。另外,計量系統100可經組態以用於量測圖案化晶圓及/或未圖案化晶圓。計量系統可經組態為一LED檢測工具、邊緣檢測工具、背側檢測工具、巨觀檢測工具或多模式檢測工具(涉及同時來自一或多個平台之資料)及獲益於基於臨界尺寸資料校準系統參數之任何其他計量或檢測工具。 本文針對可用於處理一樣品之一半導體處理系統(例如,一檢測系統或一微影系統)描述各種實施例。術語「樣品」在本文中係用來指一晶圓、一比例光罩、或可藉由技術已知之方法處理(例如,印刷或檢測缺陷)之任何其他樣品。 如本文使用,術語「晶圓」一般係指由一半導體或非半導體材料形成之基板。實例包含但不限於單晶矽、砷化鎵及磷化銦。此等基板通常可在半導體製造設施中找到及/或處理。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含在一基板上形成之不同材料之一或多個層。形成於一晶圓上之一或多個層可經「圖案化」或「未圖案化」。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。 一「比例光罩」可係在一比例光罩製造程序之任何階段之一比例光罩,或係可經釋放或不可經釋放以用於一半導體製造設施中之一已完成比例光罩。一比例光罩或一「光罩」一般定義為一實質上透明基板,其具有形成於其上且經組態於一圖案中之實質上不透明區。基板可包含(例如)一玻璃材料,諸如非晶SiO2 。一比例光罩可在一微影程序之一曝露步驟期間安置於一光阻劑疊對晶圓上方,使得比例光罩上之圖案可經轉印至光阻劑。 形成於一晶圓上之一或多個層可經圖案化或未圖案化。舉例而言,一晶圓可包含複數個晶粒,其等各者具有可重複圖案特徵。此等材料層之形成及處理可最終導致已完成裝置。許多不同類型之裝置可形成於一晶圓上,且如本文使用之術語晶圓意在涵蓋其上製造技術已知之任何類型裝置之一晶圓。 在一或多項例示性實施例中,在硬體、軟體、韌體或其等之任何組合中實施所描述之功能。若在軟體中實施,則功能可儲存於一電腦可讀媒體上或在該媒體上作為一或多個指令或程式碼而傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,其等包含促進將一電腦程式自一個位點傳送至另一位點之任何媒體。一儲存媒體可係可藉由一通用或專用電腦存取之任何可用媒體。舉實例但非限制,此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存、磁碟儲存或其他磁性儲存裝置、或可用於攜載或儲存具有指令或資料結構形式之所需程式碼構件且可藉由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。同樣地,任何連接適當稱為一電腦可讀媒體。舉例而言,若使用一同軸纜線、光纖纜線、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸纜線、光纖纜線、雙絞線、DSL或無線技術(諸如紅外、無線電及微波)包含於媒體之定義中。如本文使用之磁碟及光碟包含光碟(CD)、雷射光碟、光碟、數位多功光碟(DVD)、軟磁碟及藍光磁碟,其中磁碟通常磁性重現資料,同時光碟使用雷射光學重現資料。上述組合亦應包含於電腦可讀媒體之範疇內。 儘管上文為教學目的描述特定實施例,但此專利文件之教示具有一般適用性且不限於上文描述之特定實施例。因此,可在不脫離如在申請專利範圍中提及之本發明之範疇的情況下實踐所描述之實施例之各種特徵之各種修改、調適及組合。
100:方法 101:步驟 102:步驟 103:步驟 104:步驟 110:方法 111:步驟 112:步驟 113:步驟 114:步驟 115:步驟 120:模擬影像/圖 121:圖 122:圖 123:圖 130:模擬影像/矩陣 131:圖 132:圖 133:圖 134:圖 140:計量目標 141:計量目標 142:計量目標 143:計量目標 150:計量目標 151:計量目標 160:計量目標 161:計量目標 170:計量目標 171:計量目標 180:DOE晶圓 181:目標 300:整合式計量系統 301:樣品 302:照明器 304:光譜儀 306:偏光照明光束 307:偏光狀態產生器 308:收集光束 309:偏光狀態分析器 311:光譜/量測資料 330:運算系統/電腦系統 331:處理器 332:記憶體 333:匯流排 334:程式指令 340:樣品參數
圖1係繪示訓練如本文描述之一基於影像之量測模型之一方法100之一流程圖。 圖2係繪示使用由方法100產生之一經訓練之基於影像之量測模型量測一所關注參數之一方法110之一流程圖。 圖3描繪兩個光柵之一模擬影像120,該等光柵之各者經安置於一半導體晶圓之一不同層上。 圖4繪示自一DOE晶圓收集之影像之主成分映圖之一矩陣130,該晶圓包含疊對誤差中之已知變動。 圖5描繪針對如參考圖3描述之模擬指示y軸上實際疊對誤差及x軸上對應預測疊對誤差之模擬結果之一標繪圖120。 圖6描繪指示與在圖5中描繪之各量測點相關聯之殘餘疊對誤差值之一標繪圖121。 圖7描繪繪示針對與訓練影像相關聯之量測點之在圖6中描繪之殘餘值分佈之一標繪圖122。 圖8描繪繪示針對與未參與訓練資料組之影像相關聯之量測點之在圖6中描繪之殘餘值分佈之一標繪圖123。 圖9描繪兩個光柵之一模擬影像130,該等光柵之各者經安置於在另一實施例中之一半導體晶圓之一不同層上。 圖10描繪針對如參考圖9描述之模擬指示y軸上實際疊對誤差及x軸上對應預測疊對誤差之模擬結果之一標繪圖131。 圖11描繪指示與在圖10中描繪之各量測點相關聯之殘餘疊對誤差值之一標繪圖132。 圖12描繪繪示針對與訓練影像相關聯之量測點之在圖11中描繪之殘餘值分佈之一標繪圖133。 圖13描繪繪示針對與未參與訓練資料組之影像相關聯之量測點之在圖10中描繪之殘餘值分佈之一標繪圖134。 圖14A至圖14D分別展現計量目標140至143,其等可在一些實施例中有利於疊對誤差之基於影像之量測。 圖15A至圖15B分別展現計量目標150至151,其等可在一些實施例中有利於疊對誤差之基於影像之量測。 圖16A至圖16B分別展現計量目標160至161,其等可在一些實施例中有利於疊對誤差之基於影像之量測。 圖17A至圖17B分別展現計量目標170至171,其等可在一些實施例中有利於疊對誤差之基於影像之量測。 圖18描繪在一項實施例中具有展現疊對誤差中已知變動之目標之一網格之一DOE晶圓180。 圖19繪示用於根據本文呈現之例示性方法估計來自收集自一樣品之影像之所關注參數之一系統300。
100:方法
101:步驟
102:步驟
103:步驟
104:步驟

Claims (2)

  1. 一種計量方法,其包括: 接收與一半導體晶圓之一表面上之複數個位點之影像相關聯之一影像資料量; 基於一經訓練之特徵提取模型之一應用,自該影像資料量的至少一部分判定影像特徵; 基於經判定之該等影像特徵至一經訓練之基於影像之量測模型之一適配來判定與該複數個位點之各者相關聯之所關注之至少一個參數之值;及 將該至少一個參數之值儲存於一記憶體中。
  2. 如請求項1之計量方法,進一步包括: 產生該經訓練之基於影像的量測模型,其中該產生涉及: 接收與該半導體晶圓之該表面上之第一複數個位點之影像相關聯之第一影像資料量,該第一複數個位點具有所關注之至少一個參數之已知值,其中該第一影像資料量係藉由至少一個計量技術所執行之量測而導出; 基於該第一影像資料量之複數個影像之各者的至少一部分來判定一特徵提取模型,其中該特徵提取模型減小該第一影像資料量之一大小;及 基於自該複數個影像提取之特徵及所關注之該至少一個參數之該等已知值來訓練一基於影像之量測模型。
TW108126827A 2014-02-20 2015-02-24 用於基於影像之疊對量測之信號回應計量 TW202018430A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461942204P 2014-02-20 2014-02-20
US61/942,204 2014-02-20
US14/624,485 US10152654B2 (en) 2014-02-20 2015-02-17 Signal response metrology for image based overlay measurements
US14/624,485 2015-02-17

Publications (1)

Publication Number Publication Date
TW202018430A true TW202018430A (zh) 2020-05-16

Family

ID=53798387

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108126827A TW202018430A (zh) 2014-02-20 2015-02-24 用於基於影像之疊對量測之信號回應計量
TW104105931A TWI688829B (zh) 2014-02-20 2015-02-24 用於基於影像之疊對量測之信號回應計量

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104105931A TWI688829B (zh) 2014-02-20 2015-02-24 用於基於影像之疊對量測之信號回應計量

Country Status (6)

Country Link
US (1) US10152654B2 (zh)
KR (1) KR102184029B1 (zh)
CN (1) CN106062939B (zh)
IL (1) IL246915B (zh)
TW (2) TW202018430A (zh)
WO (1) WO2015127220A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821813B (zh) * 2020-12-10 2023-11-11 荷蘭商Asml控股公司 用於疊對量測之系統及方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
TWI577965B (zh) * 2016-01-27 2017-04-11 高瞻資訊股份有限公司 模具狀態檢測方法
CN116936393A (zh) * 2016-02-24 2023-10-24 科磊股份有限公司 光学计量的准确度提升
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
JP6956777B2 (ja) * 2016-07-19 2021-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 区分的位置合わせモデリング方法
WO2018075808A1 (en) 2016-10-20 2018-04-26 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
CN113946105A (zh) * 2017-02-22 2022-01-18 Asml荷兰有限公司 计算量测法
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
EP3600197B1 (en) 2017-03-28 2021-04-21 Essity Hygiene and Health Aktiebolag An array of disposable pant-type gender-specific absorbent articles
US10795268B2 (en) * 2017-09-29 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for measuring overlay errors using overlay measurement patterns
WO2019078901A1 (en) * 2017-10-22 2019-04-25 Kla-Tencor Corporation USE OF OVERLAY DISTORTION ERROR ESTIMATES IN IMAGING OVERLAY METROLOGY
US10943838B2 (en) 2017-11-29 2021-03-09 Kla-Tencor Corporation Measurement of overlay error using device inspection system
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
KR102586405B1 (ko) * 2018-06-14 2023-10-10 노바 엘티디. 반도체 제조용 측정 및 공정 제어
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
WO2020142301A1 (en) * 2019-01-02 2020-07-09 Kla Corporation Machine learning for metrology measurements
US11061318B2 (en) * 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
KR102224276B1 (ko) * 2019-07-24 2021-03-05 금오공과대학교 산학협력단 영상데이터의 학습방법 및 장치
US11580631B2 (en) * 2020-02-20 2023-02-14 The Board Of Trustees Of The Leland Stanford Junior University Platform and methods for dynamic thin film measurements using hyperspectral imaging
US11481922B2 (en) * 2020-04-07 2022-10-25 Kla Corporation Online navigational drift correction for metrology measurements
CN113252523B (zh) * 2021-05-12 2022-03-15 中国农业大学 基于rgb相机的植物叶片雾滴沉积量的测量装置及方法
US20230045223A1 (en) * 2021-08-05 2023-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement pattern and method for measuring overlay shift of bonded wafers
KR102441250B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 레이저를 사용하여 소재의 들뜸을 측정하는 검출 시스템 및 이를 사용한 소재의 들뜸을 측정하는 방법
EP4328670A1 (en) * 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232478A1 (en) * 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6563324B1 (en) 2000-11-30 2003-05-13 Cognex Technology And Investment Corporation Semiconductor device image inspection utilizing rotation invariant scale invariant method
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP4299977B2 (ja) 2001-03-16 2009-07-22 株式会社リコー 認識結果修正装置、認識結果修正方法および記録媒体
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
EP1329771B1 (en) * 2001-10-09 2006-09-06 ASML MaskTools B.V. Method of two dimensional feature model calibration and optimization
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
JP4728762B2 (ja) 2005-10-03 2011-07-20 株式会社東芝 超音波探傷画像処理装置
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
KR101455777B1 (ko) * 2007-02-28 2014-10-28 루돌프 테크놀로지스 인코퍼레이티드 부식 가능성이 있는 샘플의 금속부에 대한 피코초 초음파를 이용한 특성화
US8041518B2 (en) * 2007-05-08 2011-10-18 Globalfoundries Inc. Determining die test protocols based on process health
US8111376B2 (en) 2007-05-30 2012-02-07 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8693050B2 (en) * 2009-08-06 2014-04-08 Xerox Corporation Controlling process color in a color adjustment system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821813B (zh) * 2020-12-10 2023-11-11 荷蘭商Asml控股公司 用於疊對量測之系統及方法

Also Published As

Publication number Publication date
KR20160124775A (ko) 2016-10-28
IL246915B (en) 2020-03-31
WO2015127220A1 (en) 2015-08-27
CN106062939A (zh) 2016-10-26
TW201539149A (zh) 2015-10-16
KR102184029B1 (ko) 2020-11-27
US10152654B2 (en) 2018-12-11
TWI688829B (zh) 2020-03-21
US20150235108A1 (en) 2015-08-20
IL246915A0 (en) 2016-09-29
CN106062939B (zh) 2020-01-10

Similar Documents

Publication Publication Date Title
TWI688829B (zh) 用於基於影像之疊對量測之信號回應計量
US10612916B2 (en) Measurement of multiple patterning parameters
TWI703652B (zh) 用於以影像為基礎之量測及以散射術為基礎之重疊量測之信號回應度量
US9710728B2 (en) Image based signal response metrology
TWI659483B (zh) 多重圖案化處理之度量
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
US10030965B2 (en) Model-based hot spot monitoring
TW201801219A (zh) 具有來自多個處理步驟之資訊的半導體計量
WO2015172027A1 (en) Signal response metrology for scatterometry based overlay measurements