TW201801219A - 具有來自多個處理步驟之資訊的半導體計量 - Google Patents

具有來自多個處理步驟之資訊的半導體計量 Download PDF

Info

Publication number
TW201801219A
TW201801219A TW106111390A TW106111390A TW201801219A TW 201801219 A TW201801219 A TW 201801219A TW 106111390 A TW106111390 A TW 106111390A TW 106111390 A TW106111390 A TW 106111390A TW 201801219 A TW201801219 A TW 201801219A
Authority
TW
Taiwan
Prior art keywords
program
measurement
information
tool
steps
Prior art date
Application number
TW106111390A
Other languages
English (en)
Other versions
TWI755386B (zh
Inventor
亞歷山大 庫茲尼斯夫
安東尼歐 艾里昂 吉里紐
安德烈 V 舒傑葛洛夫
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201801219A publication Critical patent/TW201801219A/zh
Application granted granted Critical
Publication of TWI755386B publication Critical patent/TWI755386B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing

Abstract

本文中呈現用於在一多重圖案化半導體製作程序中基於對一試樣之量測及來自用於製作該試樣之一或多個先前程序步驟之程序資訊而量測程序誘發之誤差的方法及系統。在已執行若干個程序步驟之後,採用一計量工具。該計量工具基於經量測信號及程序資訊而量測該晶圓上之計量目標之所關注結構參數,且將可校正程序參數值傳達至該等先前程序步驟中所涉及之一或多個程序工具。當由適當程序工具執行時,該等可校正程序參數值會減小由程序流程製作之結構之幾何形狀的程序誘發之誤差。在另一態樣中,使用多個計量工具結合來自該程序流程中之一或多個程序步驟之程序資訊來控制一製作程序。

Description

具有來自多個處理步驟之資訊的半導體計量
所闡述實施例係關於計量系統及方法,且更特定而言係關於用於表徵由多個圖案化程序產生之結構之尺寸之參數之經改良量測的方法及系統。
半導體裝置(諸如邏輯及記憶體器裝置)通常係藉由適用於一試樣之一系列處理步驟製作。半導體裝置之各種特徵及多個結構層級係藉由此等處理步驟形成。舉例而言,除其他之外,微影亦係涉及在一半導體晶圓上產生一圖案之一種半導體製作程序。半導體製作程序之額外實例包含但不限於化學機械拋光、蝕刻、沈積及離子植入。可在一單個半導體晶圓上製作多個半導體裝置,且然後將其分離成個別半導體裝置。 現在通常採用多種圖案化技術來提高被印刷至用於一給定微影系統之半導體晶圓上之特徵之解析度。圖1A至圖1D繪示通常被稱為一微影-蝕刻-微影-蝕刻(LELE)程序之一雙重圖案化微影(DPL)技術。圖1A繪示一矽基底層10、一界面層11 (諸如二氧化矽)、一裝置層12、一硬遮罩層13、一犧牲層14及由一微影圖案化步驟形成之一經圖案化抗蝕劑層15。然後,圖1A中所繪示之結構經受曝光及蝕刻步驟,此形成圖1B中所圖解說明之結構。在此結構中,抗蝕劑層15之圖案已被有效地轉印至硬遮罩層13。犧牲層14及經圖案化抗蝕劑層15兩者皆已被移除。採用若干個沈積及微影步驟以形成圖1C中所圖解說明之結構。圖1C圖解說明建立於硬遮罩層13之頂部上之另一犧牲層16及經圖案化抗蝕劑層17。經圖案化抗蝕劑層17包含具有與第一經圖案化抗蝕劑層15相同之間距且亦具有與在硬遮罩層13中所蝕刻之圖案相同之間距的一圖案。然而,經圖案化抗蝕劑層17自硬遮罩層13之圖案偏移達經圖案化抗蝕劑層17之間距之一半。然後,圖1C中所繪示之結構經受曝光及蝕刻步驟,此形成圖1D中所圖解說明之結構。在此結構中,抗蝕劑層17之圖案已被有效地轉印至硬遮罩層13。犧牲層16及經圖案化抗蝕劑層17兩者皆已被移除。圖1D圖解說明在硬遮罩13中蝕刻、係經圖案化抗蝕劑層15及17之間距之兩倍、由微影系統之遮罩產生之一圖案。 圖1D亦繪示一非最佳化DPL程序之效應。理想情況係,雙重經圖案化結構之標稱間距應係一恆定值P。然而,由於DPL程序之不完美,所得結構之間距由於光柵不均勻性可取決於位置而變化。此通常被稱為「間距步長」。標稱間距P之一變化在圖1D中被繪示為ΔP。在另一實例中,每一所得結構之一臨界尺寸應係相同標稱值CD。然而,由於DPL程序之不完美,所得結構之一臨界尺寸(例如,中間臨界尺寸、底部臨界尺寸等)可取決於位置而變化。所期望臨界尺寸CD之一變化在圖1D中被繪示為ΔCD。 間距步長及ΔCD係由DPL程序之不完美(諸如兩個微影層之間的不對準、微影程序之聚焦及曝光之不均勻性、遮罩圖案誤差等)誘發之例示性幾何誤差。間距步長及ΔCD兩者皆引入大於預期之一單位單元。儘管特定而言闡述了間距步長及ΔCD,但可預計其他多個圖案化誤差。 儘管關於圖1A至圖1D闡述了LELE程序,但可預計誘發類似誤差之諸多其他多個圖案化程序(例如,微影-微影-蝕刻、多重微影-蝕刻圖案化、多重圖案化界定之間隔件等)。類似地,儘管關於圖1A至圖1D闡述了一雙重圖案化程序,但類似誤差會在諸如四重圖案化等更高階圖案化程序中出現。通常,諸如間距步長及ΔCD等誤差更容易出現在由更高階圖案化程序形成之結構中。 在一半導體製造程序期間之各個步驟處使用計量程序來偵測晶圓上之缺陷以促成較高良率。光學計量技術提供高生產量而無樣本損毀風險之可能性。通常使用若干種基於計量之技術(包含散射量測及反射量測實施方案)及相關聯分析演算法來表徵奈米級結構之臨界尺寸、膜厚度、組合及其他參數。 用以控制一半導體製作程序之一常用方法係在製作程序中之每一關鍵程序步驟之後採用一計量工具。通常,在對關鍵步驟進行密集取樣時,程序控制被改良。然而,在每一程序步驟之後插入一計量步驟在製作時間及花費兩方面皆係昂貴的。隨著先進技術節點之關鍵程序步驟之數目增加,在每一關鍵程序步驟之後插入一計量步驟成本已然過高。此外,由於每一計量節點,可以一有成本效益方式量測之關鍵程序步驟之數目與關鍵程序步驟之總數目相比不斷減少。 由於在先進技術節點之程序流程(諸如一LELE多重圖案化程序)中剔除了計量步驟,因此出於對所有介入程序步驟之程序控制目的之計量結果變得無效。舉例而言,在一LELE多重圖案化程序中,可僅在最後程序步驟之後執行一計量步驟。此量測之結果可有效地用於校正該最後程序步驟,但不能用於校正較早之程序步驟。即使採用多個計量步驟,仍存在此限制。在計量之前的最後程序步驟可被有效地校正,但當前計量無法提供充足量測資訊來校正其他所有程序步驟。 特定而言,涉及對由多個程序步驟及多重圖案化程序產生之結構之量測的計量應用由於計量插入點之數目之實際限制而面臨挑戰。日益細化之解析度要求、多參數相關性、日益複雜之幾何結構及不透明材料之日益增多之使用將此問題複雜化,且給先進製作程序之控制留下非期望漏洞。因此,期望有用於先進製作程序之經改良程序控制之方法及系統。
本文中呈現用於在一多重圖案化半導體製作程序中基於對一試樣之量測及來自用於製作該試樣之一或多個先前程序步驟之程序資訊而量測程序誘發之誤差的方法及系統。基於經量測誤差,將校正性程序參數值傳達至適當程序工具以改良程序效能。以此方式,一計量工具將校正提供至用於執行前述程序步驟中之任一者之一或多個程序工具。 計量系統自用於執行前述程序步驟中之任一者之程序工具中之任一者接收程序資訊。程序工具包含微影工具、蝕刻工具、沈積工具、化學機械平坦化(CMP)工具等。由計量工具接收之程序資訊包含但不限於程序控制參數、程序工具設置參數、程序環境參數、自載於一程序工具上之感測器收集之程序資料、自載於一程序工具上之感測器收集之計量資料等。 在一項態樣中,在已執行若干個程序步驟之後,在一計量步驟處採用一計量工具。該計量工具量測處於實體狀態中之晶圓上之計量目標之所關注結構參數且將可校正程序參數值傳達至先前程序步驟中之一或多者中所涉及之一或多個程序工具。當由適當程序工具執行時,該等可校正程序參數值會減小由程序流程製作之結構之幾何形狀的程序誘發之誤差。 在另一態樣中,使用多個計量工具結合來自程序流程中之一或多個程序步驟之程序資訊來控制一製作程序。除了程序資訊之外,來自插入至程序流程中之一額外計量步驟之計量資訊亦經採用以改良對結構之計量且改良程序控制。 在某些實施例中,一計量工具採用一基於實體之量測模型來依據量測資料(例如,經量測頻譜)及程序資訊估計所關注結構參數之值。 在某些其他實施例中,一計量工具採用一輸入-輸出量測模型來依據量測資料(例如,經量測頻譜)及程序資訊估計所關注結構參數之值。此等模型包含信號回應計量模型、神經網路模型、支援向量機器模型等。 在又一態樣中,依據來自經整合至一個多目標組中之多個目標之量測信號及相關聯程序資訊對一信號回應計量(SRM)量測模型進行訓練且該SRM量測模型對來自多個相同目標之量測信號進行操作。此方法將關鍵參數彼此及關鍵參數與其他程序變化解相關。 在某些實施例中,輔助目標經定位成接近主要量測目標且經受相同程序變化。在此等實施例中,該訓練計量目標組包含具有標稱尺寸之一主要目標及具有所關注參數之不同標稱值之一或多個輔助目標。 前述內容係一發明內容且因此必須含有細節之簡化、概述及省略;因此,熟習此項技術者將瞭解,發明內容僅係說明性的且絕非係限制性的。在本文中所陳述之非限制性實施方式中,本文中所闡述之裝置及/或程序之其他態樣、發明性特徵及優點將變得顯而易見。
相關申請案之交叉參考 本專利申請案依據35 U.S.C. §119主張於2016年4月4日提出申請的標題為「Process Information Assisted Metrology」的序列號為62/318,166之美國臨時專利申請案之優先權,該申請案之標的物以其全部引用方式併入本文中。 現在將詳細參考先前技術實例及本發明之某些實施例,附圖中圖解說明本發明之實例。 本文中呈現用於部分地基於來自多個步驟中之一或多者之程序資訊而在一多重圖案化半導體製作程序中之多個步驟之後量測程序誘發之誤差之方法及系統。基於經量測誤差,校正性程序參數值被傳達至適當程序工具以改良程序效能。以此方式,計量工具不僅將校正提供至用於執行在藉由計量工具進行之量測之前的最後程序步驟之程序工具而且提供至用於執行前述程序步驟中之任一者之一或多個程序工具。 計量系統自用於執行前述程序步驟中任一者之程序工具中之任一者接收程序資訊。程序工具包含微影工具、蝕刻工具、沈積工具、化學機械平坦化(CMP)工具等。由計量工具接收之程序資訊包含但不限於程序控制參數、程序工具設置參數、程序環境參數、自載於一程序工具上之感測器收集之程序資料、自載於一程序工具上之感測器收集之計量資料等。在某些實例中,一程序工具包含用以量測被傳達至計量工具之程序資訊之整合式計量感測器。舉例而言,一微影工具可包含用以量測晶圓幾何形狀之一光學反射計。在另一實例中,一蝕刻工具可包含用以監測及控制蝕刻工具之一電漿源之一光發射頻譜感測器。僅此等感測器不足以供應用以達成對一裝置結構之完備計量之資訊。然而,發明人已發現與由一計量工具產生之計量信號組合之此等信號達成對多步驟製作程序之計量及程序控制,而該等多步驟製作程序原本不受控制。 圖2繪示包含一系列製作程序步驟之一製作程序流程100,該等製作程序步驟包含一微影步驟101、一蝕刻步驟102、另一微影步驟103、另一蝕刻步驟104且最後包含一計量步驟105。在製作程序內藉由微影步驟101將處於一特定實體狀態114中之一傳入晶圓變換(由於微影程序)至一不同實體狀態115。類似地,蝕刻步驟102將該晶圓自狀態115變換至狀態116,微影步驟103將該晶圓自狀態116變換至狀態117,蝕刻步驟104將該晶圓自狀態117變換至狀態118。 在一項態樣中,在計量步驟105處採用一計量工具以量測處於實體狀態118中之晶圓上之計量目標之所關注結構參數並將可校正程序參數值傳達至程序步驟101至104中之一或多者中所涉及之一或多個程序工具。當由適當程序工具執行時,該等可校正程序參數值會減少由程序流程100製作之結構之幾何形狀的程序誘發之誤差。 如圖2中所繪示,程序資訊106自用於執行微影步驟101之一微影工具被傳達至用於執行計量步驟105之計量工具。類似地,程序資訊107自用於執行蝕刻步驟102之一蝕刻工具被傳達至計量工具,程序資訊108自用於執行微影步驟103之一微影工具被傳達至計量工具,且程序資訊109自用於執行蝕刻步驟104之一蝕刻工具被傳達至計量工具。 儘管如圖2中所繪示,來自程序流程100之每一程序步驟之程序資訊被傳達至計量工具,但通常,來自程序步驟中之任一者或多者之程序資訊可被傳達至計量工具。 如圖2中所繪示,計量工具基於在計量步驟105處由計量工具量測的處於狀態118中之晶圓之一或多個結構參數之值及所接收程序資訊(例如,程序資訊106至109中之任一者)而產生可校正程序參數值。舉例而言,如圖2中所繪示,可校正程序參數值110被傳達至用於執行微影步驟101之微影工具。類似地,可校正程序參數值111被傳達至用於執行蝕刻步驟102之一蝕刻工具,可校正程序參數值112被傳達至用於執行微影步驟103之微影工具,且可校正程序參數值113被傳達至用於執行蝕刻步驟104之一蝕刻工具。 儘管如圖2中所繪示,可校正程序參數值被傳達至執行程序流程100之每一程序步驟之一工具,但通常,可校正程序參數值可被傳達至執行程序步驟中之任一者或多者之一工具。 圖3繪示由圖2中所繪示之程序流程100之一特定實例產生之溝渠形成之一實例。圖3繪示處於在微影-蝕刻、微影-蝕刻(LELE)程序流程100內之溝渠形成之每一狀態處之一計量目標。在實體狀態115處(在微影步驟101之後),所關注結構包含一基底層121、一裝置層122及一經圖案化抗蝕劑層123。在實體狀態116處(在蝕刻步驟102之後),完全移除經圖案化抗蝕劑層123,且移除在微影步驟101期間曝光於光之裝置層122之一部分。此時,形成裝置層之第一溝渠特徵。在實體狀態117處,在微影步驟103期間添加一犧牲層124及另一經圖案化抗蝕劑層125。在實體狀態118處,藉由蝕刻步驟104移除經圖案化抗蝕劑層125、犧牲層124及裝置層122之另一部分。此時,形成裝置層之第二溝渠特徵,且在計量步驟105處由一計量工具量測所得結構。 在此實例中,計量工具能夠量測與每一溝渠特徵CD1及CD2相關聯之一臨界尺寸。然而,在無額外程序資訊之情況下,計量系統無法判定哪一溝渠特徵係由哪一微影步驟產生。在此實例中,劑量資訊106自用於執行微影步驟101之微影工具被傳達至執行計量步驟105之計量工具。另外,劑量資訊108自用於執行微影步驟103之微影工具被傳達至計量工具。基於所接收劑量資訊,計量工具將每一溝渠與產生該特定溝渠之對應微影步驟相關聯。在此實例中,微影步驟101處之一較大劑量(亦即,Dose1>Dose2)形成一較大臨界尺寸(亦即,CD1>CD2)。以此方式,具有尺寸CD1之第一溝渠與微影步驟101相關聯且具有尺寸CD2之第二溝渠與微影步驟103相關聯。 在又一態樣中,計量工具產生一可校正程序參數值110且將可校正程序參數值110傳達至執行微影步驟101之微影工具以校正溝渠1之尺寸。類似地,計量工具產生一可校正程序參數值112且將可校正程序參數值112傳達至執行微影步驟103之微影工具以校正溝渠2之尺寸。 在某些實例中,計量工具能夠執行對所關注結構參數之量測。舉例而言,在某些實施例中,計量工具能夠如關於圖2所闡述地獨立地量測CD1及CD2。然而,在某些其他實例中,計量工具不能獨立地量測所有所關注參數。通常,一計量工具能夠量測平均溝渠大小(亦即,(CD1+CD2)/2),此乃因經量測信號主要對體積改變而非位置改變敏感。在此等實例中,由計量工具接收之程序資訊獨立達成對CD1及CD2兩者之一量測。以此方式,除了經改良程序控制之外,程序資訊與計量信號資訊結合亦達成經改良計量能力。 圖2繪示一LELE或(LE)2 製作程序流程。然而,通常,本文中所闡述之方法及系統可適用於任何多重圖案化程序流程,諸如涉及N個微影-蝕刻步驟之一(LE)N 製作程序流程(其中N係任何正整數)、任何自對準多重圖案化技術等 在另一態樣中,使用多個計量工具結合來自程序流程中之一或多個程序步驟之程序資訊來控制一製作程序。除了關於圖2所闡述之程序資訊之外,來自插入至程序流程中之任何額外計量步驟之計量資訊亦用於改良對結構之計量且改良程序控制。 圖4繪示包含一系列製作程序步驟及兩個計量步驟之一製作程序流程200。相似編號元件類似於關於圖2所闡述之元件。如圖4中所繪示,程序流程200包含如關於圖2所闡述之微影步驟101及103以及蝕刻步驟102及104。然而,另外,程序流程200包含兩個計量步驟。將計量步驟201插入於程序流程200之中間且在程序流程200之結尾處執行計量步驟202。 在一項態樣中,由執行計量步驟201之一計量工具量測處於實體狀態117之晶圓,且將此等量測結果之一指示傳達至執行在程序流程200之結尾處之計量步驟202之一計量工具。繼而,在計量步驟202處,採用一計量工具來基於自先前程序步驟(亦即,步驟101至104)中之任一者接收之程序資訊及自中間計量步驟201接收之量測結果203而量測處於實體狀態118中之晶圓上之計量目標之所關注結構參數。 如關於圖2所闡述,執行計量步驟202之計量工具基於在計量步驟202處獲得之量測結果而產生針對程序流程200之程序步驟中之一或多者之可校正程序參數值。將可校正程序參數值傳達至程序步驟101至104中之一或多者中所涉及之一或多個程序工具。當由適當程序工具執行時,該等可校正程序參數值會減少由程序流程200製作之結構之幾何形狀的程序誘發之誤差。 圖2至圖4繪示(LE)N 類型之多重圖案化程序之實例。然而,另外,本文中所闡述之計量及程序控制技術亦適用於自對準多重圖案化程序。先進程序節點(例如,5 nm及3.5 nm程序節點)需要複雜圖案化方案來達成所期望翼片間距。舉例而言,為了達成一低於20 nm之翼片間距,可需要一自對準八重圖案化(SAOP)程序。 圖5繪示例示一SAOP程序之一程序流程300。程序流程300包含一微影步驟,後續接著一系列重複蝕刻及沈積步驟。如圖5中所繪示,在製作程序內藉由微影步驟301將處於一特定實體狀態310中之一傳入晶圓變換至一不同實體狀態311 (由於微影程序)。類似地,蝕刻步驟302將晶圓自狀態311變換至狀態312,沈積步驟303將晶圓自狀態312變換至狀態313,蝕刻步驟304將晶圓自狀態313變換至狀態314,沈積步驟305將晶圓自狀態314變換至狀態315,蝕刻步驟306將晶圓自狀態315變換至狀態316,沈積步驟307將晶圓自狀態316變換至狀態317,蝕刻步驟308將晶圓自狀態317變換至狀態318。 在一項態樣中,在計量步驟309處採用一計量工具以量測處於實體狀態318中之晶圓上之計量目標之所關注結構參數並將可校正程序參數值傳達至程序步驟301至308中之一或多者中所涉及之一或多個程序工具。當由適當程序工具執行時,該等可校正程序參數值會減少由程序流程300製作之結構之幾何形狀的程序誘發之誤差。 如圖5中所繪示,程序資訊319自用於執行微影步驟301之一微影工具被傳達至用於執行計量步驟309之計量工具。類似地,程序資訊320自用於執行蝕刻步驟302之一蝕刻工具被傳達至計量工具,程序資訊321自用於執行沈積步驟303之一沈積工具被傳達至計量工具,程序資訊322自用於執行蝕刻步驟304之一蝕刻工具被傳達至計量工具,程序資訊323自用於執行沈積步驟305之一沈積工具被傳達至計量工具,程序資訊324自用於執行蝕刻步驟306之一蝕刻工具被傳達至計量工具,程序資訊325自用於執行沈積步驟307之一沈積工具被傳達至計量工具,且程序資訊326自用於執行蝕刻步驟308之一蝕刻工具被傳達至計量工具。 儘管如圖5中所繪示,來自程序流程300之每一程序步驟之程序資訊被傳達至計量工具,但通常,來自程序步驟之任一者或多者之程序資訊可被傳達至計量工具。 如圖5中所繪示,計量工具基於在計量步驟309處由計量工具量測的處於狀態318中之晶圓之一或多個結構參數之值及所接收程序資訊(例如,程序資訊319至326中之任一者)而產生可校正程序參數值。舉例而言,如圖5中所繪示,可校正程序參數值327被傳達至用於執行微影步驟301之微影工具。類似地,可校正程序參數值328被傳達至用於執行蝕刻步驟302之一蝕刻工具,可校正程序參數值329被傳達至用於執行沈積步驟303之沈積工具,可校正程序參數值330被傳達至用於執行蝕刻步驟304之一蝕刻工具,可校正程序參數值331被傳達至用於執行沈積步驟305之沈積工具,可校正程序參數值332被傳達至用於執行蝕刻步驟306之一蝕刻工具,可校正程序參數值333被傳達至用於執行沈積步驟307之沈積工具,且可校正程序參數值334被傳達至用於執行蝕刻步驟308之一蝕刻工具。 儘管如圖5中所繪示,可校正程序參數值被傳達至執行程序流程300之每一程序步驟之一工具,但通常,可校正程序參數值可被傳達至執行程序步驟中之任一者或多者之一工具。 圖6繪示由圖5中所繪示之程序流程300之一特定實例產生之翼片間隔件形成之一實例。圖6繪示在SAOP程序流程300內之翼片間隔件形成之每一狀態處之一計量目標。在實體狀態311處(在微影步驟301之後,所關注結構包含一基板層330及一系列重複氮化物層331、333、335及氧化物層332、334及336、一底部抗反射塗佈(BARC)層337以及一經圖案化抗蝕劑層338。在實體狀態313處(在沈積步驟303之後),完全移除經圖案化抗蝕劑層123及BARC層337,且移除氮化物層335之一部分,留下兩個間隔件結構335A至335B。此時,形成第一組翼片間隔件結構。在實體狀態315處,移除層334及335,且移除氮化物層333之一部分,留下四個間隔件結構333A至333D。此時,形成第二組翼片間隔件結構。在實體狀態317處,移除層332及333,且移除氮化物層331之一部分,留下八個間隔件結構331A至331H。此時,形成第三組翼片間隔件結構,且在計量步驟309處由一計量工具量測所得結構。 在此實例中,計量工具不能直接量測與每一翼片間隔件特徵331A至331H相關聯之一臨界尺寸。然而,在具有額外程序資訊之情況下,計量系統能夠判定每一翼片間隔件特徵之尺寸及哪些翼片間隔件特徵對每一程序步驟敏感。在此實例中,劑量資訊319與間隔件臨界尺寸CD1之一指示321、間隔件臨界尺寸CD2之一指示323及間隔件臨界尺寸CD3之一指示325一起自用於執行微影步驟301之微影工具被傳達至計量工具。基於所接收劑量資訊及尺寸資訊,計量工具將每一翼片間隔件與產生特定翼片間隔件特徵之對應程序步驟相關聯。以此方式,以程序資訊來擴充計量達成利用一單個計量工具對SAOP程序進行控制。 在某些實施例中,一計量工具採用一基於實體之量測模型來依據量測資料(例如,經量測頻譜)而估計所關注結構參數之值。採用基於實體模型之量測之計量技術通常需要經圖案化結構之一參數化幾何模型。例示性參數包含臨界尺寸、間距步長或其他所關注參數。另外,需要光學系統與受量測結構之間的相互作用之一準確電磁模型來模擬在量測期間產生之信號。應用對照經量測信號對經模擬信號進行非線性回歸之方式來判定經模型化結構之參數。此方法需要對結構及材料性質之準確模型化。 在此等實施例中,自用於執行先前程序步驟之工具接收之程序資訊被直接饋送至量測模型中。在某些實例中,量測模型之程序參數值被固定至自程序工具接收之值。在其他實例中,所接收程序資訊經進一步處理以達到模型參數之特定值或模型參數之間的數學關係。以此方式,所接收程序資訊用於侷限量測模型且減小參數相關性。 在某些其他實施例中,一計量工具採用一輸入-輸出量測模型來依據量測資料(例如,經量測頻譜)而估計所關注結構參數之值。此等模型包含信號回應計量模型、神經網路模型、支援向量機器模型等。 在又一態樣中,採用一經訓練輸入-輸出量測模型來基於經量測信號及自用於在先前程序步驟處製作受量測樣本之工具接收之程序資訊而估計所關注結構參數之值。與本來僅基於量測信號或程序資訊可達成的資訊相比,量測信號與程序資訊之組合含有分離且量測關鍵特徵所需之更多資訊。 在某些實例中,基於來自先前程序步驟之程序資訊(例如,經模擬程序資料或與一實驗設計(DOE)晶圓之製作相關聯之實際程序資料)及自包含多個圖案計量目標(經模擬或實際)之量測位點收集之原始量測資料(例如,經模擬頻譜或自DOE晶圓收集之頻譜)而產生一SRM量測模型。使用機器學習、特徵提取及其他技術來建立一直接輸入-輸出模型(亦即,轉移函數),該模型使一或多個經多重圖案化目標之DOE程序資訊及頻譜與所關注參數之對應參考量測相關。在某些實施例中,該多個經圖案化計量目標組包含標稱相同目標,亦即,目標由於程序變化而彼此有所差別。在某些實施例中,影響所關注參數之程序變化被有意放大以達到模型訓練目的。 在一項實例中,轉移函數使程序資訊及散射量測信號與圖7中所繪示之一SAQP目標131之對應CD-SEM量測相關。針對每一所關注參數而產生一SRM模型,且同一模型用於對其他量測位點執行後續量測。 為了訓練SRM模型,由一運算系統(例如,運算系統330)接收與複數個量測位點之量測相關聯之一定量之原始量測資料及來自先前程序步驟之對應程序資訊。該複數個量測位點中之每一者包含由藉由一多重圖案化程序中之至少兩個圖案化步驟產生之至少一個所關注參數表徵之一經多重圖案化計量目標。在該複數個量測位點中之每一處所關注參數之一值係已知的。 為了模型訓練目的,可自具有設計參數(例如,結構或程序參數)之已知擾動之任何位置獲取量測資料。此等位置(舉例而言)可在刻劃線中、裝置上或可在其中(舉例而言)微影曝光條件或倍縮光罩設計特性隨值之一範圍變化之晶圓上之其他位置處。在另一實例中,可自不同裝置位置(例如,具有密集特徵之一位置及具有隔離式特徵之一位置或在遮罩上具有兩個不同CD之位置)獲取量測資料。通常,自以一已知方式發生擾動之不同位置獲取量測資料。可自遮罩資料、裝備資料獲取(EDA)資料、程序資料等知曉擾動。 在一項實例中,聚焦、曝光及疊對中之任一者跨越裝置或晶圓而系統性地變化。在另一實例中,使用一隨機化聚焦與曝光矩陣(FEM)來減小Izikson等人之美國專利第8,142,966號中所闡述之底層參數之相關性,上述專利之全部內容以引用方式併入本文中。 在一較佳實施例中,在一實際DOE晶圓之製作中實施該組系統變化。隨後量測DOE晶圓以產生原始量測資料。一經製造晶圓包含無法由模擬輕易模型化之系統誤差。舉例而言,藉由對一真實晶圓之量測更準確地擷取底層之影響。可藉由在製造期間修改程序參數(例如,聚焦及曝光變化)以達成一固定底層條件來將底層貢獻與量測回應解相關。在另一實例中,可藉由耗費來自具有變化頂部層拓撲及恆定底層條件之特徵之多個資料組來減弱底層貢獻。在一項實例中,頂部層可包含一週期性結構且底層可係非週期性的。 量測位置可經選擇以提高量測敏感性。在一項實例中,在線端處執行之量測對聚焦之改變最為敏感。通常,應在對待量測參數之改變最敏感之結構處進行量測。 儘管執行對DOE晶圓之實際量測係較佳的,但在某些其他實例中,可依據不同已知結構參數值來模擬一DOE晶圓之程序資訊及量測回應。在此等實例中,綜合地產生程序資訊及原始量測資料。舉例而言,可採用一程序模擬器,諸如可自美國加利福尼亞州苗比達市KLA-Tencor公司購得之正性抗蝕劑光學微影(PROLITH)模擬軟體。通常,可在本專利文件範疇內預計任何程序模型化技術或工具(例如,可自美國北卡羅萊納州卡裡市Coventor公司購得之Coventor模擬軟體)。 在某些實例中,原始量測資料包含在於不同量測位點處獲得之一頻譜範圍內之兩個橢圓偏振量測參數(Ψ、D)。然而,通常,量測資料可係指示被圖案化至一半導體晶圓之表面上之結構之結構性質或幾何性質之任何量測資料。 在某些實例中,量測資料與對程序參數之模擬及對一DOE晶圓(例如,晶圓130)之表面上之量測位點之對應量測相關聯。舉例而言,量測資料可包含與多重圖案計量目標相關聯、與每一量測位點相關聯之經模擬頻譜量測。 在某些其他實例中,量測資料與對一DOE晶圓(例如,晶圓130)之表面上之量測位點之實際量測相關聯。量測資料包含與多重圖案計量目標相關聯、與每一量測位點相關聯之實際頻譜量測。 在某些實例中,量測資料與對一實驗設計(DOE)晶圓上之該複數個量測位點之量測相關聯且在量測位點中之每一者處藉由一參考量測系統量測表徵經多重圖案化計量目標之所關注參數。參考計量系統係能夠準確量測參數值之:一可信賴計量系統,諸如一掃描電子顯微鏡(SEM)、穿隧電子顯微鏡(TEM)、原子力顯微鏡(AFM);或一x射線量測系統,諸如一小角度X射線散射計(SAXS)或一X射線螢光(XRF)系統。然而,通常,參考計量系統通常缺少作為一線內計量系統操作之能力,此乃因(舉例而言)低通量、對個別位點之量測之高量測不確定性等。 在某些實施例中,程序變化及對應參數變化被組織於一半導體晶圓(例如,DOE晶圓)之表面上之一實驗設計(DOE)圖案中,舉例而言如本文中關於圖7所闡述。以此方式,量測系統詢問與不同程序及對應結構參數值對應之晶圓表面上之不同位置。在關於圖7所闡述之實例中,量測資料與經處理有圖6中所繪示之CD1及CD2之已知變化之一DOE晶圓相關聯。舉例而言,CD1係藉由在微影期間改變劑量而變化,且CD2係藉由改變間隔件335A至335B之厚度而變化。CD3係與毗鄰單位單元(以虛線而非陰影圖解說明)相關聯的間隔件333D與間隔件333E之間的距離。CD3與CD1及CD2以方程式(1)之方式相關, CD 3 = LithoPitch - 4Tspacer - CD1 - 2CD2 (1) 其中,LithoPitch係抗蝕劑光柵圖案之一預定義間距且Tspacer 係間隔件333A至333D之厚度。儘管在此實例中,劑量及間隔件厚度經變化以產生所期望參數變化,但通常,可預計與程序參數(例如,微影聚焦、曝光及其他局域或全域參數)、結構參數或與該兩者之任何已知變化相關聯之量測資料。 圖7繪示具有位於晶圓之表面上方之各個量測位點處之若干個晶粒(例如,晶粒133)之一半導體晶圓130。在圖7中所繪示之實施例中,晶粒位於配置於與所繪示x與y座標框架132對準之一矩形柵格圖案中之量測位點處。每一晶粒包含一SAQP計量目標131。在圖7中所繪示之實施例中,每一經多重圖案化計量目標131包含自一第一圖案化步驟獲得之一組線以及自多個圖案化程序中之一後續步驟獲得之至少另一組經插置線。因此,每一經多重圖案化計量目標包含具有間隔件結構之一重複圖案(諸如經多重圖案化單位單元131)之一光柵結構。經多重圖案化單位單元131之幾何形狀係由如關於圖6所闡述之CD1、CD2、CD3及間距步長表徵。 晶圓130包含具有不同已知結構參數值之一晶粒陣列。因此,CD1取決於其在晶圓130上之位置而具有不同已知值。以此方式,晶圓130可被視為一實驗設計(DOE)晶圓。期望DOE晶圓包含橫跨預期自下伏程序窗口產生之結構參數值(例如,CD1)之全部範圍之一經多重圖案化計量目標矩陣。如圖7中所繪示,CD1之值針對不同晶粒行(x方向上之行索引)而改變。以此方式,晶圓130包含若干晶粒,該等晶粒取決於其等在晶圓上之位置而包含CD1之不同值。此外,CD1之值範圍包括預期自程序窗口產生之CD1之值。 在某些實施例中,可製作類似於DOE晶圓130之一組DOE晶圓,其中該組中之每一DOE晶圓具有CD2之一不同已知標稱值。CD2係藉由改變間隔件厚度或蝕刻條件而變化,此影響整個晶圓。因此,每一DOE晶圓係在稍微不同程序條件下被製作以產生CD2之不同標稱值。該組中之每一DOE晶圓包含如上文關於晶圓130所闡述之CD1之不同已知值之一範圍。 在某些實例中,藉由減小一量測資料量來提取原始量測資料之一或多個特徵。儘管此減小係選用的,但採用該減小時,至少部分地基於一或多個經提取特徵而判定SRM量測模型。類似地,藉由減小一程序資訊量來提取自先前程序步驟接收之程序資訊之一或多個特徵。 通常,可藉由若干種已知方法來減小量測資料、程序資訊或該兩者之量,該等方法包含一主分量分析、一非線性主分量分析、自第二量之量測資料之個別信號之一選擇及第二量之量測資料之一篩選。 在某些實例中,使用主分量分析(PCA)、非線性PCA、核心PCA、獨立分量分析(ICA)、快速傅裡葉(Fourier)變換分析(FFT)、離散餘弦變換分析(DCT)或此等技術之一組合來分析量測資料、程序資訊或量測資料、程序資訊兩者以提取最強烈地反映程序參數、結構參數或該兩者在不同量測位點處所呈現之變化之特徵。在某些其他實例中,可應用一信號濾波技術來提取最強烈地反映在不同量測位點處所呈現之參數變化之信號資料。在某些其他實例中,可自存在於量測資料中之多個信號選擇最強烈地反映在不同量測位點處所呈現之參數變化之個別信號。儘管自量測資料及程序資訊提取特徵以減少經受後續分析之資料量係較佳的,但其完全不必要。 基於程序資訊及相關聯原始量測信號或者程序資訊及相關聯原始量測信號中之一者或兩者之經減小版本而判定一SRM量測模型。一經訓練SRM量測模型經建構以接收在一或多個量測位點處由一計量系統產生之量測資料及來自先前程序步驟之相關聯程序資訊,且直接判定與每一量測目標相關聯之結構參數值。在一較佳實施例中,SRM量測模型係實施為一神經網路模型。在一項實例中,神經網路之節點數目係基於自量測資料、程序資訊或該兩者提取之特徵而選擇。在其他實例中,SRM量測模型可實施為一線性模型、一多項式模型、一回應表面模型、一決策樹模型、一隨機森林模型、一支援向量機器模型或其他類型之模型。 基於所關注參數之已知值而訓練SRM量測模型。在某些實例中,使用DOE程序資訊、原始量測資料及已知參數值來產生經訓練SRM量測模型。該模型經訓練,使得其輸出符合由DOE頻譜定義的程序變化空間之所有頻譜之經定義預期回應。 在某些實例中,經訓練SRM模型用於直接依據自其他晶圓(例如,產品晶圓)之實際裝置結構收集之量測資料(例如,頻譜)及來自用於製作量測結構之先前程序之相關聯程序資訊而計算結構參數值。SRM量測模型接收量測資料(例如,經量測頻譜)及相關聯程序資訊來直接作為輸入且提供參數值來作為輸出,且因此SRM量測模型係一經訓練輸入-輸出模型。 Pandev之美國專利第8,843,875號、Pandev等人之美國專利公開案第2014/0297211號、Shchegrov等人之美國專利公開案第2014/0316730號、美國專利公開案第2014/0172394號、Pandev等人之美國專利公開案第2015/0042984號、Pandev等人之美國專利公開案第2015/0046118號、Pandev之美國專利公開案第2015/0235108號、Pandev等人之美國專利公開案第2016/0109230號及Sapiens等人之美國專利公開案第2015/0323471號中闡述了與作為量測程序一部分之模型產生、訓練及利用相關之額外細節,上述專利中之每一者之全部內容以引用方式併入本文中。 在某些實例中,由一運算系統(例如,運算系統330)接收與對一半導體晶圓之一表面上之一計量目標之量測相關聯之一定量之光學量測資料及與用於製作該計量目標之先前程序步驟相關聯之程序資訊。所關注參數指示由多重圖案化程序誘發之一幾何誤差。 在一項實例中,圖6中所繪示之目標結構之結構參數CD1、CD2、CD3及間距步長係所關注參數。此等參數係藉由非限制性實例方式提供。通常,諸多其他結構參數(例如,側壁角度、底部臨界尺寸等)可用於指示由一多重圖案化程序誘發之幾何誤差。 在某些實施例中,一受量測產品晶圓包含具有標稱值之結構之一陣列。因此,CD1、CD2、CD3及間距步長具有相同標稱值而不論在晶圓上之位置如何。 在某些實例中,量測資料包含在於不同量測位點處獲得之一頻譜範圍內之兩個橢圓偏振量測參數(Ψ、D)。量測資料包含與經多重圖案化計量目標相關聯、與每一量測位點相關聯之頻譜量測。儘管在某些實例中,量測資料係頻譜量測資料,但通常,量測資料可係指示被圖案化至一半導體晶圓之表面上之結構之結構性質或幾何性質之任何量測資料。 與計量目標相關聯之至少一個所關注參數之值係基於量測資料、相關聯程序資訊及一經訓練SRM量測模型而判定。所關注參數之值指示由多重圖案化程序誘發之一幾何誤差。所關注參數之值係直接依據經訓練SRM量測模型計算。 所關注參數之值儲存於一記憶體(例如,記憶體332)中。 由於某些目標中所呈現之結構對稱,臨界尺寸通常不能依據僅自一個別目標及相關聯程序資訊導出之散射量測信號直接量測。舉例而言,來自具有臨界尺寸CD (例如,CD+x)之一正值擾動之一光柵結構之散射量測信號與來自具有CD (例如,CD-x)之一負值擾動之一光柵結構之散射量測信號相同。 在一項其他態樣中,依據來自經整合至一個多目標組中之多個目標之量測信號及相關聯程序資訊對一SRM量測模型進行訓練且該SRM量測模型對來自多個相同目標之量測信號進行操作。此方法將關鍵參數彼此及關鍵參數與其他程序變化解相關。 在某些實施例中,輔助目標經定位成接近主要量測目標且經受相同程序變化(例如,SAQP程序變化)。在此等實施例中,該組訓練計量目標包含具有標稱尺寸之一主要目標及具有所關注參數之不同標稱值之一或多個輔助目標。 該等輔助目標係在微影程序步驟期間形成。在某些實例中,具有不同線與空間比率及/或不同間距之一遮罩可用於產生輔助目標。較佳地,將主要目標及輔助目標儘可能緊密地定位在一起以增強SRM量測模型之準確性。在某些實施例中,主要計量目標及輔助計量目標兩者在每一量測位點處被彼此毗鄰地定位。藉由將計量目標緊密定位在一起,用於連結兩個計量目標之參數之簡化假設不太可能誘發顯著誤差。舉例而言,對於兩個計量目標而言,一下伏層之厚度極可能係相同值,只要該等目標經定位成緊密靠近即可。因此,對於毗鄰計量目標而言,在不誘發顯著誤差之情況下,下伏層之厚度可被視為相同恆定值。 使用輔助目標來訓練及使用一SRM量測模型類似於上文中所闡述之單個目標方法。然而,另外,對多目標SRM量測模型之訓練需要來自輔助目標及主要計量目標之訓練資料。類似地,對多目標SRM量測模型之使用需要來自輔助目標及主要量測目標之量測資料。然而,注意僅需要自主要目標收集用於訓練之參考量測資料,此乃因與輔助目標相關聯之特定參數值不受關注。 在某些實施例中,基於來自在多重圖案化程序之多個步驟處量測之一計量目標之量測信號而訓練及使用一SRM量測模型。經量測頻譜或來自一或多個先前程序步驟之經量測關注參數經前饋以用於對與主要目標相關聯之SRM量測模型之訓練及使用。此方法亦將關鍵參數彼此及關鍵參數與其他程序變化解相關。 此方法不需要實施額外輔助目標所需之另外晶圓空間。然而,此方法的確需要在多個程序步驟處執行晶圓量測。 使用在多個程序步驟處收集之量測資料以訓練及使用一SRM量測模型類似於上文所闡述之單個目標方法。然而,另外,對SRM量測模型之訓練需要在最小兩個不同程序步驟處量測主要目標。類似地,對SRM量測模型之使用需要來自不同程序步驟處之主要目標之量測資料。然而,注意僅需要自在最近程序步驟處之主要目標收集用於訓練之參考量測資料,此乃因僅在此步驟處之目標之特定參數值係所關注的。 如本文中所闡述,需要使用其他技術獲得之參考量測來訓練SRM模型。CD-SEM係以其高量測不確定性知名之一例示性量測技術。 本文中藉由非限制性實例方式闡述了涉及LELE及SAOP之特定實例。通常,本文中所闡述之方法及系統可用於改良對藉由任何多重圖案化技術(例如,自對準雙重圖案化、三重圖案化、四重圖案化、八重圖案化、雙重微影雙重蝕刻(LELE)圖案化等)產生之所關注參數之量測。 圖8圖解說明用於根據本文中所呈現之例示性方法量測一試樣之特性之一系統400。如圖8中所展示,系統400可用於執行對一試樣401之一或多個結構410之頻譜橢圓偏振量測。在此態樣中,系統400可包含配備有一照明器402及一頻譜儀404之一頻譜橢偏計。系統400之照明器402經組態以產生一選定波長範圍(例如,150至2000 nm)之照明並將該照明引導至安置於試樣401之表面上之結構。繼而,頻譜儀404經組態以接收自試樣401之表面反射之照明。進一步注意,使用一偏振狀態產生器407來將自照明器402發出之光偏振以產生一偏振照明光束406。由安置於試樣401上之結構反射之輻射通過一偏振狀態分析儀409且到達頻譜儀404。關於偏振狀態而分析由頻譜儀404接收的收集光束408中之輻射,從而允許由頻譜儀進行對由分析儀傳遞之輻射之頻譜分析。此等頻譜411被傳遞至運算系統430以用於對結構之分析。 如圖8中所繪示,系統400包含一單種量測技術(亦即,SE)。然而,通常,系統400可包含任何數目個不同量測技術。舉非限制性實例,系統400可經組態為一頻譜橢偏計(包含密勒(Mueller)矩陣橢圓偏振量測)、一頻譜反射計、一頻譜散射計、一疊對散射計、一角度解析光束輪廓反射計、一偏振解析光束輪廓反射計、一光束輪廓反射計、一光束輪廓橢偏計、任何單個或多個波長橢偏計或上述各項之任何組合。此外,通常,可自多個工具而非整合多種技術之一個工具收集藉由不同量測技術收集且根據本文中闡述之方法分析之量測資料。 在又一實施例中,系統400可包含用於基於如本文中所闡述之經量測頻譜及程序資訊而執行量測之一或多個運算系統430。一或多個運算系統430可以通信方式耦合至頻譜儀404。在一項態樣中,一或多個運算系統430經組態以接收與對試樣401之結構之量測相關聯之量測資料411。一或多個運算系統430亦可以通信方式耦合至經組態以執行用於製作受量測樣本401之一先前程序步驟之一或多個程序工具420。在一項態樣中,一或多個運算系統430經組態以接收與先前程序步驟中之任一者相關聯之程序資訊421、在先前程序步驟中之任一者處對試樣401之結構之量測或上述兩項之一組合。 應認識到,可藉由一單電腦系統430或另一選擇係藉由一多重電腦系統430來實施本發明通篇所闡述之各個步驟。此外,系統400之不同子系統(諸如頻譜橢偏計404)可包含適於實施本文中所闡述步驟之至少一部分之一電腦系統。因此,上述說明不應解釋為對本發明之一限制而僅係一圖解說明。此外,一或多個運算系統430可經組態以執行本文中所闡述之方法實施例中之任一者之任何其他步驟。 另外,電腦系統430可以此項技術中已知之任何方式以通信方式耦合至頻譜儀404。舉例而言,一或多個運算系統430可耦合至與頻譜儀404相關聯之運算系統。在另一實例中,頻譜儀404可由耦合至電腦系統430之一單電腦系統直接控制。 計量系統400之電腦系統430可經組態以藉由可包含有線及/或無線部分之一傳輸媒體而自該系統之子系統(例如,頻譜儀404及諸如此類)或者一或多個程序工具接收及/或獲取資料或資訊。以此方式,傳輸媒體可用作電腦系統430與其他系統或系統400之子系統之間的一資料鏈路。 計量系統400之電腦系統430可經組態以藉由可包含有線及/或無線部分之一傳輸媒體而自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可用作電腦系統430與其他系統(例如,載於計量系統400上之記憶體、外部記憶體、程序工具420、一參考量測源或其他外部系統)之間的一資料鏈路。舉例而言,運算系統430可經組態以經由一資料鏈路而自一儲存媒體(亦即,記憶體432或一外部記憶體)接收量測資料。舉例而言,使用頻譜儀404獲得之頻譜結果可儲存於一永久性或半永久性記憶體裝置(例如,記憶體432或一外部記憶體)中。就此而言,頻譜結果可自機載記憶體或自一外部記憶體系統輸入。此外,電腦系統430可經由一傳輸媒體將資料發送至其他系統。舉例而言,由電腦系統430判定之一量測模型或一結構參數值440可被傳達並儲存於一外部記憶體中。就此而言,量測結果可被輸出至另一系統。 運算系統430可包含但不限於一個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。通常,術語「運算系統」可被廣義定義為囊括具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。 可經由一傳輸媒體(諸如,一導線、電纜或無線傳輸鏈路)傳輸實施諸如本文中所闡述之彼等方法之方法之程式指令434。舉例而言,如圖8中所圖解說明,儲存於記憶體432中之程式指令434經由匯流排433被傳輸至處理器431。程式指令434儲存於一電腦可讀媒體(例如,記憶體432)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或者一磁帶。 在某些實施例中,照明光及自經照明量測位點收集之光包含多個不同波長。在某些實施例中,以多個不同收集角度自經照明量測位點收集光。對間距步長及臨界尺寸(例如,CD)之變化之量測敏感性因以多個波長及收集角度偵測光而有所改良。在某些實施例中,以多個不同方位角自經照明量測位點收集光。此等平面外量測亦可改良對間距步長及臨界尺寸之變化之量測敏感性。在某些實施例中,針對一組特定系統設定(例如,頻譜或角度解析系統、一或多個方位角、一或多個波長及上述各項之任何組合)而將光學量測資料之收集最佳化。 圖9圖解說明適合於由一計量系統(諸如本發明之圖8中所圖解說明之計量系統400)實施之一方法500。在一項態樣中,應認識到,方法500之資料處理區塊可經由一預程式化演算法執行,該預程式化演算法由運算系統430之一或多個處理器或任何其他一般用途運算系統執行。在本文中認識到,計量系統400之特定結構態樣不表示限制且僅應解釋為圖解說明性的。 在區塊501中,由一計量系統(例如,計量系統400)接收一晶圓。該晶圓包含一或多個計量目標,該一或多個計量目標係由藉由複數個程序工具執行的一製作程序流程之複數個程序步驟之製作。 在區塊502中,將一第一量之程序資訊自用於對晶圓執行該複數個程序步驟中之一第一程序步驟之一第一程序工具而接收至計量系統之一運算系統上。 在區塊503中,將一第二量之程序資訊自第一程序工具或用於對晶圓執行該複數個程序步驟中之一第二程序步驟之另一程序工具而接收至計量系統之運算系統上。 在區塊504中,由計量系統之一照明子系統將一定量之照明光提供至一或多個計量目標。 在區塊505中,由計量系統之一偵測器子系統偵測回應於照明而來自一或多個計量目標的一定光量。 在區塊506中,由偵測器子系統回應於所偵測光量而產生量測信號。 在區塊507中,基於量測信號以及第一量之程序資訊及第二量之程序資訊而估計一或多個計量目標之一所關注參數之一值。 在區塊508中,基於計量目標之經量測特性以及第一量之程序資訊及第二量之程序資訊而估計與程序步驟中之至少一者相關聯之一可校正參數之一值。另外,將該可校正參數之值傳達至用於執行至少一個程序步驟之一程序工具。 在某些實例中,與多個目標相關聯、用於模型建立、訓練及量測之量測資料之使用會消除或顯著減小底層對量測結果之影響。在一項實例中,將來自兩個目標之量測信號相減以消除或顯著減小底層對每一量測結果之影響。與多個目標相關聯之量測資料之使用會增加嵌入於模型中之樣本資訊及程序資訊。特定而言,包含在一或多個量測位點處對多個不同目標進行之量測之訓練資料之使用達成更準確量測。 在一項實例中,依據對一DOE晶圓之頻譜量測而產生一量測模型以用於隔離式目標及密集目標兩者。然後,基於頻譜量測資料及已知結構參數值而訓練量測模型。隨後,將所得經訓練量測模型用於計算樣本晶圓上之隔離式目標及密集目標兩者之結構參數值。以此方式,每一參數具有其自身之經訓練模型,該經訓練模型依據與隔離式目標及密集目標兩者相關聯之經量測頻譜(或所提取特徵)而計算參數值。 在又一態樣中,收集自由多種不同量測技術之一組合執行之量測導出之量測資料以用於模型建立、訓練及量測。與多種不同量測技術相關聯之量測資料之使用會增加嵌入於模型中之樣本資訊及程序資訊且達成更準確量測。量測資料可自由多種不同量測技術之任何組合執行之量測導出。以此方式,可藉由多種不同量測技術來量測不同量測位點以增強可用於表徵半導體結構之量測資訊。 通常,可在此專利文件之範疇內預計任何量測技術或者兩種或多於兩種量測技術之組合。例示性量測技術包含但不限於:頻譜橢圓偏振量測(包含密勒矩陣橢圓偏振量測)、頻譜反射量測、頻譜散射量測、散射量測疊對、光束輪廓反射量測、角度解析及偏振解析兩者、光束輪廓橢圓偏振量測、單個或多個離散波長橢圓偏振量測、透射小角度x射線散射計(TSAXS)、小角度x射線散射(SAXS)、掠入射小角度x射線散射(GISAXS)、廣角度x射線散射(WAXS)、x射線反射率(XRR)、x射線繞射(XRD)、掠入射x射線繞射(GIXRD)、高解析度x射線繞射(HRXRD)、x射線光電子頻譜法(XPS)、x射線螢光(XRF)、掠入射x射線螢光(GIXRF)、低能量電子誘發之x射線發射散射量測、x射線斷層掃描及x射線橢圓偏振量測。通常,可預計適用於表徵半導體結構之任何計量技術(包含基於影像之計量技術)。額外感測器選項包含:電感測器,諸如使裝置偏壓且利用一光學感測器來偵測所得偏壓之非接觸式電容/電壓或電流/電壓感測器(或相反);或輔助性光學技術,諸如XRD、XRF、XPS、LEXES、SAXS;及泵探測技術。在一項實施例中,一個二維光束輪廓反射計(瞳孔成像器)可用於收集大小較小之一光斑中之角度解析資料及/或多頻譜資料。一UV林尼克(Linnik)干涉計亦可用作一密勒矩陣頻譜瞳孔成像器。 在某些實例中,本文中所闡述之模型建立、訓練及量測方法被實施為可自美國加利福尼亞州苗必達市KLA-Tencor公司購得之一SpectraShape®光學臨界尺寸計量系統之一元素。以此方式,模型被建立並準備好供在由系統收集DOE晶圓頻譜之後立即使用。 在某些其他實例中,舉例而言,藉由實施可自美國加利福尼亞州苗必達市之KLA-Tencor公司購得之AcuShape®軟體之一運算系統來離線地實施本文中所闡述之模型建立及訓練方法。所得經訓練模型可併入為可由執行量測之一計量系統存取之一AcuShape®庫之一元素。 在另一實例中,本文中所闡述之方法及系統可適用於疊對計量。光柵量測與疊對量測特別有關。疊對計量之目標係判定不同微影曝光步驟之間的移位。執行裝置上疊對計量係困難的,此乃因裝置上結構之大小係小的且通常疊對值係小的。 舉例而言,典型刻劃線疊對計量結構之間距自200 nm至2,000 nm變化。但是,裝置上疊對計量結構之間距通常係100 nm或更小。另外,在一標稱生產環境中,裝置疊對僅係裝置結構之週期性之一小部分。相比而言,用於散射量測疊對中之代理計量結構常常以較大值(例如,間距之四分之一)偏移以增強對疊對之信號敏感性。 在此等條件下,在感測器架構對小偏移、小間距疊對具有充分敏感性之情況下執行疊對計量。本文中所闡述之方法及系統可用於獲得對基於裝置上結構、代理結構或該兩者之疊對敏感之一量測信號。 在獲取經量測信號之後,分析該等經量測信號以基於經量測信號之變化而判定疊對誤差。在一項其他態樣中,使用PCA分析頻譜資料或角度解析資料,且訓練一疊對模型以基於在量測信號中所偵測之主分量而判定疊對。在一項實例中,疊對模型係一神經網路模型。在此意義上而言,疊對模型並非一參數模型,且因此不易受由不準確模型化假設引入之誤差影響。 在某些實施例中,對疊對計量模型之訓練係基於對與裝置特徵標稱相同但具有較大偏移之專用計量結構之量測。此可有助於克服敏感性問題。此等偏移可因固定設計偏移而引入,固定設計偏移被引入於將在倍縮光罩設計期間量測之兩個層中之特徵中。偏移亦可因微影曝光之移位而被引入。可藉由使用多個經移位目標(例如,間距/4及-間距/4)而自經壓縮信號(例如,PCA信號)更高效地提取疊對誤差且亦可減小底層之影響。 通常,本文中所呈現的用於執行半導體計量之方法及系統可直接用於位於晶粒中或刻劃線內之實際裝置結構或專用計量目標(例如,代理結構)。 在又一態樣中,本文中所闡述之量測技術可用於將主動回饋提供至一程序工具(例如,微影工具、蝕刻工具、沈積工具等)。舉例而言,可將使用本文中所闡述之方法判定之結構參數值傳達至一微影工具以調整微影系統來達成一所期望輸出。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等)或沈積參數(例如,時間、濃度等)可包含於一量測模型中以將主動回饋分別提供至蝕刻工具或沈積工具。 通常,本文中所闡述之系統及方法可實施為一專用計量工具之一部分,或者另一選擇係實施為一程序工具(例如,微影工具、蝕刻工具等)之一部分。 如本文中所闡述,術語「臨界尺寸」包含:一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角度、光柵高度等);任何兩個或多於兩個結構之間的一臨界尺寸(例如,兩個結構之間的距離);以及兩個或多於兩個結構之間的一位移(例如,疊對光柵結構之間的疊對位移等)。結構可包含三維結構、經圖案化結構、疊對結構等。 如本文中所闡述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。 如本文中所闡述,術語「計量系統」包含至少部分地用於在任何態樣中表徵一試樣之任何系統,包含量測應用,諸如臨界尺寸計量、疊對計量、聚焦/劑量計量及組成計量。然而,此等技術術語並不限制如本文中所闡述之術語「計量系統」之範疇。另外,計量系統400可經組態以用於經圖案化晶圓及/或未經圖案化晶圓之量測。計量系統可經組態為一LED檢驗工具、邊緣檢驗工具、背面檢驗工具、宏觀檢驗工具或多模式檢驗工具(涉及同時來自一或多個平臺之資料)以及自基於臨界尺寸資料校準系統參數獲益之任何其他計量或檢驗工具。 本文中闡述可用於處理一試樣之一半導體處理系統(例如,一檢驗系統或一微影系統)之各種實施例。術語「試樣」在本文中用於指一晶圓、一倍縮光罩或可藉由此項技術中已知之手段處理(例如,印刷或檢驗缺陷)之任何其他樣本。 如本文中所使用,術語「晶圓」通常係指由一半導體或非半導體材料形成之基板。實例包含但不限於單晶矽、砷化鎵及磷化銦。通常可在半導體製作設施中找到及/處理此類基板。在某些情形中,一晶圓可僅包含基板(亦即,裸晶圓)。或者,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可係「經圖案化的」或「未圖案化的」。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。 一「倍縮光罩」可係在一倍縮光罩製作程序之任何階段處之一倍縮光罩或者可或可不被釋放以供在一半導體製作設施中使用之一完成倍縮光罩。一倍縮光罩或一「遮罩」通常被定義為一實質上透明基板,該透明基板上面形成有經組態成一圖案之不透明區域。基板可包含(舉例而言)諸如非晶SiO2 之一玻璃材料。一倍縮光罩可在一微影程序之一曝光步驟期間安置於一抗蝕劑覆蓋之晶圓上面,使得可將該倍縮光罩上之圖案轉印至該抗蝕劑。 形成於一晶圓上之一或多個層可係經圖案化的或未經圖案化的。舉例而言,一晶圓可包含複數個晶粒,該等晶粒各自具有可重複圖案特徵。此等材料層之形成及處理可最終產生完成裝置。諸多不同類型之裝置可形成於一晶圓上,且如本文中所使用之術語晶圓意欲囊括上面製作有此項技術中已知之任何類型之裝置之一晶圓。 在一個或多項例示性實施例中,可在硬體、軟體、韌體或其等任何組合中實施所闡述功能。若在軟體中實施,則該等功能可作為一或多個指令或程式碼儲存於一電腦可讀媒體上或經由一電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,通信媒體包含促進一電腦程式自一個地方至另一地方之傳送之任何媒體。一儲存媒體可係可由一個一般用途或特殊用途電腦存取之任何可用媒體。舉例而言但不具限制性,此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存裝置、磁碟儲存裝置或其他磁性儲存裝置、或者可用於以指令或資料結構形式攜載或儲存所期望程式碼構件且可由一個一般用途或特殊用途電腦或者一個一般用途或特殊用途處理器存取的任何其他媒體。此外,任何連接皆可適當地被稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含在媒體定義中。如本文中所使用,磁碟及碟片包含光碟(CD)、雷射光碟、光學光碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常以磁性方式再現資料,而光碟藉助雷射以光學方式再現資料。以上組合亦應包含於電腦可讀取媒體之範疇內。 儘管上文出於指導性目的而闡述了某些特定實施例,但本專利文件之教示具有一般適用性且不限於上文所闡述之特定實施例。因此,可在不背離如申請專利範圍中所陳述之本發明之範疇之情況下實踐對所闡述實施例之各種特徵之各種修改、調適及組合。
10‧‧‧矽基底層
11‧‧‧界面層
12‧‧‧裝置層
13‧‧‧硬遮罩層
14‧‧‧犧牲層
15‧‧‧經圖案化抗蝕劑層/抗蝕劑層/第一經圖案化抗蝕劑層
16‧‧‧另一犧牲層/犧牲層
17‧‧‧經圖案化抗蝕劑層/抗蝕劑層
100‧‧‧程序流程/製作程序流程/微影-蝕刻、微影-蝕刻程序流程
101‧‧‧微影步驟/程序步驟/步驟
102‧‧‧蝕刻步驟/程序步驟/步驟
103‧‧‧另一微影步驟/程序步驟/步驟
104‧‧‧另一蝕刻步驟/蝕刻步驟/程序步驟/步驟
105‧‧‧計量步驟
106‧‧‧程序資訊/劑量資訊
107‧‧‧程序資訊
108‧‧‧程序資訊/劑量資訊
109‧‧‧程序資訊
110‧‧‧可校正程序參數值
111‧‧‧可校正程序參數值
112‧‧‧可校正程序參數值
113‧‧‧可校正程序參數值
114‧‧‧特定實體狀態
115‧‧‧不同實體狀態/狀態/實體狀態
116‧‧‧狀態/實體狀態
117‧‧‧狀態/實體狀態
118‧‧‧狀態/實體狀態
121‧‧‧基底層
122‧‧‧裝置層
123‧‧‧經圖案化抗蝕劑層
124‧‧‧犧牲層
125‧‧‧另一經圖案化抗蝕劑層/經圖案化抗蝕劑層
130‧‧‧半導體晶圓/晶圓/實驗設計晶圓
131‧‧‧SAQP目標/SAQP計量目標/經多重圖案化計量目標/經多重圖案化單位單元
132‧‧‧x與y座標框架
133‧‧‧晶粒
200‧‧‧製作程序流程/程序流程
201‧‧‧計量步驟
202‧‧‧計量步驟
203‧‧‧量測結果
300‧‧‧程序流程
301‧‧‧微影步驟/程序步驟
302‧‧‧蝕刻步驟/程序步驟
303‧‧‧沈積步驟/程序步驟
304‧‧‧蝕刻步驟/程序步驟
305‧‧‧沈積步驟/程序步驟
306‧‧‧蝕刻步驟/程序步驟
307‧‧‧沈積步驟/程序步驟
308‧‧‧蝕刻步驟/程序步驟
309‧‧‧計量步驟
310‧‧‧特定實體狀態
311‧‧‧不同實體狀態/狀態/實體狀態
312‧‧‧狀態
313‧‧‧狀態/實體狀態
314‧‧‧狀態
315‧‧‧狀態/實體狀態
316‧‧‧狀態
317‧‧‧狀態/實體狀態
318‧‧‧狀態/實體狀態
319‧‧‧程序資訊/劑量資訊
320‧‧‧程序資訊
321‧‧‧程序資訊/指示
322‧‧‧程序資訊
323‧‧‧程序資訊/指示
324‧‧‧程序資訊
325‧‧‧程序資訊/指示
326‧‧‧程序資訊
327‧‧‧可校正程序參數值
328‧‧‧可校正程序參數值
329‧‧‧可校正程序參數值
330‧‧‧可校正程序參數值
331‧‧‧可校正程序參數值/重複氮化物層/氮化物層
331A至331H‧‧‧間隔件結構/翼片間隔件特徵
332‧‧‧可校正程序參數值/氧化物層/層/記憶體
333‧‧‧可校正程序參數值/重複氮化物層/氮化物層/層
333A至333E‧‧‧間隔件結構/間隔件
334‧‧‧可校正程序參數值/氧化物層/層
335‧‧‧重複氮化物層/氮化物層/層
335A至335B‧‧‧間隔件結構/間隔件
336‧‧‧氧化物層
337‧‧‧底部抗反射塗佈層
338‧‧‧經圖案化抗蝕劑層
400‧‧‧系統/計量系統
401‧‧‧試樣/受量測樣本
402‧‧‧照明器
404‧‧‧頻譜儀/頻譜橢偏計
406‧‧‧偏振照明光束
407‧‧‧偏振狀態產生器
408‧‧‧收集光束
409‧‧‧偏振狀態分析儀
410‧‧‧結構
411‧‧‧頻譜/量測資料
420‧‧‧程序工具
421‧‧‧程序資訊
430‧‧‧運算系統/單電腦系統/多重電腦系統/電腦系統
431‧‧‧處理器
432‧‧‧記憶體
433‧‧‧匯流排
434‧‧‧程式指令
440‧‧‧結構參數值
CD‧‧‧相同標稱值/臨界尺寸
CD1‧‧‧溝渠特徵/尺寸/間隔件臨界尺寸/結構參數
CD2‧‧‧溝渠特徵/尺寸/間隔件臨界尺寸/結構參數
CD3‧‧‧間隔件臨界尺寸/結構參數
P‧‧‧恆定值/標稱間距
ΔCD‧‧‧所期望臨界尺寸CD之一變化
ΔP‧‧‧標稱間距P之一變化
圖1A至圖1D繪示通常被稱為一微影-蝕刻-微影-蝕刻(LELE)程序之一雙重圖案化微影(DPL)技術之選定步驟。 圖2繪示包含一系列製作程序步驟及一單個計量步驟之一製作程序流程100。 圖3繪示由圖2中所繪示之程序流程100之一特定實例產生之溝渠形成之一實例。 圖4繪示包含一系列製作程序步驟及兩個計量步驟之一製作程序流程200。 圖5繪示例示一自對準八重圖案化程序之一程序流程300。 圖6繪示由圖5中所繪示之程序流程300之一特定實例產生之翼片間隔件形成之一實例。 圖7繪示具有位於晶圓表面上方之各個量測位點處之若干個計量目標之一半導體晶圓130。 圖8圖解說明用於根據本文中所呈現之例示性方法量測一試樣之特性之一系統400。 圖9係圖解說明基於量測資訊及程序資訊而判定表徵由一多重圖案化程序誘發之幾何誤差之一或多個參數值之一方法500之一流程圖。
100‧‧‧程序流程/製作程序流程/微影-蝕刻、微影-蝕刻程序流程
101‧‧‧微影步驟/程序步驟/步驟
102‧‧‧蝕刻步驟/程序步驟/步驟
103‧‧‧另一微影步驟/程序步驟/步驟
104‧‧‧另一蝕刻步驟/蝕刻步驟/程序步驟/步驟
105‧‧‧計量步驟
106‧‧‧程序資訊/劑量資訊
107‧‧‧程序資訊
108‧‧‧程序資訊/劑量資訊
109‧‧‧程序資訊
110‧‧‧可校正程序參數值
111‧‧‧可校正程序參數值
112‧‧‧可校正程序參數值
113‧‧‧可校正程序參數值
114‧‧‧特定實體狀態
115‧‧‧不同實體狀態/狀態/實體狀態
116‧‧‧狀態/實體狀態
117‧‧‧狀態/實體狀態
118‧‧‧狀態/實體狀態

Claims (20)

  1. 一種計量系統,其包括: 一照明子系統,其將一定量之照明光提供至安置於先前由一製作程序流程之複數個程序步驟處理之一晶圓上之一或多個計量目標; 一偵測器子系統,其偵測回應於該量之照明光而來自該一或多個計量目標的一光量且回應於該所偵測光量而產生一定量之量測信號;及 一運算系統,其經組態以: 自用於對該晶圓執行該複數個程序步驟中之一第一程序步驟之一第一程序工具接收一第一量之程序資訊; 自該第一程序工具或用於對該晶圓執行該複數個程序步驟中之一第二程序步驟之另一程序工具接收一第二量之程序資訊;及 基於該量之量測信號以及該第一量之程序資訊及該第二量之程序資訊而估計該一或多個計量目標之一所關注結構參數之一值。
  2. 如請求項1之計量系統,其中該運算系統進一步經組態以: 基於該計量目標之經量測特性以及該第一量之程序資訊及該第二量之程序資訊而估計與該等程序步驟中之至少一者相關聯之一可校正參數之一值;及 將該可校正參數之該值傳達至用於執行該至少一個程序步驟之至少一個程序工具。
  3. 如請求項1之計量系統,其中該第一量之程序資訊包含以下各項中之任一者:一程序控制參數、一程序工具設置參數、一程序環境參數、自載於該第一程序工具上之感測器收集之一定量之程序資料及自載於該第一程序工具上之感測器收集之一定量之計量資料。
  4. 如請求項1之計量系統,其中該第一量之程序資訊包含一微影聚焦參數值、一微影劑量參數值或上述兩項之一組合。
  5. 如請求項1之計量系統,其中該估計該一或多個計量目標之該所關注參數之該值涉及一實體模型或一經訓練輸入-輸出量測模型。
  6. 如請求項5之計量系統,其中該運算系統進一步經組態以: 利用模擬量測資料及經模擬程序資訊、與一實驗設計(DOE)晶圓相關聯之實際量測資料及程序資訊或上述各項之一組合來訓練該輸入-輸出量測模型。
  7. 如請求項1之計量系統,其中該運算系統進一步經組態以: 減小該第一量之程序資訊及該第二量之程序資訊、該量之量測信號或上述各項之一組合之一量。
  8. 如請求項1之計量系統,其中該偵測器經組態而以多個波長、多個收集角度或多個波長與多個收集角度之一組合自目標結構收集光。
  9. 一種計量系統,其包括: 一照明子系統,其將一定量之照明光提供至安置於先前由一製作程序流程之複數個程序步驟處理之一晶圓上之一或多個計量目標; 一偵測器子系統,其偵測回應於該照明光量而來自該一或多個計量目標的一光量且回應於該所偵測光量而產生一定量之量測信號;及 一非暫時性電腦可讀媒體,其包括: 用於致使一電腦系統自用於對該晶圓執行該複數個程序步驟中之一第一程序步驟之一第一程序工具接收一第一量之程序資訊的程式碼; 用於致使該電腦系統自該第一程序工具或用於對該晶圓執行該複數個程序步驟中之一第二程序步驟之另一程序工具接收一第二量之程序資訊的程式碼;及 用於致使該電腦系統基於該量之量測信號以及該第一量之程序資訊及該第二量之程序資訊而估計該一或多個計量目標之一所關注結構參數之一值的程式碼。
  10. 如請求項9之計量系統,該非暫時性電腦可讀媒體進一步包括: 用於致使該電腦系統基於該計量目標之經量測特性以及該第一量之程序資訊及該第二量之程序資訊而估計與該等程序步驟中之至少一者相關聯之一可校正參數之一值的程式碼;及 用於致使該電腦系統將該可校正參數之該值傳達至用於執行該至少一個程序步驟之至少一個程序工具的程式碼。
  11. 一種方法,其包括: 接收包含一或多個計量目標之一晶圓,該一或多個計量目標係由藉由複數個程序工具執行的一製作程序流程之複數個程序步驟製作; 自用於對該晶圓執行該複數個程序步驟中之一第一程序步驟之一第一程序工具接收一第一量之程序資訊; 自該第一程序工具或用於對該晶圓執行該複數個程序步驟中之一第二程序步驟之另一程序工具接收一第二量之程序資訊; 將一定量之照明光提供至該一或多個計量目標; 偵測回應於該照明而來自該一或多個計量目標之一光量; 回應於該所偵測光量而產生一定量之量測信號; 基於該量之量測信號以及該第一量之程序資訊及該第二量之程序資訊而估計該一或多個計量目標之一所關注參數之一值。
  12. 如請求項11之方法,其進一步包括: 基於該計量目標之經量測特性以及該第一量之程序資訊及該第二量之程序資訊而估計與該等程序步驟中之至少一者相關聯之一可校正參數之一值;及 將該可校正參數之該值傳達至用於執行該至少一個程序步驟之至少一個程序工具。
  13. 如請求項11之方法,其中該製作程序流程中所涉及之該複數個程序工具包含至少一個微影工具及至少一個蝕刻工具。
  14. 如請求項11之方法,其中該第一量之程序資訊及該第二量之程序資訊中之任一者包含一程序參數值。
  15. 如請求項14之方法,其中該程序參數值係一微影聚焦參數值、一微影劑量參數值或上述兩項之一組合中之任一者。
  16. 如請求項11之方法,其中該第一量之程序資訊及該第二量之程序資訊中之任一者包含由載於該第一程序工具上之一計量系統量測之該計量目標之一特性。
  17. 如請求項11之方法,其中該估計該一或多個計量目標之該所關注參數之該值涉及一實體模型或一經訓練輸入-輸出量測模型。
  18. 如請求項17之方法,其進一步包括: 利用經模擬量測資料及經模擬程序資訊、與一實驗設計(DOE)晶圓相關聯之實際量測資料及程序資訊或上述各項之一組合來訓練該輸入-輸出量測模型。
  19. 如請求項11之方法,其進一步包括: 減小該第一量之程序資訊及該第二量之程序資訊、該量之量測信號或上述各項之一組合之一量。
  20. 如請求項11之方法,其中該一或多個計量目標包含一標稱計量目標及至少一個輔助計量目標,其中該標稱計量目標及該至少一個輔助計量目標兩者各自由藉由一多重圖案化程序中之至少兩個圖案化步驟產生之至少一個所關注參數表徵。
TW106111390A 2016-04-04 2017-04-05 計量系統及方法 TWI755386B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662318166P 2016-04-04 2016-04-04
US62/318,166 2016-04-04
US15/476,683 2017-03-31
US15/476,683 US10504759B2 (en) 2016-04-04 2017-03-31 Semiconductor metrology with information from multiple processing steps

Publications (2)

Publication Number Publication Date
TW201801219A true TW201801219A (zh) 2018-01-01
TWI755386B TWI755386B (zh) 2022-02-21

Family

ID=59959935

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106111390A TWI755386B (zh) 2016-04-04 2017-04-05 計量系統及方法

Country Status (6)

Country Link
US (1) US10504759B2 (zh)
KR (1) KR102274476B1 (zh)
CN (1) CN108886006B (zh)
DE (1) DE112017001846T5 (zh)
TW (1) TWI755386B (zh)
WO (1) WO2017176637A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
WO2019177905A1 (en) 2018-03-13 2019-09-19 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
CN110660702B (zh) * 2018-06-29 2022-11-29 台湾积体电路制造股份有限公司 覆盖管理方法、覆盖管理系统及计算系统
US11199505B2 (en) 2018-08-23 2021-12-14 International Business Machines Corporation Machine learning enhanced optical-based screening for in-line wafer testing
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US11436506B2 (en) * 2019-03-06 2022-09-06 Carl Zeiss Smt Gmbh Method and devices for determining metrology sites
US20200335406A1 (en) * 2019-04-19 2020-10-22 Kla Corporation Methods And Systems For Combining X-Ray Metrology Data Sets To Improve Parameter Estimation
US11914290B2 (en) * 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11568101B2 (en) * 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
EP4016186A1 (en) * 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
US20230160960A1 (en) * 2021-11-22 2023-05-25 Onto Innovation Semiconductor substrate yield prediction based on spectra data from multiple substrate dies
US20230259035A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Characterization of photosensitive materials

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6891610B2 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US20020177245A1 (en) * 2001-03-29 2002-11-28 Sonderman Thomas J. Method and apparatus for controlling feature critical dimensions based on scatterometry derived profile
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7092096B2 (en) * 2004-02-20 2006-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical scatterometry method of sidewall spacer analysis
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7639375B2 (en) 2006-12-14 2009-12-29 Tokyo Electron Limited Determining transmittance of a photomask using optical metrology
US7327475B1 (en) * 2006-12-15 2008-02-05 Tokyo Electron Limited Measuring a process parameter of a semiconductor fabrication process using optical metrology
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
EP2286447A2 (en) 2008-05-21 2011-02-23 KLA-Tencor Corporation Substrate matrix to decouple tool and process effects
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
JP2011027461A (ja) * 2009-07-22 2011-02-10 Renesas Electronics Corp パターン形状計測方法、半導体装置の製造方法、およびプロセス制御システム
CN201502829U (zh) * 2009-09-28 2010-06-09 江苏亿丰机械制造有限公司 免维护双向旋转补偿器
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
WO2013130426A1 (en) * 2012-03-02 2013-09-06 Codexis, Inc. Recombinant host cells and processes for producing 1,3-butadiene through a 5-hydroxypent-3-enoate intermediate
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10466596B2 (en) 2014-02-21 2019-11-05 Kla-Tencor Corporation System and method for field-by-field overlay process control using measured and estimated field parameters
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes

Also Published As

Publication number Publication date
TWI755386B (zh) 2022-02-21
US10504759B2 (en) 2019-12-10
WO2017176637A1 (en) 2017-10-12
KR20180123162A (ko) 2018-11-14
CN108886006A (zh) 2018-11-23
US20170287751A1 (en) 2017-10-05
KR102274476B1 (ko) 2021-07-06
DE112017001846T5 (de) 2018-12-27
CN108886006B (zh) 2023-07-07

Similar Documents

Publication Publication Date Title
TWI755386B (zh) 計量系統及方法
US10612916B2 (en) Measurement of multiple patterning parameters
US10215559B2 (en) Metrology of multiple patterning processes
KR102109059B1 (ko) 계측 방법, 컴퓨터 제품 및 시스템
US10030965B2 (en) Model-based hot spot monitoring
TWI688829B (zh) 用於基於影像之疊對量測之信號回應計量
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
TWI668774B (zh) 度量系統及方法
JP6924261B2 (ja) パターニングされたウェハの特性評価のためのハイブリッド計量