CN108886006B - 利用来自多个处理步骤的信息的半导体计量 - Google Patents

利用来自多个处理步骤的信息的半导体计量 Download PDF

Info

Publication number
CN108886006B
CN108886006B CN201780021343.8A CN201780021343A CN108886006B CN 108886006 B CN108886006 B CN 108886006B CN 201780021343 A CN201780021343 A CN 201780021343A CN 108886006 B CN108886006 B CN 108886006B
Authority
CN
China
Prior art keywords
metrology
tool
amount
measurement
process information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780021343.8A
Other languages
English (en)
Other versions
CN108886006A (zh
Inventor
A·库兹涅佐夫
A·A·吉里纽
A·舒杰葛洛夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN108886006A publication Critical patent/CN108886006A/zh
Application granted granted Critical
Publication of CN108886006B publication Critical patent/CN108886006B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)

Abstract

本文中呈现用于在多重图案化半导体制作过程中基于对试样的测量及来自用于制作所述试样的一或多个先前过程步骤的过程信息而测量过程诱发的误差的方法及系统。在已执行若干个过程步骤之后,采用计量工具。所述计量工具基于经测量信号及过程信息而测量晶片上的计量目标的所关注结构参数,且将可校正过程参数值传达到所述先前过程步骤中所涉及的一或多个过程工具。当由适当过程工具执行时,所述可校正过程参数值会减少通过过程流程制作的结构的几何形状的过程诱发的误差。在另一方面中,使用多个计量工具结合来自所述过程流程中的一或多个过程步骤的过程信息来控制制作过程。

Description

利用来自多个处理步骤的信息的半导体计量
相关申请案的交叉参考
本专利申请案依据35U.S.C.§119主张2016年4月4日提出申请的标题为“过程信息辅助计量(Process Information Assisted Metrology)”的序列号为62/318,166的美国临时专利申请案的优先权,所述临时专利申请案的标的物以其全文引用方式并入本文中。
技术领域
所描述实施例涉及计量系统及方法,且更特定来说涉及用于表征由多个图案化过程产生的结构的尺寸的参数的经改善测量的方法及系统。
背景技术
半导体装置(例如逻辑及存储器装置)通常是通过适用于试样的一系列处理步骤制作。半导体装置的各种特征及多个结构层级是通过这些处理步骤形成。举例来说,除其它之外,光刻也是涉及在半导体晶片上产生图案的一种半导体制作过程。半导体制作过程的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制作多个半导体装置,且然后将其分离成个别半导体装置。
现在通常采用多种图案化技术来提高被印刷到用于给定光刻系统的半导体晶片上的特征的分辨率。图1A到1D描绘通常被称为光刻-蚀刻-光刻-蚀刻(LELE)过程的双重图案化光刻(DPL)技术。图1A描绘硅基底层10、界面层11(例如二氧化硅)、装置层12、硬掩模层13、牺牲层14及由光刻图案化步骤形成的经图案化抗蚀剂层15。然后,图1A中所描绘的结构经受曝光及蚀刻步骤,此形成图1B中所图解说明的结构。在此结构中,抗蚀剂层15的图案已被有效地转印到硬掩模层13。牺牲层14及经图案化抗蚀剂层15两者均已被移除。采用若干个沉积及光刻步骤以形成图1C中所图解说明的结构。图1C图解说明建立于硬掩模层13的顶部上的另一牺牲层16及经图案化抗蚀剂层17。经图案化抗蚀剂层17包含具有与第一经图案化抗蚀剂层15相同的间距且也具有与在硬掩模层13中所蚀刻的图案相同的间距的图案。然而,经图案化抗蚀剂层17从硬掩模层13的图案偏移达经图案化抗蚀剂层17的间距的一半。然后,图1C中所描绘的结构经受曝光及蚀刻步骤,此形成图1D中所图解说明的结构。在此结构中,抗蚀剂层17的图案已被有效地转印到硬掩模层13。牺牲层16及经图案化抗蚀剂层17两者均已被移除。图1D图解说明在硬掩模13中蚀刻、是经图案化抗蚀剂层15及17的间距的两倍、由光刻系统的掩模产生的图案。
图1D也描绘未优化DPL过程的效应。理想情况是,双重经图案化结构的标称间距应是恒定值P。然而,由于DPL过程的不完美,所得结构的间距由于光栅不均匀性可取决于位置而变化。此通常被称为“间距步长”。标称间距P的变化在图1D中被描绘为ΔP。在另一实例中,每一所得结构的临界尺寸应是相同标称值CD。然而,由于DPL过程的不完美,所得结构的临界尺寸(例如,中间临界尺寸、底部临界尺寸等)可取决于位置而变化。所期望临界尺寸CD的变化在图1D中被描绘为ΔCD。
间距步长及ΔCD是由DPL过程的不完美(例如两个光刻层之间的不对准、光刻过程的聚焦及曝光的不均匀性、掩模图案误差等)诱发的示范性几何误差。间距步长及ΔCD两者均引入大于预期的单位单元。尽管特定来说描述了间距步长及ΔCD,但可预计其它多个图案化误差。
尽管参考图1A到1D描述了LELE过程,但可预计诱发类似误差的许多其它多个图案化过程(例如,光刻-光刻-蚀刻、多重光刻-蚀刻图案化、间隔件界定的多重图案化等)。类似地,尽管参考图1A到1D描述了双重图案化过程,但类似误差会在例如四重图案化等更高级图案化过程中出现。通常,例如间距步长及ΔCD等误差更容易出现在由更高级图案化过程形成的结构中。
在半导体制造过程期间的各个步骤处使用计量过程来检测晶片上的缺陷以促成较高合格率。光学计量技术提供高吞吐量测量而无样本损毁风险的可能性。通常使用若干种基于光学计量的技术(包含散射测量及反射测量实施方案)及相关联分析算法来表征纳米级结构的临界尺寸、膜厚度、组成及其它参数。
用以控制半导体制作过程的常用方法是在制作过程中的每一关键过程步骤之后采用计量工具。通常,在对关键步骤进行较密集取样时,过程控制被改善。然而,在每一过程步骤之后插入计量步骤在制作时间及花费两方面均是昂贵的。随着先进技术节点的关键过程步骤的数目增加,在每一关键过程步骤之后插入计量步骤的成本已然过高。此外,伴随每一计量节点,可以有成本效益方式测量的关键过程步骤的数目与关键过程步骤的总数目相比不断减少。
当在先进技术节点的过程流程(例如LELE多重图案化过程)中剔除计量步骤时,出于对所有介入过程步骤的过程控制目的的计量结果变得无效。举例来说,在LELE多重图案化过程中,可仅在最后过程步骤之后执行计量步骤。此测量的结果可有效地用于校正所述最后过程步骤,但不能用于校正较早的过程步骤。即使采用多个计量步骤,仍存在此限制。在计量之前的最后过程步骤可被有效地校正,但当前计量无法提供充足测量信息来校正所有其它过程步骤。
特定来说,涉及对由多个过程步骤及多重图案化过程产生的结构的测量的计量应用由于计量插入点的数目的实际限制而面临挑战。日益细化的分辨率要求、多参数相关性、日益复杂的几何结构及不透明材料的日益增多的使用将此问题复杂化,且给先进制作过程的控制留下非期望漏洞。因此,期望有用于先进制作过程的经改善过程控制的方法及系统。
发明内容
本文中呈现用于在多重图案化半导体制作过程中基于对试样的测量及来自用于制作所述试样的一或多个先前过程步骤的过程信息而测量过程诱发的误差的方法及系统。基于经测量误差,将经校正过程参数值传达到适当过程工具以改善过程性能。以此方式,计量工具将校正提供到用于执行前述过程步骤中的任一者的一或多个过程工具。
计量系统从用于执行前述过程步骤中的任一者的过程工具中的任一者接收过程信息。过程工具包含光刻工具、蚀刻工具、沉积工具、化学机械平面化(CMP)工具等。由计量工具接收的过程信息包含但不限于过程控制参数、过程工具设置参数、过程环境参数、从载于过程工具上的传感器收集的过程数据、从载于过程工具上的传感器收集的计量数据等。
在一个方面中,在已执行若干个过程步骤之后,在计量步骤处采用计量工具。所述计量工具测量处于物理状态中的晶片上的计量目标的所关注结构参数且将可校正过程参数值传达到先前过程步骤中的一或多者中所涉及的一或多个过程工具。当由适当过程工具执行时,所述可校正过程参数值会减少通过过程流程制作的结构的几何形状的过程诱发的误差。
在另一方面中,使用多个计量工具结合来自过程流程中的一或多个过程步骤的过程信息来控制制作过程。除了过程信息之外,来自插入到过程流程中的额外计量步骤的计量信息也经采用以改善对结构的计量且改善过程控制。
在一些实施例中,计量工具采用基于物理的测量模型来依据测量数据(例如,经测量光谱)及过程信息估计所关注结构参数的值。
在一些其它实施例中,计量工具采用输入-输出测量模型来依据测量数据(例如,经测量光谱)及过程信息估计所关注结构参数的值。这些模型包含信号响应计量模型、神经网络模型、支持向量机器模型等。
在另一其它方面中,依据来自经集成到一个多目标组中的多个目标的测量信号及相关联过程信息对信号响应计量(SRM)测量模型进行训练且所述SRM测量模型对来自多个相同目标的测量信号进行操作。此方法将关键参数彼此及关键参数与其它过程变化解相关。
在一些实施例中,辅助目标经定位成接近主要测量目标且经受相同过程变化。在这些实施例中,所述组训练计量目标包含具有标称尺寸的主要目标及具有所关注参数的不同标称值的一或多个辅助目标。
前述内容是发明内容且因此必须含有细节的简化、概述及省略;因此,所属领域的技术人员将了解,发明内容仅是说明性的且绝非是限制性的。在本文中所陈述的非限制性实施方式中,本文中所描述的装置及/或过程的其它方面、发明性特征及优点将变得显而易见。
附图说明
图1A到1D描绘通常被称为光刻-蚀刻-光刻-蚀刻(LELE)过程的双重图案化光刻(DPL)技术的选定步骤。
图2描绘包含一系列制作过程步骤及单个计量步骤的制作过程流程100。
图3描绘由图2中所描绘的过程流程100的特定实例产生的沟槽形成的实例。
图4描绘包含一系列制作过程步骤及两个计量步骤的制作过程流程200。
图5描绘示范自对准八重图案化过程的过程流程300。
图6描绘由图5中所描绘的过程流程300的特定实例产生的翼片间隔件形成的实例。
图7描绘具有位于晶片表面上方的各个测量位点处的若干个计量目标的半导体晶片130。
图8图解说明用于根据本文中所呈现的示范性方法测量试样的特性的系统400。
图9是图解说明基于测量信息及过程信息而确定表征由多重图案化过程诱发的几何误差的一或多个参数值的方法500的流程图。
具体实施方式
现在将详细参考背景技术实例及本发明的一些实施例,附图中图解说明本发明的实例。
本文中呈现用于在多重图案化半导体制作过程中的多个步骤之后部分地基于来自所述多个步骤中的一或多者的过程信息而测量过程诱发的误差的方法及系统。基于经测量误差,将经校正过程参数值传达到适当过程工具以改善过程性能。以此方式,计量工具不仅将校正提供到用于执行在通过计量工具进行的测量之前的最后过程步骤的过程工具而且提供到用于执行前述过程步骤中的任一者的一或多个过程工具。
计量系统从用于执行前述过程步骤中的任一者的过程工具中的任一者接收过程信息。过程工具包含光刻工具、蚀刻工具、沉积工具、化学机械平面化(CMP)工具等。由计量工具接收的过程信息包含但不限于过程控制参数、过程工具设置参数、过程环境参数、从载于过程工具上的传感器收集的过程数据、从载于过程工具上的传感器收集的计量数据等。在一些实例中,过程工具包含用以测量被传达到计量工具的过程信息的集成式计量传感器。举例来说,光刻工具可包含用以测量晶片几何形状的光学反射计。在另一实例中,蚀刻工具可包含用以监测及控制蚀刻工具的等离子体源的光学发射光谱传感器。仅这些传感器不足以供应用以达成对装置结构的完全计量的信息。然而,发明人已发现与由计量工具产生的计量信号组合的这些信号达成对多步骤制作过程的计量及过程控制,而所述多步骤制作过程原本不受控制。
图2描绘包含一系列制作过程步骤的制作过程流程100,所述制作过程步骤包含光刻步骤101、蚀刻步骤102、另一光刻步骤103、另一蚀刻步骤104且最后包含计量步骤105。在制作过程内通过光刻步骤101将处于特定物理状态114中的传入晶片变换(由于光刻过程)到不同物理状态115。类似地,蚀刻步骤102将所述晶片从状态115变换到状态116,光刻步骤103将所述晶片从状态116变换到状态117,蚀刻步骤104将所述晶片从状态117变换到状态118。
在一个方面中,在计量步骤105处采用计量工具以测量处于物理状态118中的晶片上的计量目标的所关注结构参数并将可校正过程参数值传达到过程步骤101到104中的一或多者中所涉及的一或多个过程工具。当由适当过程工具执行时,所述可校正过程参数值会减少由过程流程100制作的结构的几何形状的过程诱发的误差。
如图2中所描绘,过程信息106从用于执行光刻步骤101的光刻工具被传达到用于执行计量步骤105的计量工具。类似地,过程信息107从用于执行蚀刻步骤102的蚀刻工具被传达到计量工具,过程信息108从用于执行光刻步骤103的光刻工具被传达到计量工具,且过程信息109从用于执行蚀刻步骤104的蚀刻工具被传达到计量工具。
尽管如图2中所描绘,来自过程流程100的每一过程步骤的过程信息被传达到计量工具,但通常,来自过程步骤中的任一者或多者的过程信息可被传达到计量工具。
如图2中所描绘,计量工具基于在计量步骤105处由计量工具测量的处于状态118中的晶片的一或多个结构参数的值及所接收过程信息(例如,过程信息106到109中的任一者)而产生可校正过程参数值。举例来说,如图2中所描绘,可校正过程参数值110被传达到用于执行光刻步骤101的光刻工具。类似地,可校正过程参数值111被传达到用于执行蚀刻步骤102的蚀刻工具,可校正过程参数值112被传达到用于执行光刻步骤103的光刻工具,且可校正过程参数值113被传达到用于执行蚀刻步骤104的蚀刻工具。
尽管如图2中所描绘,可校正过程参数值被传达到执行过程流程100的每一过程步骤的工具,但通常,可校正过程参数值可被传达到执行过程步骤中的任一者或多者的工具。
图3描绘由图2中所描绘的过程流程100的特定实例产生的沟槽形成的实例。图3描绘处于在光刻-蚀刻、光刻-蚀刻(LELE)过程流程100内的沟槽形成的每一状态处的计量目标。在物理状态115处(在光刻步骤101之后),所关注结构包含基底层121、装置层122及经图案化抗蚀剂层123。在物理状态116处(在蚀刻步骤102之后),完全移除经图案化抗蚀剂层123,且移除在光刻步骤101期间曝光的装置层122的一部分。此时,形成装置层的第一沟槽特征。在物理状态117处,在光刻步骤103期间添加牺牲层124及另一经图案化抗蚀剂层125。在物理状态118处,通过蚀刻步骤104移除经图案化抗蚀剂层125、牺牲层124及装置层122的另一部分。此时,形成装置层的第二沟槽特征,且在计量步骤105处由计量工具测量所得结构。
在此实例中,计量工具能够测量与每一沟槽特征相关联的临界尺寸CD1及CD2。然而,在无额外过程信息的情况下,计量系统无法确定哪一沟槽特征是由哪一光刻步骤产生。在此实例中,剂量信息106从用于执行光刻步骤101的光刻工具被传达到执行计量步骤105的计量工具。另外,剂量信息108从用于执行光刻步骤103的光刻工具被传达到计量工具。基于所接收剂量信息,计量工具将每一沟槽与产生所述特定沟槽的对应光刻步骤相关联。在此实例中,光刻步骤101处的较大剂量(即,Dose1>Dose2)形成较大临界尺寸(即,CD1>CD2)。以此方式,具有尺寸CD1的第一沟槽与光刻步骤101相关联且具有尺寸CD2的第二沟槽与光刻步骤103相关联。
在又一方面中,计量工具产生可校正过程参数值110且将可校正过程参数值110传达到执行光刻步骤101的光刻工具以校正第一沟槽的尺寸。类似地,计量工具产生可校正过程参数值112且将可校正过程参数值112传达到执行光刻步骤103的光刻工具以校正第二沟槽的尺寸。
在一些实例中,计量工具能够执行对所关注结构参数的测量。举例来说,在一些实施例中,计量工具能够如参考图2所描述地独立地测量CD1及CD2。然而,在一些其它实例中,计量工具不能独立地测量所有所关注参数。通常,计量工具能够测量平均沟槽大小(即,(CD1+CD2)/2),这是因为经测量信号主要对体积改变而非位置改变敏感。在这些实例中,由计量工具接收的过程信息独立达成对CD1及CD2两者的测量。以此方式,除了经改善过程控制之外,过程信息与计量信号信息结合也达成经改善计量能力。
图2描绘LELE或(LE)2制作过程流程。然而,通常,本文中所描述的方法及系统可适用于任何多重图案化过程流程,例如涉及N个光刻-蚀刻步骤的(LE)N制作过程流程(其中N是任何正整数)、任何自对准多重图案化技术等。
在另一方面中,使用多个计量工具结合来自过程流程中的一或多个过程步骤的过程信息来控制制作过程。除了参考图2所描述的过程信息之外,来自插入到过程流程中的任何额外计量步骤的计量信息也用于改善对结构的计量且改善过程控制。
图4描绘包含一系列制作过程步骤及两个计量步骤的制作过程流程200。相似编号元件类似于参考图2所描述的那些元件。如图4中所描绘,过程流程200包含如参考图2所描述的光刻步骤101及103以及蚀刻步骤102及104。然而,另外,过程流程200包含两个计量步骤。将计量步骤201插入于过程流程200的中间且在过程流程200的结尾处执行计量步骤202。
在一个方面中,由执行计量步骤201的计量工具测量处于物理状态117的晶片,且将这些测量结果的指示传达到在过程流程200的结尾处执行计量步骤202的计量工具。继而,在计量步骤202处,采用计量工具来基于从先前过程步骤(即,步骤101到104)中的任一者接收的过程信息及从中间计量步骤201接收的测量结果203而测量处于物理状态118中的晶片上的计量目标的所关注结构参数。
如参考图2所描述,执行计量步骤202的计量工具基于在计量步骤202处获得的测量结果而产生针对过程流程200的过程步骤中的一或多者的可校正过程参数值。将可校正过程参数值传达到过程步骤101到104中的一或多者中所涉及的一或多个过程工具。当由适当过程工具执行时,所述可校正过程参数值会减少由过程流程200制作的结构的几何形状的过程诱发的误差。
图2到4描绘(LE)N类型的多重图案化过程的实例。然而,另外,本文中所描述的计量及过程控制技术也适用于自对准多重图案化过程。先进过程节点(例如,5纳米及3.5纳米过程节点)需要复杂图案化方案来实现所期望翼片间距。举例来说,为了实现低于20纳米的翼片间距,可需要自对准八重图案化(SAOP)过程。
图5描绘示范SAOP过程的过程流程300。过程流程300包含光刻步骤,后续接着一系列重复的蚀刻及沉积步骤。如图5中所描绘,在制作过程内通过光刻步骤301将处于特定物理状态310中的传入晶片变换到不同物理状态311(由于光刻过程)。类似地,蚀刻步骤302将晶片从状态311变换到状态312,沉积步骤303将晶片从状态312变换到状态313,蚀刻步骤304将晶片从状态313变换到状态314,沉积步骤305将晶片从状态314变换到状态315,蚀刻步骤306将晶片从状态315变换到状态316,沉积步骤307将晶片从状态316变换到状态317,蚀刻步骤308将晶片从状态317变换到状态318。
在一个方面中,在计量步骤309处采用计量工具以测量处于物理状态318中的晶片上的计量目标的所关注结构参数并将可校正的过程参数值传达到过程步骤301到308中的一或多者中所涉及的一或多个过程工具。当由适当过程工具执行时,所述可校正过程参数值会减少由过程流程300制作的结构的几何形状的过程诱发的误差。
如图5中所描绘,过程信息319从用于执行光刻步骤301的光刻工具被传达到用于执行计量步骤309的计量工具。类似地,过程信息320从用于执行蚀刻步骤302的蚀刻工具被传达到计量工具,过程信息321从用于执行沉积步骤303的沉积工具被传达到计量工具,过程信息322从用于执行蚀刻步骤304的蚀刻工具被传达到计量工具,过程信息323从用于执行沉积步骤305的沉积工具被传达到计量工具,过程信息324从用于执行蚀刻步骤306的蚀刻工具被传达到计量工具,过程信息325从用于执行沉积步骤307的沉积工具被传达到计量工具,且过程信息326从用于执行蚀刻步骤308的蚀刻工具被传达到计量工具。
尽管如图5中所描绘,来自过程流程300的每一过程步骤的过程信息被传达到计量工具,但通常,来自过程步骤中的任一者或多者的过程信息可被传达到计量工具。
如图5中所描绘,计量工具基于在计量步骤309处由计量工具测量的处于状态318中的晶片的一或多个结构参数的值及所接收过程信息(例如,过程信息319到326中的任一者)而产生可校正过程参数值。举例来说,如图5中所描绘,可校正过程参数值327被传达到用于执行光刻步骤301的光刻工具。类似地,可校正过程参数值328被传达到用于执行蚀刻步骤302的蚀刻工具,可校正过程参数值329被传达到用于执行沉积步骤303的沉积工具,可校正过程参数值330被传达到用于执行蚀刻步骤304的蚀刻工具,可校正过程参数值331被传达到用于执行沉积步骤305的沉积工具,可校正过程参数值332被传达到用于执行蚀刻步骤306的蚀刻工具,可校正过程参数值333被传达到用于执行沉积步骤307的沉积工具,且可校正过程参数值334被传达到用于执行蚀刻步骤308的蚀刻工具。
尽管如图5中所描绘,可校正过程参数值被传达到执行过程流程300的每一过程步骤的工具,但通常,可校正过程参数值可被传达到执行过程步骤中的任一者或多者的工具。
图6描绘由图5中所描绘的过程流程300的特定实例产生的翼片间隔件形成的实例。图6描绘在SAOP过程流程300内的翼片间隔件形成的每一状态处的计量目标。在物理状态311处(在光刻步骤301之后),所关注结构包含衬底层330及一系列重复氮化物层331、333、335及氧化物层332、334及336、底部抗反射涂布(BARC)层337以及经图案化抗蚀剂层338。在物理状态313处(在沉积步骤303之后),完全移除经图案化抗蚀剂层123及BARC层337,且移除氮化物层335的一部分,留下两个间隔件结构335A到B。此时,形成第一组翼片间隔件结构。在物理状态315处,移除层334及335,且移除氮化物层333的一部分,留下四个间隔件结构333A到D。此时,形成第二组翼片间隔件结构。在物理状态317处,移除层332及333,且移除氮化物层331的一部分,留下八个间隔件结构331A到H。此时,形成第三组翼片间隔件结构,且在计量步骤309处由计量工具测量所得结构。
在此实例中,计量工具不能直接测量与每一翼片间隔件特征331A到H相关联的临界尺寸。然而,在具有额外过程信息的情况下,计量系统能够确定每一翼片间隔件特征的尺寸及哪些翼片间隔件特征对每一过程步骤敏感。在此实例中,剂量信息319与间隔件临界尺寸CD1的指示321、间隔件临界尺寸CD2的指示323及间隔件临界尺寸CD3的指示325一起从用于执行光刻步骤301的光刻工具被传达到计量工具。基于所接收剂量信息及尺寸信息,计量工具将每一翼片间隔件与产生特定翼片间隔件特征的对应过程步骤相关联。以此方式,以过程信息来扩充计量达成利用单个计量工具对SAOP过程进行控制。
在一些实施例中,计量工具采用基于物理的测量模型来依据测量数据(例如,经测量光谱)而估计所关注结构参数的值。采用基于物理模型的测量的计量技术通常需要经图案化结构的参数化几何模型。示范性参数包含临界尺寸、间距步长或其它所关注参数。另外,需要光学系统与受测量结构之间的相互作用的准确电磁模型来模拟在测量期间产生的信号。应用对照经测量信号对经模拟信号进行非线性回归的方式来确定经建模结构的参数。此方法需要对结构及材料性质的准确建模。
在这些实施例中,从用于执行先前过程步骤的工具接收的过程信息被直接馈送到测量模型中。在一些实例中,测量模型的过程参数值被固定到从过程工具接收的值。在其它实例中,所接收过程信息经进一步处理以达到模型参数的特定值或模型参数之间的数学关系。以此方式,所接收过程信息用于约束测量模型且减小参数相关性。
在一些其它实施例中,计量工具采用输入-输出测量模型来依据测量数据(例如,经测量光谱)而估计所关注结构参数的值。这些模型包含信号响应计量模型、神经网络模型、支持向量机器模型等。
在又一方面中,采用经训练输入-输出测量模型来基于经测量信号及从用于在先前过程步骤处制作受测量样本的工具接收的过程信息而估计所关注结构参数的值。与本来仅基于测量信号或过程信息可实现的信息相比,测量信号与过程信息的组合含有分离且测量关键特征所需的更多信息。
在一些实例中,基于来自先前过程步骤的过程信息(例如,经模拟过程数据或与实验设计(DOE)晶片的制作相关联的实际过程数据)及从包含多个图案计量目标(经模拟或实际)的测量位点收集的原始测量数据(例如,经模拟光谱或从DOE晶片收集的光谱)而创建SRM测量模型。采用机器学习、特征提取及其它技术来建立直接输入-输出模型(即,转移函数),所述模型使一或多个经多重图案化目标的DOE过程信息及光谱与所关注参数的对应参考测量相关。在一些实施例中,所述组训练经多重图案化计量目标包含标称相同目标,即,目标由于过程变化而彼此有所差别。在一些实施例中,影响所关注参数的过程变化被有意放大以达到模型训练目的。
在一个实例中,转移函数使过程信息及散射测量信号与图7中所描绘的SAQP目标131的对应CD-SEM测量相关。针对每一所关注参数而创建SRM模型,且同一模型用于对其它测量位点执行后续测量。
为了训练SRM模型,由计算系统(例如,计算系统330)接收与多个测量位点的测量相关联的一定量的原始测量数据及来自先前过程步骤的对应过程信息。所述多个测量位点中的每一者包含由通过多重图案化过程中的至少两个图案化步骤产生的至少一个所关注参数表征的经多重图案化计量目标。在所述多个测量位点中的每一处所关注参数的值是已知的。
为了模型训练目的,可从具有设计参数(例如,结构或过程参数)的已知扰动的任何位置获取测量数据。这些位置(举例来说)可在刻划线中、装置上或可在其中(举例来说)光刻曝光条件或光罩设计特性随值的范围变化的晶片上的其它位置处。在另一实例中,可从不同装置位置(例如,具有密集特征的位置及具有隔离式特征的位置或在掩模上具有两个不同CD的位置)获取测量数据。通常,从以已知方式发生扰动的不同位置获取测量数据。可从掩模数据、装备数据获取(EDA)数据、过程数据等知晓扰动。
在一个实例中,聚焦、曝光及叠对中的任一者跨越装置或晶片而系统性地变化。在另一实例中,采用随机化聚焦与曝光矩阵(FEM)来减小伊兹逊(Izikson)等人的第8,142,966号美国专利中所描述的底层参数的相关性,上述专利的全部内容以引用方式并入本文中。
在优选实施例中,在实际DOE晶片的制作中实施所述组系统变化。随后测量DOE晶片以产生原始测量数据。经制造晶片包含无法由模拟轻易建模的系统误差。举例来说,通过对真实晶片的测量更准确地捕获底层的影响。可通过在制造期间修改过程参数(例如,聚焦及曝光变化)以达成固定底层条件来将底层贡献与测量响应解相关。在另一实例中,可通过耗费来自具有变化顶部层拓扑及恒定底层条件的特征的多个数据组来减弱底层贡献。在一个实例中,顶部层可包含周期性结构且底层可是非周期性的。
测量位置可经选择以提高测量敏感性。在一个实例中,在线端处执行的测量对聚焦的改变最为敏感。通常,应在对待测量参数的改变最敏感的结构处进行测量。
尽管执行对DOE晶片的实际测量是优选的,但在一些其它实例中,可依据不同已知结构参数值来模拟DOE晶片的过程信息及测量响应。在这些实例中,综合地产生过程信息及原始测量数据。举例来说,可采用过程模拟器,例如可从美国加利福尼亚州苗比达市KLA-Tencor公司购得的正性抗蚀剂光学光刻(PROLITH)模拟软件。通常,可在本专利文件范围内预计任何过程建模技术或工具(例如,可从美国北卡罗莱纳州卡里市Coventor公司购得的Coventor模拟软件)。
在一些实例中,原始测量数据包含在于不同测量位点处获得的光谱范围内的两个椭圆偏振测量参数(Ψ、Δ)。然而,通常,测量数据可是指示被图案化到半导体晶片的表面上的结构的结构性质或几何性质的任何测量数据。
在一些实例中,测量数据与对过程参数的模拟及对DOE晶片(例如,晶片130)的表面上的测量位点的对应测量相关联。举例来说,测量数据可包含与多重图案计量目标相关联、与每一测量位点相关联的经模拟光谱测量。
在一些其它实例中,测量数据与对DOE晶片(例如,晶片130)的表面上的测量位点的实际测量相关联。测量数据包含与多重图案计量目标相关联、与每一测量位点相关联的实际光谱测量。
在一些实例中,测量数据与对实验设计(DOE)晶片上的所述多个测量位点的测量相关联,且在测量位点中的每一者处通过参考测量系统测量表征经多重图案化计量目标的所关注参数。参考计量系统是能够准确测量参数值的可信赖计量系统,例如扫描电子显微镜(SEM)、隧穿电子显微镜(TEM)、原子力显微镜(AFM);或x射线测量系统,例如小角度X射线散射计(SAXS)或X射线荧光(XRF)系统。然而,通常,参考计量系统通常缺少作为线内计量系统操作的能力,这归因于(举例来说)低吞吐量、对个别位点的测量的高测量不确定性等。
在一些实施例中,过程变化及对应参数变化被组织于半导体晶片(例如,DOE晶片)的表面上的实验设计(DOE)图案中,举例来说如本文中参考图7所描述。以此方式,测量系统询问晶片表面上与不同过程及对应结构参数值对应的不同位置。在参考图7所描述的实例中,测量数据与经处理有图6中所描绘的CD1及CD2的已知变化的DOE晶片相关联。举例来说,CD1是通过在光刻期间改变剂量而变化,且CD2是通过改变间隔件335A到B的厚度而变化。CD3是与邻近单位单元(以虚线而非阴影图解说明)相关联的间隔件333D与间隔件333E之间的距离。CD3与CD1及CD2以方程式(1)的方式相关,
CD3=LithoPitch-4Tspacer-CD1-2CD2 (1)
其中,LithoPitch是抗蚀剂光栅图案的预定义间距且Tspacer是间隔件333A到D的厚度。尽管在此实例中,剂量及间隔件厚度经变化以产生所期望参数变化,但通常,可预计与过程参数(例如,光刻聚焦、曝光及其它局部或全局参数)、结构参数或与所述两者的任何已知变化相关联的测量数据。
图7描绘具有位于晶片的表面上方的各个测量位点处的若干个裸片(例如,裸片133)的半导体晶片130。在图7中所描绘的实施例中,裸片位于布置于与所描绘x与y坐标框架132对准的矩形栅格图案中的测量位点处。每一裸片包含一SAQP计量目标131。在图7中所描绘的实施例中,每一经多重图案化计量目标131包含从第一图案化步骤获得的一组线以及从多个图案化过程中的后续步骤获得的至少另一组经插置线。因此,每一经多重图案化计量目标包含具有间隔件结构的重复图案(例如经多重图案化单位单元131)的光栅结构。经多重图案化单位单元131的几何形状是由如参考图6所描述的CD1、CD2、CD3及间距步长表征。
晶片130包含具有不同已知结构参数值的裸片阵列。因此,CD1取决于其在晶片130上的位置而具有不同已知值。以此方式,晶片130可被视为实验设计(DOE)晶片。期望DOE晶片包含横跨预期从下伏过程窗口产生的结构参数值(例如,CD1)的全部范围的经多重图案化计量目标矩阵。如图7中所描绘,CD1的值针对不同裸片列(x方向上的列索引)而改变。以此方式,晶片130包含若干裸片列,所述裸片列取决于其等在晶片上的位置而包含CD1的不同值。此外,CD1的值范围包括预期从过程窗口产生的CD1的值。
在一些实施例中,制作类似于DOE晶片130的一组DOE晶片,其中所述组中的每一DOE晶片具有CD2的不同已知标称值。CD2是通过改变间隔件厚度或蚀刻条件而变化,此影响整个晶片。因此,每一DOE晶片是在稍微不同过程条件下被制作以产生CD2的不同标称值。所述组中的每一DOE晶片包含如上文参考晶片130所描述的CD1的不同已知值的范围。
在一些实例中,通过减小测量数据的维度来提取原始测量数据的一或多个特征。尽管此减小是任选的,但采用所述减小时,至少部分地基于一或多个经提取特征而确定SRM测量模型。类似地,通过减小过程信息的维度来提取从先前过程步骤接收的过程信息的一或多个特征。
通常,可通过若干种已知方法来减小测量数据、过程信息或所述两者的维度,所述方法包含主分量分析、非线性主分量分析、从第二量的测量数据的个别信号的选择及第二量的测量数据的筛选。
在一些实例中,使用主分量分析(PCA)、非线性PCA、核心PCA、独立分量分析(ICA)、快速傅里叶(Fourier)变换分析(FFT)、离散余弦变换分析(DCT)或这些技术的组合来分析测量数据、过程信息或测量数据、过程信息两者以提取最强烈地反映过程参数、结构参数或所述两者在不同测量位点处所呈现的变化的特征。在一些其它实例中,可应用信号滤波技术来提取最强烈地反映在不同测量位点处所呈现的参数变化的信号数据。在一些其它实例中,可从存在于测量数据中的多个信号选择最强烈地反映在不同测量位点处所呈现的参数变化的个别信号。尽管从测量数据及过程信息提取特征以减少经受后续分析的数据的维度是优选的,但其完全不必要。
基于过程信息及相关联原始测量信号或者过程信息及相关联原始测量信号中的一者或两者的经减小版本而确定SRM测量模型。经训练SRM测量模型经建构以接收在一或多个测量位点处由计量系统产生的测量数据及来自先前过程步骤的相关联过程信息,且直接确定与每一测量目标相关联的结构参数值。在优选实施例中,SRM测量模型是实施为神经网络模型。在一个实例中,神经网络的节点数目是基于从测量数据、过程信息或所述两者提取的特征而选择。在其它实例中,SRM测量模型可实施为线性模型、多项式模型、响应表面模型、决策树模型、随机森林模型、支持向量机器模型或其它类型的模型。
基于所关注参数的已知值而训练SRM测量模型。在一些实例中,使用DOE过程信息、原始测量数据及已知参数值来产生经训练SRM测量模型。所述模型经训练,使得其输出符合由DOE光谱定义的过程变化空间的所有光谱的经定义预期响应。
在一些实例中,经训练SRM模型用于直接依据从其它晶片(例如,产品晶片)的实际装置结构收集的测量数据(例如,光谱)及来自用于制作测量结构的先前过程的相关联过程信息而计算结构参数值。SRM测量模型接收测量数据(例如,经测量光谱)及相关联过程信息来直接作为输入且提供参数值来作为输出,且因此SRM测量模型是经训练输入-输出模型。
潘德夫(Pandev)的第8,843,875号美国专利、潘德夫等人的第2014/0297211号美国专利公开案、斯德格鲁(Shchegrov)等人的第2014/0316730号美国专利公开案、第2014/0172394号美国专利公开案、潘德夫等人的第2015/0042984号美国专利公开案、潘德夫等人的第2015/0046118号美国专利公开案、潘德夫的第2015/0235108号美国专利公开案、潘德夫等人的第2016/0109230号美国专利公开案及萨皮恩斯(Sapiens)等人的第2015/0323471号美国专利公开案中描述了与作为测量过程部分的模型产生、训练及利用相关的额外细节,上述专利中的每一者的全部内容以引用方式并入本文中。
在一些实例中,由计算系统(例如,计算系统330)接收与对半导体晶片的表面上的计量目标的测量相关联的一定量的光学测量数据及与用于制作所述计量目标的先前过程步骤相关联的过程信息。所关注参数指示由多重图案化过程诱发的几何误差。
在一个实例中,图6中所描绘的目标结构的结构参数CD1、CD2、CD3及间距步长是所关注参数。这些参数是通过非限制性实例方式提供。通常,许多其它结构参数(例如,侧壁角度、底部临界尺寸等)可用于指示由多重图案化过程诱发的几何误差。
在一些实施例中,受测量产品晶片包含具有标称值的结构的阵列。因此,CD1、CD2、CD3及间距步长具有相同标称值而不论在晶片上的位置如何。
在一些实例中,测量数据包含在于不同测量位点处获得的光谱范围内的两个椭圆偏振测量参数(Ψ、Δ)。测量数据包含与经多重图案化计量目标相关联、与每一测量位点相关联的光谱测量。尽管在一些实例中,测量数据是光谱测量数据,但通常,测量数据可是指示被图案化到半导体晶片的表面上的结构的结构性质或几何性质的任何测量数据。
与计量目标相关联的至少一个所关注参数的值是基于测量数据、相关联过程信息及经训练SRM测量模型而确定。所关注参数的值指示由多重图案化过程诱发的几何误差。所关注参数的值是直接依据经训练SRM测量模型计算。
所关注参数的值存储于存储器(例如,存储器432)中。
由于一些目标中所呈现的结构对称,临界尺寸通常不能依据仅从个别目标及相关联过程信息导出的散射测量信号直接测量。举例来说,来自具有临界尺寸CD的正值扰动(例如,CD+x)的光栅结构的散射测量信号与来自具有CD的负值扰动(例如,CD-x)的光栅结构的散射测量信号相同。
在一个其它方面中,依据来自经集成到一个多目标组中的多个目标的测量信号及相关联过程信息对SRM测量模型进行训练且所述SRM测量模型对来自多个相同目标的测量信号进行操作。此方法将关键参数彼此及关键参数与其它过程变化解相关。
在一些实施例中,辅助目标经定位成接近主要测量目标且经受相同过程变化(例如,SAQP过程变化)。在这些实施例中,所述组训练计量目标包含具有标称尺寸的主要目标及具有所关注参数的不同标称值的一或多个辅助目标。
所述辅助目标是在光刻过程步骤期间形成。在一些实例中,具有不同线与空间比率及/或不同间距的掩模可用于创建辅助目标。优选地,将主要目标及辅助目标尽可能紧密地定位在一起以增强SRM测量模型的准确性。在一些实施例中,主要计量目标及辅助计量目标两者在每一测量位点处被彼此邻近地定位。通过将计量目标紧密定位在一起,用于连结两个计量目标的参数的简化假设不太可能诱发显著误差。举例来说,对于两个计量目标来说,下伏层的厚度极可能是相同值,只要所述目标经定位成紧密靠近即可。因此,对于邻近计量目标来说,在不诱发显著误差的情况下,下伏层的厚度可被视为相同恒定值。
使用辅助目标来训练及使用SRM测量模型类似于上文中所描述的单个目标方法。然而,另外,对多目标SRM测量模型的训练需要来自辅助目标及主要计量目标的训练数据。类似地,对多目标SRM测量模型的使用需要来自辅助目标及主要测量目标的测量数据。然而,注意仅需要从主要目标收集用于训练的参考测量数据,这是因为与辅助目标相关联的特定参数值不受关注。
在一些实施例中,基于来自在多重图案化过程的多个步骤处测量的计量目标的测量信号而训练及使用SRM测量模型。经测量光谱或来自一或多个先前过程步骤的经测量关注参数经前馈以用于对与主要目标相关联的SRM测量模型的训练及使用。此方法也将关键参数彼此及关键参数与其它过程变化解相关。
此方法不需要实施额外辅助目标所需的另外晶片空间。然而,此方法的确需要在多个过程步骤处执行晶片测量。
使用在多个过程步骤处收集的测量数据以训练及使用SRM测量模型类似于上文所描述的单个目标方法。然而,另外,对SRM测量模型的训练需要在最小两个不同过程步骤处测量主要目标。类似地,对SRM测量模型的使用需要来自不同过程步骤处的主要目标的测量数据。然而,注意仅需要从在最近过程步骤处的主要目标收集用于训练的参考测量数据,这是因为仅在此步骤处的目标的特定参数值是所关注的。
如本文中所描述,需要使用其它技术获得的参考测量来训练SRM模型。CD-SEM是以其高测量不确定性知名的示范性测量技术。
本文中通过非限制性实例方式描述了涉及LELE及SAOP的特定实例。通常,本文中所描述的方法及系统可用于改善对通过任何多重图案化技术(例如,自对准双重图案化、三重图案化、四重图案化、八重图案化、双重光刻双重蚀刻(LELE)图案化等)产生的所关注参数的测量。
图8图解说明用于根据本文中所呈现的示范性方法测量试样的特性的系统400。如图8中所展示,系统400可用于执行对试样401的一或多个结构410的光谱椭圆偏振测量。在此方面中,系统400可包含配备有照明器402及光谱仪404的光谱椭偏计。系统400的照明器402经配置以产生选定波长范围(例如,150nm到2000nm)的照明并将所述照明引导到安置于试样401的表面上的结构。继而,光谱仪404经配置以接收从试样401的表面反射的照明。进一步注意,使用偏振状态产生器407来将从照明器402发出的光偏振以产生偏振照明光束406。由安置于试样401上的结构反射的辐射通过偏振状态分析仪409且到达光谱仪404。关于偏振状态而分析由光谱仪404接收的收集光束408中的辐射,从而允许由光谱仪进行对由分析仪传递的辐射的光谱分析。这些光谱411被传递到计算系统430以用于对结构的分析。
如图8中所描绘,系统400包含单一测量技术(即,SE)。然而,通常,系统400可包含任何数目个不同测量技术。举非限制性实例,系统400可经配置为光谱椭偏计(包含密勒(Mueller)矩阵椭圆偏振测量)、光谱反射计、光谱散射计、叠对散射计、角度分辨光束轮廓反射计、偏振分辨光束轮廓反射计、光束轮廓反射计、光束轮廓椭偏计、任何单个或多个波长椭偏计或上述各项的任何组合。此外,通常,可从多个工具而非集成多种技术的一个工具收集通过不同测量技术收集且根据本文中描述的方法分析的测量数据。
在又一实施例中,系统400可包含用于基于如本文中所描述的经测量光谱及过程信息而执行测量的一或多个计算系统430。一或多个计算系统430可以通信方式耦合到光谱仪404。在一个方面中,一或多个计算系统430经配置以接收与对试样401的结构的测量相关联的测量数据411。一或多个计算系统430也可以通信方式耦合到经配置以执行用于制作受测量样本401的先前过程步骤的一或多个过程工具420。在一个方面中,一或多个计算系统430经配置以接收与先前过程步骤中的任一者相关联的过程信息421、在先前过程步骤中的任一者处对试样401的结构的测量或上述两项的组合。
应认识到,可通过单计算机系统430或替代地通过多重计算机系统430来实施本发明通篇所描述的各个步骤。此外,系统400的不同子系统(例如光谱椭偏计404)可包含适于实施本文中所描述步骤的至少一部分的计算机系统。因此,上述说明不应解释为对本发明的限制而仅是图解说明。此外,一或多个计算系统430可经配置以执行本文中所描述的方法实施例中的任一者的任何其它步骤。
另外,计算机系统430可以此项技术中已知的任何方式以通信方式耦合到光谱仪404。举例来说,一或多个计算系统430可耦合到与光谱仪404相关联的计算系统。在另一实例中,光谱仪404可由耦合到计算机系统430的单计算机系统直接控制。
计量系统400的计算机系统430可经配置以通过可包含有线及/或无线部分的传输媒体而从所述系统的子系统(例如,光谱仪404等)或者一或多个过程工具420接收及/或获取数据或信息。以此方式,传输媒体可用作计算机系统430与其它系统或系统400的子系统之间的数据链路。
计量系统400的计算机系统430可经配置以通过可包含有线及/或无线部分的传输媒体而从其它系统接收及/或获取数据或信息(例如,测量结果、建模输入、建模结果等)。以此方式,传输媒体可用作计算机系统430与其它系统(例如,载于计量系统400上的存储器、外部存储器、过程工具420、参考测量源或其它外部系统)之间的数据链路。举例来说,计算系统430可经配置以经由数据链路而从存储媒体(即,存储器432或外部存储器)接收测量数据。举例来说,使用光谱仪404获得的光谱结果可存储于永久性或半永久性存储器装置(例如,存储器432或外部存储器)中。就此来说,光谱结果可从机载存储器或从外部存储器系统导入。此外,计算机系统430可经由传输媒体将数据发送到其它系统。举例来说,由计算机系统430确定的测量模型或结构参数值440可被传达并存储于外部存储器中。就此来说,测量结果可被导出到另一系统。
计算系统430可包含但不限于个人计算机系统、主机计算机系统、工作站、图像计算机、并行处理器或此项技术中已知的任何其它装置。通常,术语“计算系统”可被广义定义为囊括具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可经由传输媒体(例如,导线、电缆或无线传输链路)传输实施例如本文中所描述的那些方法的方法的程序指令434。举例来说,如图8中所图解说明,存储于存储器432中的程序指令434经由总线433被传输到处理器431。程序指令434存储于计算机可读媒体(例如,存储器432)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或者磁带。
在一些实施例中,照明光及从经照明测量位点收集的光包含多个不同波长。在一些实施例中,以多个不同收集角度从经照明测量位点收集光。对间距步长及临界尺寸(例如,CD)的变化的测量敏感性因以多个波长及收集角度检测光而有所改善。在一些实施例中,以多个不同方位角从经照明测量位点收集光。这些平面外测量也可改善对间距步长及临界尺寸的变化的测量敏感性。在一些实施例中,针对一组特定系统设定(例如,光谱或角度分辨系统、一或多个方位角、一或多个波长及上述各项的任何组合)而将光学测量数据的收集优化。
图9图解说明适合于由计量系统(例如本发明的图8中所图解说明的计量系统400)实施的方法500。在一个方面中,应认识到,方法500的数据处理框可经由预编程算法执行,所述预编程算法由计算系统430的一或多个处理器或任何其它通用计算系统执行。在本文中认识到,计量系统400的特定结构方面不表示限制且仅应解释为图解说明性的。
在框501中,由计量系统(例如,计量系统400)接收晶片。所述晶片包含一或多个计量目标,所述一或多个计量目标是由通过多个过程工具执行的制作过程流程的多个过程步骤制作。
在框502中,将第一量的过程信息从用于对晶片执行所述多个过程步骤中的第一过程步骤的第一过程工具而接收到计量系统的计算系统上。
在框503中,将第二量的过程信息从第一过程工具或用于对晶片执行所述多个过程步骤中的第二过程步骤的另一过程工具而接收到计量系统的计算系统上。
在框504中,由计量系统的照明子系统将一定量的照明光提供到一或多个计量目标。
在框505中,由计量系统的检测器子系统检测响应于照明而来自一或多个计量目标的光量。
在框506中,由检测器子系统响应于所检测光量而产生测量信号。
在框507中,基于测量信号以及第一量的过程信息及第二量的过程信息而估计一或多个计量目标的所关注参数的值。
在框508中,基于计量目标的经测量特性以及第一量的过程信息及第二量的过程信息而估计与过程步骤中的至少一者相关联的可校正参数的值。另外,将所述可校正参数的值传达到用于执行至少一个过程步骤的过程工具。
在一些实例中,与多个目标相关联、用于模型建立、训练及测量的测量数据的使用会消除或显著减小底层对测量结果的影响。在一个实例中,将来自两个目标的测量信号相减以消除或显著减小底层对每一测量结果的影响。与多个目标相关联的测量数据的使用会增加嵌入于模型中的样本信息及过程信息。特定来说,包含在一或多个测量位点处对多个不同目标进行的测量的训练数据的使用达成更准确测量。
在一个实例中,依据对DOE晶片的光谱测量而创建测量模型以用于隔离式目标及密集目标两者。然后,基于光谱测量数据及已知结构参数值而训练测量模型。随后,将所得经训练测量模型用于计算样本晶片上的隔离式目标及密集目标两者的结构参数值。以此方式,每一参数具有其自身的经训练模型,所述经训练模型依据与隔离式目标及密集目标两者相关联的经测量光谱(或所提取特征)而计算参数值。
在又一方面中,收集从由多种不同测量技术的组合执行的测量导出的测量数据以用于模型建立、训练及测量。与多种不同测量技术相关联的测量数据的使用会增加嵌入于模型中的样本信息及过程信息且达成更准确测量。测量数据可从由多种不同测量技术的任何组合执行的测量导出。以此方式,可通过多种不同测量技术来测量不同测量位点以增强可用于表征半导体结构的测量信息。
通常,可在此专利文件的范围内预计任何测量技术或者两种或多于两种测量技术的组合。示范性测量技术包含但不限于:光谱椭圆偏振测量(包含密勒矩阵椭圆偏振测量)、光谱反射测量、光谱散射测量、散射测量叠对、光束轮廓反射测量、角度分辨及偏振分辨两者、光束轮廓椭圆偏振测量、单个或多个离散波长椭圆偏振测量、透射小角度x射线散射计(TSAXS)、小角度x射线散射(SAXS)、掠入射小角度x射线散射(GISAXS)、广角度x射线散射(WAXS)、x射线反射率(XRR)、x射线衍射(XRD)、掠入射x射线衍射(GIXRD)、高分辨率x射线衍射(HRXRD)、x射线光电子光谱法(XPS)、x射线萤光(XRF)、掠入射x射线萤光(GIXRF)、低能量电子诱发的x射线发射散射测量(LEXES)、x射线断层扫描及x射线椭圆偏振测量。通常,可预计适用于表征半导体结构的任何计量技术(包含基于图像的计量技术)。额外传感器选项包含:电传感器,例如使装置偏置且利用光学传感器来检测所得偏置的非接触式电容/电压或电流/电压传感器(或相反);或辅助性光学技术,例如XRD、XRF、XPS、LEXES、SAXS;及泵探测技术。在一个实施例中,二维光束轮廓反射计(光瞳成像器)可用于收集大小较小的光斑中的角度分辨数据及/或多光谱数据两者。UV林尼克(Linnik)干涉计也可用作密勒矩阵光谱光瞳成像器。
在一些实例中,本文中所描述的模型建立、训练及测量方法被实施为可从美国加利福尼亚州苗必达市KLA-Tencor公司购得的
Figure SMS_1
光学临界尺寸计量系统的元素。以此方式,模型被创建并准备好供在由系统收集DOE晶片光谱之后立即使用。
在一些其它实例中,举例来说,通过实施可从美国加利福尼亚州苗必达市的KLA-Tencor公司购得的
Figure SMS_2
软件的计算系统来离线地实施本文中所描述的模型建立及训练方法。所得经训练模型可并入为可由执行测量的计量系统存取的/>
Figure SMS_3
库的元素。
在另一实例中,本文中所描述的方法及系统可适用于叠对计量。光栅测量与叠对测量特别有关。叠对计量的目标是确定不同光刻曝光步骤之间的移位。执行装置上叠对计量是困难的,这是因为装置上结构的大小是小的且通常叠对值是小的。
举例来说,典型刻划线叠对计量结构的间距从200纳米到2,000纳米变化。但是,装置上叠对计量结构的间距通常是100纳米或更小。另外,在标称生产环境中,装置叠对仅是装置结构的周期性的一小部分。相比来说,用于散射测量叠对中的代理计量结构常常以较大值(例如,间距的四分之一)偏移以增强对叠对的信号敏感性。
在这些条件下,在传感器架构对小偏移、小间距叠对具有充分敏感性的情况下执行叠对计量。本文中所描述的方法及系统可用于获得对基于装置上结构、代理结构或所述两者的叠对敏感的测量信号。
在获取经测量信号之后,分析所述经测量信号以基于经测量信号的变化而确定叠对误差。在一个其它方面中,使用PCA分析光谱数据或角度分辨数据,且训练叠对模型以基于在测量信号中所检测的主分量而确定叠对。在一个实例中,叠对模型是神经网络模型。在此意义上来说,叠对模型并非参数模型,且因此不易受由不准确建模假设引入的误差影响。
在一些实施例中,对叠对计量模型的训练是基于对与装置特征标称相同但具有较大偏移的专用计量结构的测量。此可有助于克服敏感性问题。这些偏移可因固定设计偏移而引入,固定设计偏移被引入于将在光罩设计期间测量的两个层中的特征之间。偏移也可因光刻曝光的移位而被引入。可通过使用多个经移位目标(例如,间距/4及-间距/4)而从经压缩信号(例如,PCA信号)更高效地提取叠对误差且也可减小底层的影响。
通常,本文中所呈现的用于执行半导体计量的方法及系统可直接用于位于裸片中或刻划线内的实际装置结构或专用计量目标(例如,代理结构)。
在又一方面中,本文中所描述的测量技术可用于将主动反馈提供到过程工具(例如,光刻工具、蚀刻工具、沉积工具等)。举例来说,可将使用本文中所描述的方法确定的结构参数值传达到光刻工具以调整光刻系统来实现所期望输出。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等)或沉积参数(例如,时间、浓度等)可包含于测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
通常,本文中所描述的系统及方法可实施为专用计量工具的部分,或者替代地实施为过程工具(例如,光刻工具、蚀刻工具等)的部分。
如本文中所描述,术语“临界尺寸”包含:结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等);任何两个或多于两个结构之间的临界尺寸(例如,两个结构之间的距离);以及两个或多于两个结构之间的位移(例如,叠对光栅结构之间的叠对位移等)。结构可包含三维结构、经图案化结构、叠对结构等。
如本文中所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中所描述,术语“计量系统”包含至少部分地用于在任何方面中表征试样的任何系统,包含测量应用,例如临界尺寸计量、叠对计量、聚焦/剂量计量及组成计量。然而,此类技术术语并不限制如本文中所描述的术语“计量系统”的范围。另外,计量系统400可经配置以用于经图案化晶片及/或未经图案化晶片的测量。计量系统可经配置为LED检验工具、边缘检验工具、背面检验工具、宏观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)以及从基于临界尺寸数据校准系统参数获益的任何其它计量或检验工具。
本文中描述可用于处理试样的半导体处理系统(例如,检验系统或光刻系统)的各种实施例。术语“试样”在本文中用于指晶片、光罩或可通过此项技术中已知的手段处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中所使用,术语“晶片”通常是指由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓及磷化铟。通常可在半导体制作设施中找到及/处理此类衬底。在一些情形中,晶片可仅包含衬底(即,裸晶片)。或者,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可是“经图案化的”或“未图案化的”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可是在光罩制作过程的任何阶段处的光罩或者可或可不被释放以供在半导体制作设施中使用的完成光罩。光罩或“掩模”通常被定义为基本上透明衬底,所述透明衬底上面形成有经配置成图案的基本上不透明区域。衬底可包含(举例来说)例如非晶SiO2的玻璃材料。光罩可在光刻过程的曝光步骤期间安置于抗蚀剂覆盖的晶片上面,使得可将所述光罩上的图案转印到所述抗蚀剂。
形成于晶片上的一或多个层可是经图案化的或未经图案化的。举例来说,晶片可包含多个裸片,所述裸片各自具有可重复图案特征。此些材料层的形成及处理可最终产生完成装置。许多不同类型的装置可形成于晶片上,且如本文中所使用的术语晶片打算囊括上面制作有此项技术中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,可在硬件、软件、固件或其等任何组合中实施所描述功能。如果在软件中实施,那么所述功能可作为一或多个指令或代码存储于计算机可读媒体上或经由计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,通信媒体包含促进计算机程序从一个地方到另一地方的传送的任何媒体。存储媒体可是可由通用或专用计算机存取的任何可用媒体。举例来说但不具限制性,此些计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置、或者可用于以指令或数据结构形式载运或存储所期望代码构件且可由通用或专用计算机或者通用或专用处理器存取的任何其它媒体。此外,任何连接均适当地被称为计算机可读媒体。例如,如果使用同轴电缆、光纤电缆、双绞线、数字订户线(DSL)或无线技术(例如红外线、无线电和微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外线、无线电和微波)包含在媒体定义中。如本文中所使用,磁盘及光盘包含压缩光盘(CD)、激光光盘、光学光盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常以磁性方式再现数据,而光盘借助激光以光学方式再现数据。以上组合也应包含于计算机可读取媒体的范围内。
尽管上文出于指导性目的而描述了某些特定实施例,但本专利文件的教示具有一般适用性且不限于上文所描述的特定实施例。因此,可在不背离如权利要求书中所陈述的本发明的范围的情况下实践对所描述实施例的各种特征的各种修改、更改及组合。

Claims (20)

1.一种计量系统,其包括:
照明子系统,其将一定量的照明光提供到安置于先前通过制作过程流程的多个过程步骤处理的晶片上的一或多个计量目标;
检测器子系统,其检测响应于所述一定量的照明光而来自所述一或多个计量目标的光量且响应于所检测光量而产生一定量的测量信号;及
计算系统,其经配置以:
从用于对所述晶片执行所述多个过程步骤中的第一过程步骤的第一过程工具接收第一量的过程信息;
从所述第一过程工具或用于对所述晶片执行所述多个过程步骤中的第二过程步骤的另一过程工具接收第二量的过程信息;及
基于所述一定量的测量信号以及所述第一量的过程信息及所述第二量的过程信息而估计所述一或多个计量目标的所关注结构参数的值。
2.根据权利要求1所述的计量系统,其中所述计算系统进一步经配置以:
基于所述计量目标的经测量特性以及所述第一量的过程信息及所述第二量的过程信息而估计与所述过程步骤中的至少一者相关联的可校正参数的值;及
将所述可校正参数的所述值传达到用于执行至少一个过程步骤的至少一个过程工具。
3.根据权利要求1所述的计量系统,其中所述第一量的过程信息包含以下各项中的任一者:过程控制参数、过程工具设置参数、过程环境参数、从载于所述第一过程工具上的传感器收集的一定量的过程数据及从载于所述第一过程工具上的传感器收集的一定量的计量数据。
4.根据权利要求1所述的计量系统,其中所述第一量的过程信息包含光刻聚焦参数值、光刻剂量参数值或上述两项的组合。
5.根据权利要求1所述的计量系统,其中所述估计所述一或多个计量目标的所述所关注结构参数的所述值涉及物理模型或经训练输入-输出测量模型。
6.根据权利要求5所述的计量系统,其中所述计算系统进一步经配置以:
利用经模拟测量数据及经模拟过程信息、与实验设计DOE晶片相关联的实际测量数据及过程信息或所述经模拟测量数据及经模拟过程信息以及所述与实验设计DOE晶片相关联的实际测量数据及过程信息的组合来训练所述输入-输出测量模型。
7.根据权利要求1所述的计量系统,其中所述计算系统进一步经配置以:
减小所述第一量的过程信息及所述第二量的过程信息、所述一定量的测量信号或所述第一量的过程信息及所述第二量的过程信息和所述一定量的测量信号的组合的维度。
8.根据权利要求1所述的计量系统,其中所述检测器经配置而以多个波长、多个收集角度或多个波长与多个收集角度的组合从目标结构收集光。
9.一种计量系统,其包括:
照明子系统,其将一定量的照明光提供到安置于先前通过制作过程流程的多个过程步骤处理的晶片上的一或多个计量目标;
检测器子系统,其检测响应于所述一定量的照明光而来自所述一或多个计量目标的光量且响应于所检测光量而产生一定量的测量信号;及
非暂时性计算机可读媒体,其包括:
用于致使计算机系统从用于对所述晶片执行所述多个过程步骤中的第一过程步骤的第一过程工具接收第一量的过程信息的代码;
用于致使所述计算机系统从所述第一过程工具或用于对所述晶片执行所述多个过程步骤中的第二过程步骤的另一过程工具接收第二量的过程信息的代码;及
用于致使所述计算机系统基于所述一定量的测量信号以及所述第一量的过程信息及所述第二量的过程信息而估计所述一或多个计量目标的所关注结构参数的值的代码。
10.根据权利要求9所述的计量系统,所述非暂时性计算机可读媒体进一步包括:
用于致使所述计算机系统基于所述计量目标的经测量特性以及所述第一量的过程信息及所述第二量的过程信息而估计与所述过程步骤中的至少一者相关联的可校正参数的值的代码;及
用于致使所述计算机系统将所述可校正参数的所述值传达到用于执行至少一个过程步骤的至少一个过程工具的代码。
11.一种方法,其包括:
接收包含一或多个计量目标的晶片,所述一或多个计量目标是通过由多个过程工具执行的制作过程流程的多个过程步骤制作;
从用于对所述晶片执行所述多个过程步骤中的第一过程步骤的第一过程工具接收第一量的过程信息;
从所述第一过程工具或用于对所述晶片执行所述多个过程步骤中的第二过程步骤的另一过程工具接收第二量的过程信息;
将一定量的照明光提供到所述一或多个计量目标;
检测响应于所述照明而来自所述一或多个计量目标的光量;
响应于所检测光量而产生一定量的测量信号;
基于所述一定量的测量信号以及所述第一量的过程信息及所述第二量的过程信息而估计所述一或多个计量目标的所关注参数的值。
12.根据权利要求11所述的方法,其进一步包括:
基于所述计量目标的经测量特性以及所述第一量的过程信息及所述第二量的过程信息而估计与所述过程步骤中的至少一者相关联的可校正参数的值;及
将所述可校正参数的所述值传达到用于执行至少一个过程步骤的至少一个过程工具。
13.根据权利要求11所述的方法,其中所述制作过程流程中所涉及的所述多个过程工具包含至少一个光刻工具及至少一个蚀刻工具。
14.根据权利要求11所述的方法,其中所述第一量的过程信息及所述第二量的过程信息中的任一者包含过程参数值。
15.根据权利要求14所述的方法,其中所述过程参数值是光刻聚焦参数值、光刻剂量参数值或上述两项的组合中的任一者。
16.根据权利要求11所述的方法,其中所述第一量的过程信息及所述第二量的过程信息中的任一者包含由载于所述第一过程工具上的计量系统测量的所述计量目标的特性。
17.根据权利要求11所述的方法,其中所述估计所述一或多个计量目标的所述所关注参数的所述值涉及物理模型或经训练输入-输出测量模型。
18.根据权利要求17所述的方法,其进一步包括:
利用经模拟测量数据及经模拟过程信息、与实验设计DOE晶片相关联的实际测量数据及过程信息或所述经模拟测量数据及经模拟过程信息以及所述与实验设计DOE晶片相关联的实际测量数据及过程信息的组合来训练所述输入-输出测量模型。
19.根据权利要求11所述的方法,其进一步包括:
减小所述第一量的过程信息及所述第二量的过程信息、所述一定量的测量信号或所述第一量的过程信息及所述第二量的过程信息以及所述一定量的测量信号的组合的维度。
20.根据权利要求11所述的方法,其中所述一或多个计量目标包含标称计量目标及至少一个辅助计量目标,其中所述标称计量目标及所述至少一个辅助计量目标两者各自由通过多重图案化过程中的至少两个图案化步骤产生的至少一个所关注参数表征。
CN201780021343.8A 2016-04-04 2017-04-03 利用来自多个处理步骤的信息的半导体计量 Active CN108886006B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662318166P 2016-04-04 2016-04-04
US62/318,166 2016-04-04
US15/476,683 2017-03-31
US15/476,683 US10504759B2 (en) 2016-04-04 2017-03-31 Semiconductor metrology with information from multiple processing steps
PCT/US2017/025757 WO2017176637A1 (en) 2016-04-04 2017-04-03 Semiconductor metrology with information from multiple processing steps

Publications (2)

Publication Number Publication Date
CN108886006A CN108886006A (zh) 2018-11-23
CN108886006B true CN108886006B (zh) 2023-07-07

Family

ID=59959935

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780021343.8A Active CN108886006B (zh) 2016-04-04 2017-04-03 利用来自多个处理步骤的信息的半导体计量

Country Status (6)

Country Link
US (1) US10504759B2 (zh)
KR (1) KR102274476B1 (zh)
CN (1) CN108886006B (zh)
DE (1) DE112017001846T5 (zh)
TW (1) TWI755386B (zh)
WO (1) WO2017176637A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US10795346B2 (en) * 2018-03-13 2020-10-06 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
CN110660702B (zh) * 2018-06-29 2022-11-29 台湾积体电路制造股份有限公司 覆盖管理方法、覆盖管理系统及计算系统
US11199505B2 (en) 2018-08-23 2021-12-14 International Business Machines Corporation Machine learning enhanced optical-based screening for in-line wafer testing
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US11436506B2 (en) * 2019-03-06 2022-09-06 Carl Zeiss Smt Gmbh Method and devices for determining metrology sites
US11990380B2 (en) * 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11914290B2 (en) * 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11568101B2 (en) * 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
WO2021032376A1 (en) * 2019-08-20 2021-02-25 Asml Netherlands B.V. Method for controlling a semiconductor manufacturing process
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
EP4016186A1 (en) * 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
US20230160960A1 (en) * 2021-11-22 2023-05-25 Onto Innovation Semiconductor substrate yield prediction based on spectra data from multiple substrate dies
US20230259035A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Characterization of photosensitive materials

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908495A (zh) * 2009-06-05 2010-12-08 台湾积体电路制造股份有限公司 虚拟测量先进工艺控制系统和设置方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US20020177245A1 (en) * 2001-03-29 2002-11-28 Sonderman Thomas J. Method and apparatus for controlling feature critical dimensions based on scatterometry derived profile
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7092096B2 (en) * 2004-02-20 2006-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical scatterometry method of sidewall spacer analysis
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7639375B2 (en) 2006-12-14 2009-12-29 Tokyo Electron Limited Determining transmittance of a photomask using optical metrology
US7327475B1 (en) * 2006-12-15 2008-02-05 Tokyo Electron Limited Measuring a process parameter of a semiconductor fabrication process using optical metrology
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
CN102037550B (zh) 2008-05-21 2012-08-15 恪纳腾公司 使工具与工艺效果分离的衬底矩阵
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP2011027461A (ja) * 2009-07-22 2011-02-10 Renesas Electronics Corp パターン形状計測方法、半導体装置の製造方法、およびプロセス制御システム
CN201502829U (zh) * 2009-09-28 2010-06-09 江苏亿丰机械制造有限公司 免维护双向旋转补偿器
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20150017698A1 (en) * 2012-03-02 2015-01-15 Codexis, Inc. a corporation Recombinant host cells and processes for producing 1,3-butadiene through a 5-hydroxypent-3-enoate intermediate
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10466596B2 (en) 2014-02-21 2019-11-05 Kla-Tencor Corporation System and method for field-by-field overlay process control using measured and estimated field parameters
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908495A (zh) * 2009-06-05 2010-12-08 台湾积体电路制造股份有限公司 虚拟测量先进工艺控制系统和设置方法

Also Published As

Publication number Publication date
CN108886006A (zh) 2018-11-23
DE112017001846T5 (de) 2018-12-27
TW201801219A (zh) 2018-01-01
KR102274476B1 (ko) 2021-07-06
US10504759B2 (en) 2019-12-10
TWI755386B (zh) 2022-02-21
US20170287751A1 (en) 2017-10-05
KR20180123162A (ko) 2018-11-14
WO2017176637A1 (en) 2017-10-12

Similar Documents

Publication Publication Date Title
CN108886006B (zh) 利用来自多个处理步骤的信息的半导体计量
US10215559B2 (en) Metrology of multiple patterning processes
US10612916B2 (en) Measurement of multiple patterning parameters
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
US10030965B2 (en) Model-based hot spot monitoring
US10352876B2 (en) Signal response metrology for scatterometry based overlay measurements
CN107076681B (zh) 用于基于图像的测量及基于散射术的叠对测量的信号响应度量
CN106062939B (zh) 用于基于图像的叠对测量的信号响应计量
US10712145B2 (en) Hybrid metrology for patterned wafer characterization
US20240186191A1 (en) Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant