KR102274476B1 - 다중 처리 단계로부터의 정보로 반도체 계측 - Google Patents

다중 처리 단계로부터의 정보로 반도체 계측 Download PDF

Info

Publication number
KR102274476B1
KR102274476B1 KR1020187031524A KR20187031524A KR102274476B1 KR 102274476 B1 KR102274476 B1 KR 102274476B1 KR 1020187031524 A KR1020187031524 A KR 1020187031524A KR 20187031524 A KR20187031524 A KR 20187031524A KR 102274476 B1 KR102274476 B1 KR 102274476B1
Authority
KR
South Korea
Prior art keywords
metrology
measurement
parameter
wafer
tool
Prior art date
Application number
KR1020187031524A
Other languages
English (en)
Other versions
KR20180123162A (ko
Inventor
알렉산더 쿠즈네초프
안토니오 아리온 겔리노
안드레이 쉬체그로프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20180123162A publication Critical patent/KR20180123162A/ko
Application granted granted Critical
Publication of KR102274476B1 publication Critical patent/KR102274476B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)

Abstract

시편의 측정 및 시편 제조에 사용되는 하나 이상의 이전 프로세스 단계로부터의 프로세스 정보에 기초하여 다중 패터닝 반도체 제조 프로세스에서 프로세스 유발 오차를 측정하는 방법 및 시스템이 본 명세서에 제시된다. 계측 툴은 다수의 프로세스 단계가 실행된 후에 사용된다. 계측 툴은 측정된 신호 및 프로세스 정보에 기초하여 웨이퍼 상의 계측 타겟의 관심 구조 파라미터를 측정하고, 보정 가능한 프로세스 파라미터 값을 이전 프로세스 단계들과 관련된 하나 이상의 프로세스 툴에 전달한다. 적절한 프로세스 툴에 의해 실행될 때, 보정 가능한 프로세스 파라미터 값은 프로세스 흐름에 의해 제조된 구조의 기하 구조에서 프로세스 유발 오차를 감소시킨다. 또 다른 양태에서, 다중 계측 툴은 프로세스 흐름의 하나 이상의 프로세스 단계로부터의 프로세스 정보와 조합하여 제조 프로세스를 제어하는데 사용된다.

Description

다중 처리 단계로부터의 정보로 반도체 계측
관련 출원에 대한 상호 참조
본 특허 출원은 2016년 4월 4일자로 출원된 "프로세스 정보 보조 계측(Process Information Assisted Metrology)"라는 명칭의 미국 가특허출원 번호 제62/318,166호로부터 35 U.S.C. §119 하의 우선권을 주장하고, 그 내용(subject matter)은 전체가 본 명세서에 참고로 포함된다.
설명된 실시 예들은 계측 시스템 및 방법에 관한 것으로서, 보다 상세하게는 다중 패터닝 프로세스에 의해 생성되는 구조의 치수를 특징 짓는 파라미터의 개선된 측정을 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 전형적으로 시편에 적용되는 일련의 처리 단계들에 의해 제조된다. 반도체 디바이스의 다양한 피처들 및 다중 구조 레벨은 이러한 처리 단계에 의해 형성된다. 예를 들어, 무엇보다도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가 예는 화학-기계적 연마, 에칭, 증착 및 이온 주입을 포함하지만 이에 한정되지는 않는다. 다수의 반도체 디바이스는 단일 반도체 웨이퍼 상에 제조된 다음, 개별 반도체 디바이스로 분리될 수 있다.
주어진 리소그래피 시스템에 대해 반도체 웨이퍼 상에 인쇄된 피처들의 해상도를 증가시키기 위해 현재 다중 패터닝 기술이 일반적으로 사용된다. 도 1A-1D는 LELE(litho-etch-litho-etch) 프로세스로 흔히 불리는 DPL(double patterning lithography) 기술을 도시한다. 도 1A는 실리콘 베이스 층(10), 실리콘 디옥사이드와 같은 계면 층(11), 디바이스 층(12), 하드 마스크 층(13), 희생 층(14) 및 리소그래피 패터닝 단계로부터 기인한 패터닝된 레지스트 층(15)을 도시한다. 도 1에 도시된 구조는, 그 후 노광 및 에칭 단계를 거치게 되고, 그 결과 도 1B에 도시된 구조가 된다. 이 구조에서, 레지스트 층(15)의 패턴은 하드 마스크 층(13)으로 효과적으로 전사되었다. 희생 층(14) 및 패터닝된 레지스트 층(15)은 모두 제거되었다. 다수의 증착 및 리소그래피 단계들이 사용되어 도 1C에 도시된 구조에 도달한다. 도 1C는 하드 마스크 층(13)의 상부에 구축된 다른 희생 층(16) 및 패터닝된 레지스트 층(17)을 도시한다. 패터닝된 레지스트 층(17)은 제1 패터닝된 레지스트 층(15)과 동일한 피치를 갖는 패턴을 포함하고, 또한 하드 마스크 층(13) 내로 에칭된 패턴과 동일한 피치를 갖는 패턴을 포함한다. 그러나, 패터닝된 레지스트 층(17)은 패터닝된 레지스트 층(17)의 피치의 절반만큼 하드 마스크 층(13)의 패턴으로부터 오프셋된다. 도 1C에 도시된 구조는 그 후 노광 및 에칭 단계를 거치게 되고, 그 결과 도 1D에 도시된 구조가 된다. 이 구조에서, 레지스트 층(17)의 패턴은 하드 마스크 층(13)으로 효과적으로 전사되었다. 희생 층(16) 및 패터닝된 레지스트 층(17)은 모두 제거되었다. 도 1D는 리소그래피 시스템의 마스크에 의해 생성되는 패터닝된 레지스트 층(15 및 17)의 피치의 2배인 하드 마스크(13)로 에칭된 패턴을 도시한다.
또한, 또한 도 1D는 최적화되지 않은 DPL 프로세스의 효과를 묘사한다. 이상적으로, 이중 패터닝된 구조의 공칭 피치(nominal pitch)는 일정한 값, P이어야 한다. 그러나, DPL 프로세스의 불완전성 때문에, 결과 구조의 피치는 격자 불균일성으로 인해 위치에 따라 달라질 수 있다. 이것은 일반적으로 "피치 워크(pitch walk)"라고 불린다. 공칭 피치(P)로부터의 편차(variation)가 도 1D의 ΔP로서 도시된다. 다른 예에서, 각 결과 구조의 임계 치수(critical dimension)는 동일한 공칭 값, CD이어야 한다. 그러나, DPL 프로세스의 불완전성으로 인해, 결과 구조의 임계 치수(예를 들어 중간 임계 치수, 하부 임계 치수 등)는 위치에 따라 다를 수 있다. 원하는 임계 치수, CD로부터의 편차는 도 1D에서 ΔCD로서 도시된다.
피치 워크 및 ΔCD는 2개의 리소그래피 층 사이의 오정렬, 리소그래피 프로세스의 초점 및 노광의 불균일성, 마스크 패턴 오차 등과 같은 DPL 프로세스의 불완전성에 의해 야기된 예시적인 기하학적 오차이다. 피치 워크 및 ΔCD는 모두 예상보다 더 큰 단위 셀을 도입한다. 피치 워크 및 ΔCD가 특히 기술되지만, 다른 다중 패터닝 오차가 고려될 수 있다.
LELE 프로세스가 도 1A-1D를 참조하여 설명되지만, 유사한 오차들을 유도하는 많은 다른 다중 패터닝 프로세스들(예를 들어, 리소-리소-에칭(ltho-litho-etch), 다중 리소-에칭 패터닝, 스페이서 정의 다중 패터닝 등)이 고려될 수 있다. 유사하게, 더블 패터닝 프로세스가 도 1A-1D를 참조하여 기술되었지만, 4중 패터닝과 같은 고차(higher-order) 패터닝 프로세스에서 유사한 오차가 발생한다. 일반적으로, 피치 워크 및 ΔCD와 같은 오차는 고차원 패터닝 프로세스로 인해 발생하는 구조에서 더 두드러진다.
계측 프로세스는 웨이퍼 상의 결함을 검출하여 더 높은 수율을 촉진시키기 위하여 반도체 제조 프로세스 중에 다양한 단계에서 사용된다. 광학 계측 기술은 샘플 파괴 위험 없이 높은 쓰루풋 측정의 가능성을 제공한다. 스캐터로메트리(scatterometry) 및 리플렉토메트리(reflectometry) 구현 및 관련 분석 알고리즘을 비롯한 여러 광학 계측 기반 기술이 임계 치수, 막 두께, 조성, 및 나노 스케일 구조의 다른 파라미터를 특징 짓기 위해 흔히 사용된다.
반도체 제조 프로세스를 제어하는 일반적인 접근법은 제조 프로세스에서 각각의 중요한(critical) 프로세스 단계 다음에 계측 툴을 사용하는 것이다. 일반적으로, 중요한 단계들이 보다 조밀하게 샘플링되므로, 프로세스 제어가 향상된다. 그러나, 각 프로세스 단계 후에 계측 단계를 삽입하는 것은 제조 시간 및 비용 모두에서 고가이다. 첨단 기술 노드의 경우 중요한 프로세스 단계의 수가 증가함에 따라, 각 중요한 프로세스 단계 이후에 계측 단계를 삽입하는 것은 비용 때문에 어렵게 되었다(cost prohibitive). 또한, 각 계측 노드에서, 중요한 프로세스 단계의 총 수와 비교하여 비용 효과적인 방식으로 측정될 수 있는 중요한 프로세스 단계의 수는 감소하고 있다.
계측 단계가 LELE 다중 패터닝 프로세스와 같은 첨단 기술 노드에 대한 프로세스 흐름에서 제거됨에 따라, 계측 결과는 모든 개재 프로세스 단계에 대한 프로세스 제어의 목적 상 비효율적이게 된다. 예를 들어, LELE 다중 패터닝 프로세스에서, 계측 단계는 마지막 프로세스 단계 후에만 수행될 수 있다. 이 측정의 결과는 이전 프로세스 단계들이 아니라, 최종 프로세스 단계를 보정하는 데 효과적으로 사용될 수 있다. 이러한 제한은 다중 계측 단계가 사용되는 경우에도 존재한다. 계측 이전의 최종 프로세스 단계는 효과적으로 보정될 수 있지만, 현재의 계측은 다른 모든 프로세스 단계를 보정하기 위한 충분한 측정 정보를 제공하지 못한다.
특히, 다중 프로세스 단계들 및 다중 패터닝 프로세스들에 의해 생성된 구조들의 측정을 포함하는 계측 애플리케이션들은 계측 삽입 포인트의 수에 대한 실질적인 제한으로 인해 문제점을 제시한다. 점차적으로 작은 해상도 요구 사항, 다중 파라미터 상관 관계, 점차 복잡한 기하 구조 및 불투명 소재의 사용 증가는 이 문제를 복잡하게 만들고, 첨단 제조 프로세스의 제어에 있어 바람직하지 않은 갭을 남긴다. 따라서, 첨단 제조 프로세스의 개선된 프로세스 제어를 위한 방법 및 시스템이 요구된다.
시편의 측정 및 시편의 제조에 사용된 하나 이상의 이전 프로세스 단계로부터의 프로세스 정보에 기초하여 다중 패터닝 반도체 제조 프로세스에서 프로세스 유발(process induced) 오차를 측정하기 위한 방법 및 시스템이 본 명세서에 제시된다. 측정된 오차를 기반으로, 보정된 프로세스 파라미터 값이 적절한 프로세스 툴에 전달되어 프로세스 성능을 향상시킨다. 이러한 방식으로, 계측 툴은 선행하는 프로세스 단계 중 임의의 단계를 수행하기 위해 사용된 하나 이상의 프로세스 툴에 대한 보정을 제공한다.
계측 시스템은 선행하는 프로세스 단계들 중 임의의 단계를 수행하기 위해 사용된 임의의 프로세스 툴로부터 프로세스 정보를 수신한다. 프로세스 툴은 리소그래피 툴, 에칭 툴, 증착 툴, CMP(chemical mechanical planarization) 툴 등을 포함한다. 계측 툴에 의해 수신된 프로세스 정보는 프로세스 제어 파라미터, 프로세스 툴 설정 파라미터, 프로세스 환경 파라미터, 프로세스 툴에 탑재된 센서들로부터 수집된 프로세스 데이터, 프로세스 툴에 탑재된 센서들로부터 수집된 계측 데이터 등을 포함하지만 이에 한정되지는 않는다.
일 양태에서, 계측 툴은 다수의 프로세스 단계들이 실행된 후에 계측 단계에서 사용된다. 계측 툴은 물리적 상태에서의 웨이퍼 상에서 계측 타겟의 관심 구조 파라미터(structural parameter of interest)를 측정하고, 보정 가능한 프로세스 파라미터 값을 하나 이상의 이전 프로세스 단계에서 관련된 하나 이상의 프로세스 툴에 전달한다. 적절한 프로세스 툴에 의해 실행될 때, 보정 가능한 프로세스 파라미터 값은 프로세스 흐름에 의해 제조된 구조의 기하 구조에서 프로세스 유발 오차를 감소시킨다.
또 다른 양태에서, 다중 계측 툴은 프로세스 흐름에서 하나 이상의 프로세스 단계로부터의 프로세스 정보와 조합하여 제조 프로세스를 제어하는데 사용된다. 프로세스 정보 외에도, 프로세스 흐름에 삽입된 추가 계측 단계로부터의 계측 정보가 구조의 계측을 향상시키고 프로세스 제어를 향상시키기 위해 또한 사용된다.
일부 실시 예에서, 계측 툴은 측정 데이터(예를 들어, 측정된 스펙트럼) 및 프로세스 정보로부터 관심 구조 파라미터의 값을 추정하기 위해 물리적 기반 측정 모델을 사용한다.
일부 다른 실시 예에서, 계측 툴은 측정 데이터(예를 들어, 측정된 스펙트럼) 및 프로세스 정보로부터 관심 구조 파라미터의 값을 추정하기 위해 입력-출력 측정 모델을 사용한다. 이 모델에는 신호 응답 계측 모델, 신경망 모델, 지원 벡터 머신 모델 등이 포함된다.
다른 추가적인 양태에서, 신호 응답 계측(signal response metrology, SRM) 측정 모델은 하나의 다중 타겟 세트에 통합된 다중 타겟으로부터의 측정 신호들 및 관련 프로세스 정보에 대해 트레이닝되고, 동일한 다수의 타겟으로부터의 측정 신호들에 대해 작동한다. 이 접근법은 중요한 파라미터들을 서로로부터 및 다른 프로세스 편차로부터 한다.
일부 실시 예에서, 보조 타겟은 주요 측정 타겟 옆에 위치하며, 동일한 프로세스 편차에 종속한다. 이들 실시 예에서, 계측 타겟의 트레이닝 세트는 주요 공칭 치수 타겟 및 관심 파라미터의 상이한 공칭 값을 갖는 하나 이상의 보조 타겟을 포함한다.
전술한 내용은 개요이므로, 필요에 따라 세부 사항의 단순화, 일반화 및 생략을 포함한다; 결론적으로, 당업자는 본 개요가 단지 예시적인 것이며 어떠한 방식으로도 제한하지 않음을 이해할 것이다. 본 명세서에 기재된 디바이스 및/또는 프로세스의 다른 양태, 진보된 피처들 및 이점은 본 명세서에 설명된 비-제한적인 상세한 설명에서 명백해질 것이다.
도 1A-1D는 일반적으로 LELE(litho-etch-litho-etch) 프로세스로 지칭되는 DPL(double patterning lithography) 기술의 선택된 단계들을 도시한다.
도 2는 일련의 제조 프로세스 단계 및 단일 계측 단계를 포함하는 제조 프로세스 흐름(100)을 도시한다.
도 3은 도 2에 도시된 프로세스 흐름(100)의 특정 예에 의해 생성된 트렌치 형성의 예를 도시한다.
도 4는 일련의 제조 프로세스 단계 및 2 개의 계측 단계를 포함하는 제조 프로세스 흐름(200)을 도시한다.
도 5는 자가 정렬 8회 패터닝(self-aligned octuplet patterning) 프로세스의 예시적인 프로세스 흐름(300)을 도시한다.
도 6은 도 5에 도시된 프로세스 흐름(300)의 특정 예에 의해 생성된 핀 스페이서 형성의 예를 도시한다.
도 7은 웨이퍼 표면 위의 다양한 측정 지점에 위치된 다수의 계측 타겟을 갖는 반도체 웨이퍼(130)를 도시한다.
도 8은 본 명세서에 제시된 예시적인 방법에 따라 시편의 특성을 측정하기 위한 시스템(500)을 도시한다.
도 9는 측정치 및 프로세스 정보에 기초하여 다중 패터닝 프로세스에 의해 유도된 기하학적 오차들을 특징 짓는 하나 이상의 파라미터 값을 결정하는 방법(500)을 나타내는 흐름도이다.
이제, 배경 기술의 예들과 본 발명의 일부 실시 예들에 대해 상세히 언급할 것이며, 그 예들은 첨부된 도면들에 도시되어 있다.
다중 단계들 중 하나 이상으로부터의 프로세스 정보에 부분적으로 기초하여 다중 패터닝 반도체 제조 프로세스에서 다수의 단계들 후에 프로세스 유발 오차들을 측정하기 위한 방법 및 시스템이 본 명세서에 제시된다. 측정된 오차에 기초하여, 보정된 프로세스 파라미터 값이 적절한 프로세스 툴에 전달되어 프로세스 성능이 개선된다. 이러한 방식으로, 계측 툴은 계측 툴에 의한 측정 전에 최종 프로세스 단계를 수행하기 위해 사용된 프로세스 툴뿐만 아니라, 임의의 선행하는 프로세스 단계를 수행하기 위해 사용되는 하나 이상의 프로세스 툴에 대한 보정을 제공한다.
계측 시스템은 선행하는 프로세스 단계들 중 임의의 단계를 수행하기 위해 사용된 임의의 프로세스 툴로부터 프로세스 정보를 수신한다. 프로세스 툴은 리소그래피 툴, 에칭 툴, 증착 툴, CMP 툴 등을 포함한다. 계측 툴에 의해 수신된 프로세스 정보는 프로세스 제어 파라미터, 프로세스 툴 설정(set-up) 파라미터, 프로세스 환경 파라미터, 프로세스 툴에 탑재된 센서들로부터 수집된 프로세스 데이터, 프로세스 툴에 탑재된 센서들로부터 수집된 계측 데이터 등을 포함하지만, 이에 한정되지는 않는다. 일부 예에서, 프로세스 툴은 계측 툴에 전달되는 프로세스 정보를 측정하기 위한 통합 계측 센서들을 포함한다. 예를 들어, 리소그래피 툴은 웨이퍼 기하 구조를 측정하기 위한 광학 반사계(optical reflectometer)를 포함할 수 있다. 다른 예에서, 에칭 툴은 에칭 툴의 플라즈마 소스를 모니터링하고 제어하기 위한 광학 방출 분광(optical emission spectroscopy) 센서를 포함할 수 있다. 이러한 센서만으로는 디바이스 구조의 전체 계측을 가능하게 하는 정보를 제공하기에 충분하지 않다. 그러나, 본 발명자들은 계측 툴에 의해 생성된 계측 신호와 결합된 이들 신호가 다른 경우라면 제어되지 않을 다중 단계 제조 프로세스의 계측 및 프로세스 제어를 가능하게 한다는 것을 발견했다.
도 2는 리소그래피 단계(101), 에칭 단계(102), 다른 리소그래피 단계(103), 다른 에칭 단계(104), 및 마지막으로 계측 단계(105)를 포함하는 일련의 제조 프로세스 단계를 포함하는 제조 프로세스 흐름(100)을 도시한다. 제조 프로세스 내의 특정 물리적 상태(114)의 인커밍(incoming) 웨이퍼는 리소그래피 단계(101)에 의해 리소그래피 프로세스의 결과로서 상이한 물리적 상태(115)로 변환된다. 유사하게, 에칭 단계(102)는 웨이퍼를 상태(115)로부터 상태(116)로 변환시키고, 리소그래피 단계(103)는 웨이퍼를 상태(116)로부터 상태(117)로 변환시키고, 에칭 단계(104)는 웨이퍼를 상태(117)로부터 상태(118)로 변환시킨다.
일 양태에서, 계측 툴은 계측 단계(105)에서 사용되어, 물리적 상태(118)에서의 웨이퍼 상의 계측 타겟의 관심 구조 파라미터를 측정하고 보정 가능한 프로세스 파라미터 값을 프로세스 단계들(101-104) 중 하나 이상에 관련된 하나 이상의 프로세스 툴로 전달한다. 적절한 프로세스 툴에 의해 실행될 때, 보정 가능한 프로세스 파라미터 값은 프로세스 흐름(100)에 의해 제조된 구조의 기하 구조에서 프로세스 유발 오차를 감소시킨다.
도 2에 도시된 바와 같이, 프로세스 정보(106)는 리소그래피 단계(101)를 실행하는데 사용되는 리소그래피 툴로부터 계측 단계(105)를 실행하는데 사용되는 계측 툴로 전달된다. 마찬가지로, 프로세스 정보(107)는 에칭 단계(102)를 실행하는데 사용되는 에칭 툴로부터 계측 툴로 전달되고, 프로세스 정보(108)는 리소그래피 단계(103)를 실행하는데 사용되는 리소그래피 툴로부터 계측 툴로 전달되고, 프로세스 정보(109)는 에칭 단계(104)를 실행하는데 사용되는 에칭 툴로부터 계측 툴로 전달된다.
그러나, 도 2에 도시된 바와 같이, 프로세스 흐름(100)의 각 프로세스 단계로부터의 프로세스 정보는 계측 툴로 전달되고, 일반적으로, 임의의 하나 이상의 프로세스 단계로부터의 프로세스 정보가 계측 툴로 전달될 수 있다.
도 2에 도시된 바와 같이, 계측 툴은 계측 단계(105)에서 계측 툴에 의해 측정된 상태(118)의 웨이퍼의 하나 이상의 구조 파라미터의 값 및 수신된 프로세스 정보(예를 들어, 프로세스 정보(106-109) 중 임의의 것)에 기초하여 보정 가능한 프로세스 파라미터 값을 생성한다. 예를 들어, 도 2에 도시된 바와 같이, 보정 가능한 프로세스 파라미터 값(110)은 리소그래피 단계(101)를 실행하는데 사용되는 리소그래피 툴로 전달된다. 유사하게, 보정 가능한 프로세스 파라미터 값(111)은 에칭 단계(102)를 실행하는데 사용되는 에칭 툴에 전달되고, 보정 가능한 프로세스 파라미터 값(112)은 리소그래피 단계(101)를 실행하는데 사용되는 리소그래피 툴에 전달되고, 보정 가능한 프로세스 파라미터 값(113)은 에칭 단계(104)를 실행하는데 사용되는 에칭 툴로 전달된다.
도 2에 도시된 바와 같이, 보정 가능한 프로세스 파라미터 값은 프로세스 흐름(100)의 각 프로세스 단계를 실행하는 툴에 전달되지만, 일반적으로 보정 가능한 프로세스 파라미터 값은 임의의 하나 이상의 프로세스 단계를 실행하는 툴에 전달될 수 있다.
도 3은 도 2에 도시된 프로세스 흐름(100)의 특정 예에 의해 생성된 트렌치 형성의 예를 도시한다. 도 3은 LELE 프로세스 흐름(100) 내의 트렌치 형성의 각 상태에서의 계측 타겟을 도시한다. (리소그래피 단계(101) 이후) 물리적 상태(115)에서, 관심 구조는 베이스 층(121), 디바이스 층(122) 및 패터닝된 레지스트 층(123)을 포함한다. (에칭 단계(102) 후의) 물리적 상태(116)에서 패터닝된 레지스트 층(123)은 리소그래피 단계(101) 동안 광에 노출된 디바이스 층(122)의 일부와 함께 완전히 제거된다. 이 시점에서, 디바이스 층의 제1 트렌치 피처가 형성된다. 물리적 상태(117)에서, 희생 층(124) 및 다른 패터닝된 레지스트 층(125)이 리소그래피 단계(103) 동안 추가된다. 물리적 상태(118)에서, 패터닝된 레지스트 층(125), 희생 층(124) 및 디바이스 층(122)의 다른 부분이 에칭 단계(104)에 의해 제거된다. 이 시점에서, 디바이스 층의 제2 트렌치 피처가 형성되고, 결과적인 구조는 계측 단계(105)에서 계측 툴에 의해 측정된다.
이 예에서, 계측 툴은 각각의 트렌치 피처(CD1 및 CD2)와 관련된 임계 치수를 측정할 수 있다. 그러나, 추가적인 프로세스 정보 없이, 계측 시스템은 어느 트렌치 피처가 어떤 리소그래피 단계에 의해 생성되었는지를 결정할 수 없다. 이 예에서, 용량(dosage) 정보(106)는 리소그래피 단계(101)를 실행하는데 사용되는 리소그래피 툴로부터 계측 단계(105)를 실행하는 계측 툴로 전달된다. 또한, 용량 정보(108)는 리소그래피 단계(103)를 실행하는데 사용되는 리소그래피 툴로부터 계측 툴로 전달된다. 수신된 용량 정보에 기초하여, 계측 툴은 각각의 트렌치를 그 특정 트렌치를 생성한 대응 리소그래피 단계와 연관시킨다. 이 예에서, 리소그래피 단계(101)에서의 더 큰 용량(즉, Dosel > Dose2)은 더 큰 임계 치수(즉, CD1 > CD2)를 초래한다. 이러한 방식으로, 치수(CD1)를 갖는 제1 트렌치는 리소그래피 단계(101)와 연관되고, 치수(CD2)를 갖는 제2 트렌치는 리소그래피 단계(103)와 연관된다.
또 다른 양태에서, 계측 툴은 보정 가능한 프로세스 파라미터 값(110)을 생성하고 리소그래피 단계(101)를 실행하는 리소그래피 툴에 전달하여 트렌치(1)의 치수를 보정한다. 마찬가지로, 계측 툴은 보정 가능한 프로세스 파라미터 값(112)을 생성하고 리소그래피 단계(103)를 실행하는 리소그래피 툴로 전달하여, 트렌치(2)의 치수를 보정한다.
일부 예에서, 계측 툴은 관심 구조 파라미터의 측정을 수행할 수 있다. 예를 들어, 일부 실시 예에서 계측 툴은 도 2를 참조하여 기술된 바와 같이 CD1 및 CD2를 독립적으로 측정할 수 있다. 그러나, 몇몇 다른 예들에서, 계측 툴은 모든 관심 파라미터를 독립적으로 측정할 수는 없다. 측정된 신호가 대개는 위치보다는 체적 변화에 민감하기 때문에 꽤 자주 계측 툴은 평균 트렌치 크기(즉, (CD1 + CD2) / 2)를 측정할 수 있다. 이 예에서, 계측 툴에 의해 수신된 프로세스 정보는 CD1 및 CD2 모두의 측정을 독립적으로 가능하게 한다. 이러한 방식으로, 계측 신호 정보와 조합된 프로세스 정보는 향상된 프로세스 제어뿐만 아니라 향상된 계측 능력을 가능하게 한다.
도 2는 LELE, 즉(LE)2 제조 프로세스 흐름을 도시한다. 그러나, 일반적으로, 본 명세서에 기술된 방법 및 시스템은 N 개의 리소-에칭 단계, 임의의 자가 정렬 다중 패터닝 기술 등을 포함하는 (LE)N 제조 프로세스 흐름과 같은 임의의 다중 패터닝 프로세스 흐름에 적용될 수 있으며, 여기서 N은 임의의 양의 정수이다.
또 다른 양태에서, 다중 계측 툴은 프로세스 흐름의 하나 이상의 프로세스 단계로부터의 프로세스 정보와 조합하여 제조 프로세스를 제어하는데 사용된다. 도 2를 참조하여 기술된 프로세스 정보에 부가하여, 프로세스 흐름으로부터의 계측 정보 및 프로세스 흐름에 삽입된 추가 계측 단계가 또한 사용되어, 구조의 계측을 향상시키고 프로세스 제어를 향상시킨다.
도 4는 일련의 제조 프로세스 단계들 및 2 개의 계측 단계들을 포함하는 제조 프로세스 흐름(200)을 도시한다. 유사한 번호의 요소는 도 2를 참조하여 설명된 요소와 유사하다. 도 4에 도시된 바와 같이, 프로세스 흐름(200)은 도 2를 참조하여 기술된 바와 같이, 리소그래피 단계들(101 및 103), 및 에칭 단계들(102 및 104)을 포함한다. 그러나, 또한, 프로세스 흐름(200)은 2 개의 계측 단계를 포함한다. 계측 단계(201)는 프로세스 흐름(200)의 중간에 삽입되고 계측 단계(202)는 프로세스 흐름(200)의 끝에서 수행된다.
일 양태에서, 물리적 상태(117)에서의 웨이퍼는 계측 단계(201)를 실행하는 계측 툴에 의해 측정되고, 이들 측정 결과의 표시는 프로세스 흐름(200)의 끝에서 계측 단계(202)를 실행하는 계측 툴로 전달된다. 그 결과, 계측 단계(202)에서, 계측 툴이 사용되어, 이전의 프로세스 단계(즉, 단계(101-104)) 중 임의의 것으로부터 수신된 프로세스 정보 및 중간 계측 단계(201)로부터 수신된 측정 결과(203)에 기초하여 물리적 상태(118)에 있는 웨이퍼 상의 계측 타겟의 관심 구조 파라미터를 측정한다.
도 2를 참조하여 설명한 바와 같이, 계측 단계(202)를 실행하는 계측 툴은 계측 단계(202)에서 얻어진 측정 결과에 기초하여 프로세스 흐름(200)의 하나 이상의 프로세스 단계에 대한 보정 가능한 프로세스 파라미터 값을 생성한다. 보정 가능한 프로세스 파라미터 값은 하나 이상의 프로세스 단계(101-104)에 관련된 하나 이상의 프로세스 툴에 전달된다. 적절한 프로세스 툴에 의해 실행될 때, 보정 가능한 프로세스 파라미터 값은 프로세스 흐름(200)에 의해 제조된 구조의 기하 구조에서 프로세스 유발 오차를 감소시킨다.
도 2 내지 도 4는 (LE)N 유형 다중 패터닝 프로세스의 예를 도시한다. 그러나, 또한 본 명세서에 기술된 계측 및 프로세스 제어 기술은 자가 정렬 다중 패터닝 프로세스에도 적용 가능하다. 첨단 프로세스 노드(예컨대, 5 나노미터 및 3.5 나노미터 프로세스 노드)는 원하는 핀 피치(fin pitch)를 달성하기 위해 복잡한 패터닝 스킴을 필요로 한다. 예를 들어, 20 나노미터 미만의 핀 피치를 달성하기 위해서는 SAOP(self-aligned octuplet patterning) 프로세스가 필요할 수 있다.
도 5는 SAOP 프로세스의 예시적인 프로세스 흐름(300)을 도시한다. 프로세스 흐름(300)은 리소그래피 단계 다음에 에칭 단계 및 증착 단계의 반복 시퀀스를 포함한다. 도 5에 도시된 바와 같이, 제조 프로세스 내의 특정 물리적 상태(310)에 있는 인커밍 웨이퍼는 리소그래피 단계(301)에 의해 리소그래피 프로세스의 결과로서 상이한 물리적 상태(311)로 변환된다. 마찬가지로, 에칭 단계(302)는 웨이퍼를 상태(311)로부터 상태(312)로 변환시키고, 증착 단계(303)는 웨이퍼를 상태(312)로부터 상태(313)로 변환시키고, 에칭 단계(304)는 웨이퍼를 상태(313)로부터 상태(314)로 변환시키고, 증착 단계(305)는 웨이퍼를 상태(314)로부터 상태(315)로 변환시키고, 에칭 단계(306)는 웨이퍼를 상태(315)로부터 상태(316)로 변환시키고, 증착 단계(307)는 웨이퍼를 상태(316)로부터 상태(317)로 변환시키며, 에칭 단계(308)는 웨이퍼를 상태(317)로부터 상태(318)로 변환시킨다.
일 양태에서, 계측 툴이 계측 단계(309)에서 이용되어, 물리적 상태(318)에 있는 웨이퍼 상의 계측 타겟의 관심 구조 파라미터를 측정하고, 보정 가능한 프로세스 파라미터 값을 하나 이상의 프로세스 단계(301-308)에 관련된 하나 이상의 프로세스 툴에 전달한다. 적절한 프로세스 툴에 의해 실행될 때, 보정 가능한 프로세스 파라미터 값은 프로세스 흐름(300)에 의해 제조된 구조의 기하 구조에서 프로세스 유발 오차를 감소시킨다.
도 5에 도시된 바와 같이, 프로세스 정보(319)는 리소그래피 단계(301)를 실행하기 위해 사용된 리소그래피 툴로부터 계측 단계(309)를 실행하기 위해 사용된 계측 툴로 전달된다. 유사하게, 프로세스 정보(320)는 에칭 단계(302)를 실행하는데 사용되는 에칭 툴로부터 계측 툴로 전달되고, 프로세스 정보(321)는 증착 단계(303)를 실행하는데 사용되는 증착 툴로부터 계측 툴로 전달되고, 프로세스 정보(322)는 에칭 단계(304)를 실행하는데 사용되는 에칭 툴로부터 계측 툴로 전달되고, 프로세스 정보(323)는 증착 단계(305)를 실행하는데 사용되는 증착 툴로부터 계측 툴에 전달되고, 프로세스 정보(324)는 에칭 단계(306)를 실행하는데 사용되는 에칭 툴로부터 계측 툴에 전달되고, 프로세스 정보(325)는 증착 단계(307)를 실행하는데 사용되는 증착 툴로부터 계측 툴에 전달되고, 프로세스 정보(326)는 에칭 단계(308)를 실행하는데 사용되는 에칭 툴로부터 계측 툴에 전달된다.
그러나, 도 5에 도시된 바와 같이, 프로세스 흐름(300)의 각 프로세스 단계로부터의 프로세스 정보는 계측 툴로 전달되지만, 일반적으로, 임의의 하나 이상의 프로세스 단계로부터의 프로세스 정보가 계측 툴로 전달될 수 있다.
도 5에 도시된 바와 같이, 계측 단계(309)에서 계측 툴에 의해 측정된 상태(318)의 웨이퍼의 하나 이상의 구조 파라미터의 값 및 수신된 프로세스 정보(예를 들어, 임의의 프로세스 정보(319-326))에 기초하여, 보정 가능한 프로세스 파라미터 값을 생성한다. 예를 들어, 도 5에 도시된 바와 같이, 보정 가능한 프로세스 파라미터 값(327)은 리소그래피 단계(301)를 실행하는데 사용되는 리소그래피 툴로 전달된다. 유사하게, 보정 가능한 프로세스 파라미터 값(328)은 에칭 단계(302)를 실행하는데 사용되는 에칭 툴에 전달되고, 보정 가능한 프로세스 파라미터 값(329)은 증착 단계(303)를 싱행하는데 사용되는 증착 툴로 전달되고, 보정 가능한 프로세스 파라미터 값(330)은 에칭 단계(304)를 실행하는데 사용되는 에칭 툴로 전달되고, 보정 가능한 프로세스 파라미터 값(331)은 증착 단계(305)를 실행하는데 사용되는 증착 툴로 전달되고, 보정 가능한 프로세스 파라미터 값(332)은 에칭 단계(306)를 실행하는데 사용되는 에칭 툴에 전달되고, 보정 가능한 프로세스 파라미터 값(333)은 증착 단계(307)를 실행하는데 사용되는 증착 툴에 전달되고, 보정 가능한 프로세스 파라미터 값(334)은 에칭 단계(308)를 실행하는데 사용되는 에칭 툴에 전달된다.
도 5에 도시된 바와 같이, 보정 가능한 프로세스 파라미터 값은 프로세스 흐름(300)의 각 프로세스 단계를 실행하는 툴에 전달되지만, 일반적으로 보정 가능한 프로세스 파라미터 값은 임의의 하나 이상의 프로세스 단계를 실행하는 툴에 전달될 수 있다.
도 6은 도 5에 도시된 프로세스 흐름(300)의 특정 예에 의해 생성된 핀 스페이서(fin spacer) 형성의 예를 도시한다. 도 6은 SAOP 프로세스 흐름(300) 내의 핀 스페이서 정보의 각 상태에서의 계측 타겟을 도시한다. (리소그래피 단계(301) 후의) 물리적 상태(311)에서, 관심 구조는 기판 층(330), 및 질화물 층(331, 333, 335) 및 산화물 층(332, 334 및 336)의 반복 시퀀스, BARC(bottom anti-reflective coating) 층(337) 및 패터닝된 레지스트 층(338)을 포함한다. (증착 단계(303) 후의) 물리적 상태(313)에서, 2 개의 스페이서 구조(335A-B)를 남기고, 패터닝된 레지스트 층(123) 및 BARC 층(337)은 질화물 층(335)의 일부와 함께 완전히 제거된다. 이 시점에서, 제1 세트의 핀 스페이서 구조가 형성된다. 물리적 상태(315)에서, 네 개의 스페이서 구조(333A-D)를 남기고 층들(334 및 335)이 질화물 층(333)의 일부와 함께 제거된다. 이 시점에서, 제2 세트의 핀 스페이서 구조가 형성된다. 물리적 상태(317)에서, 8 개의 스페이서 구조들(331A-H)을 남겨두고 층들(332 및 333)이 질화물 층(331)의 일부와 함께 제거된다. 이 시점에서, 제3 세트의 핀 스페이서 구조가 형성되고, 그 결과의 구조는 계측 단계(309)에서 계측 툴에 의해 측정된다.
이 예에서, 계측 툴은 각 핀 스페이서 피처(331A-H)와 관련된 임계 치수를 직접적으로 측정할 수 없다. 그러나, 추가적인 프로세스 정보로 계측 시스템은 각 핀 스페이서 피처의 치수 및 어느 핀 스페이서 피처가 각 프로세스 단계에 민감한지 결정할 수 있다. 이 예에서, 용량 정보(319)는 스페이서 임계 치수(CD1)의 표시(321), 스페이서 임계 치수(CD2)의 표시(323), 및 스페이서 임계 치수(CD3)의 표시(325)와 함께, 리소그래피 단계(301)를 실행하기 위해 사용된 리소그래피 툴로부터 계측 툴로 전달된다. 수신된 용량 및 치수 정보에 기초하여, 계측 툴은 각 핀 스페이서를 그 특정 핀 스페이서 피처를 생성한 대응 프로세스 단계와 관련시킨다. 이러한 방식으로 프로세스 정보로 계측을 강화하면, 단일 계측 툴을 사용하여 SAOP 프로세스를 제어할 수 있다.
일부 실시 예에서, 계측 툴은 측정 데이터(예를 들어, 측정된 스펙트럼)로부터 관심 구조 파라미터의 값을 추정하기 위해 물리적 기반 측정 모델을 사용한다. 물리적 모델 기반 측정을 사용하는 계측 기술은 전형적으로 패터닝된 구조의 파라미터화된 기하학적 모델을 필요로 한다. 예시적인 파라미터는 임계 치수, 피치 워크 또는 다른 관심 파라미터를 포함한다. 또한, 측정 중에 생성된 신호를 시뮬레이션하기 위해, 광학 시스템과 측정 중인 구조 사이의 상호 작용에 대한 정확한 전자기 모델이 필요하다. 측정된 신호에 대한 시뮬레이션된 신호의 비선형 회귀가 모델링된 구조의 파라미터를 결정하기 위해 적용된다. 이 접근법은 구조 및 재료 특성을 정확하게 모델링하는 것을 필요로 한다.
이들 실시 예들에서, 이전 프로세스 단계들을 실행하기 위해 사용된 툴들로부터 수신된 프로세스 정보는 측정 모델에 직접 공급된다. 일부 예에서, 측정 모델의 프로세스 파라미터 값은 프로세스 툴로부터 수신된 값으로 고정된다. 다른 예에서, 수신된 프로세스 정보는 모델 파라미터의 특정 값 또는 모델 파라미터 간의 수학적 관계에 도달하도록 추가 처리된다. 이러한 방식으로, 수신된 프로세스 정보는 측정 모델을 제한하고 파라미터 상관을 감소시키는데 이용된다.
일부 다른 실시 예에서, 계측 툴은 측정 데이터(예를 들어, 측정된 스펙트럼)로부터 관심 구조 파라미터의 값을 추정하기 위해 입력-출력 측정 모델을 사용한다. 이 모델에는 신호 응답 계측 모델, 신경망 모델, 지원 벡터 머신 모델 등이 포함된다.
또 다른 양태에서, 트레이닝된 입력-출력 측정 모델은 측정 신호 및 이전 프로세스 단계에서 측정 중인 샘플을 제조하기 위해 사용된 툴로부터 수신된 프로세스 정보에 기초하여 관심 구조 파라미터의 값을 추정하는데 이용된다. 측정 신호와 프로세스 정보의 조합은 측정 신호나 프로세스 정보 단독에 기초하여 달리 달성될 수 있는 것보다 중요한 피처를 분리하고 측정하는 데 필요한 더 많은 정보를 포함한다.
일부 예들에서, SRM 측정 모델은 이전 프로세스 단계들로부터의 프로세스 정보(예를 들어, 시뮬레이션된 프로세스 데이터 또는 DOE(Design of Experiments) 웨이퍼의 제조와 관련된 실제 프로세스 데이터) 및 (시뮬레이션되거나 실제의) 다중 패턴 계측 타겟을 포함한 측정 사이트로부터 수집된 원시 측정 데이터(예를 들어, 시뮬레이션된 스펙트럼 또는 DOE 웨이퍼로부터 수집된 스펙트럼)에 기초하여 생성된다. DOE 프로세스 정보 및 하나 이상의 다중 패터닝된 타겟의 스펙트럼 및 관심 파라미터의 대응 기준 측정치를 관련시키는 직접 입력-출력 모델(즉, 전달 함수(transfer function))을 구축하기 위해, 머신 러닝, 피처 추출 및 다른 기술이 이용된다. 일부 실시 예에서, 다중 패터닝된 계측 타겟들의 트레이닝 세트는 명목상으로 동일한 타겟들을 포함한다. 즉, 타겟들은 프로세스 편차로 인해 서로 다르다. 일부 실시 예에서, 관심 파라미터에 영향을 미치는 프로세스 편차는 모델 트레이닝의 목적을 위해 의도적으로 증폭된다.
일 예에서, 전달 함수는 프로세스 정보 및 스캐터로메트리 신호를 도 7에 도시된 SAQP 타겟(131)의 대응 CD-SEM 측정치와 관련시킨다. 각 관심 파라미터에 대해 SRM 모델이 생성되고, 동일한 모델이 다른 측정 사이트 대해 후속 측정을 수행하는 데 사용된다.
SRM 모델을 트레이닝하기 위해, 복수의 측정 사이트의 측정치들 및 이전 프로세스 단계들로부터의 대응 프로세스 정보와 관련된 소정 양의(an amount of) 원시 측정 데이터가 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 복수의 측정 사이트들 각각은 다중 패터닝 프로세스의 적어도 두 개의 패터닝 단계에 의해 생성되는 적어도 하나의 관심 파라미터에 의해 특징 지어지는 다중 패터닝된 계측 타겟을 포함한다. 관심 파라미터(들)의 값은 복수의 측정 사이트들 각각에 알려져있다.
모델 트레이닝의 목적 상, 측정 데이터는 설계 파라미터, 예를 들어 구조 또는 프로세스 파라미터에서의 공지된 섭동(perturbation)을 갖는 임의의 위치로부터 획득될 수 있다. 예를 들어, 이들 위치는 스크라이브 라인(scribe line) 내에, 디바이스 상에(on-device) 있거나, 예를 들어 리소그래피 노광 조건 또는 레티클 설계 특성이 다양한 값에 걸쳐 다르게 되는 웨이퍼상의 다른 위치에 있을 수 있다. 다른 예에서, 측정 데이터는 상이한 디바이스 위치(예를 들어, 조밀한 피처를 갖는 위치 및 고립된 피처를 갖는 위치 또는 마스크 상의 2 개의 상이한 CD를 갖는 위치)로부터 획득될 수 있다. 일반적으로 측정 데이터는 알려진 방식으로 교란된 상이한 위치들로부터 획득된다. 마스크 데이터, EDA(Equipment Data Acquisition) 데이터, 프로세스 데이터 등으로부터 섭동이 공지될 수 있다.
일 예에서, 초점, 노광 및 오버레이 중 임의의 것이 디바이스 또는 웨이퍼에 걸쳐 체계적으로 달라진다. 다른 예를 들면, 랜덤화된 FEM(Focus and Exposure Matrix)는 Izikson 등의 미국 특허 번호 제8,142,966호에 기술된 바와 같이 하부층(underlayer) 파라미터들과의 상관 관계를 감소시키기 위해 사용되며, 상기 특허의 전체 내용은 본 명세서에 참고로 포함된다.
선호되는 실시 예에서, 실제의 DOE 웨이퍼의 제조에 체계적인 편차의 세트가 구현된다. DOE 웨이퍼는 연속적으로 측정되어 원시 측정 데이터를 생성한다. 제조된 웨이퍼에는 시뮬레이션으로 쉽게 모델링될 수 없는 체계적인 오차가 포함된다. 예를 들어, 하부층(underlayer)의 효과는 실제 웨이퍼의 측정에 의해 보다 정확하게 포착된다. 하부층 기여(underlayer contribution)는 고정된 하부층 조건에 대한 제조 동안의 프로세스 파라미터, 예를 들어 초점 및 노광 편차를 보정함으로써, 측정 응답으로부터 상관 해제될 수 있다. 다른 예에서, 하부층 기여는 다양한 상부층(top layer) 토포그래피 및 일정한 하부층 조건을 갖는 피처로부터 다수의 데이터 세트를 취함으로써 완화될 수 있다. 일 예에서, 상부층은 주기적 구조를 포함할 수 있고, 하부층은 비-주기적일 수 있다.
측정 위치는 측정 감도를 높이기 위해 선택될 수 있다. 일 예에서, 라인 단부에서 수행된 측정은 초점의 변화에 가장 민감하다. 일반적으로, 측정은 측정될 파라미터의 변화에 가장 민감한 구조에서 행해져야 한다.
DOE 웨이퍼의 실제측정을 수행하는 것이 바람직하지만, 몇몇 다른 예에서는 상이한 공지된 구조 파라미터 값에 대한 DOE 웨이퍼의 프로세스 정보 및 측정 응답이 시뮬레이션될 수 있다. 이 예에서 프로세스 정보와 원시 측정 데이터는 종합적으로 생성된다. 예를 들어, (미국) 캘리포니아주, 밀피타스 소재의 KLA-텐코(KLA-Tencor Corporation)에서 입수할 수 있는 PROLITH(Positive Resist Optical Lithography) 시뮬레이션 소프트웨어와 같은 프로세스 시뮬레이터를 사용할 수 있다. 일반적으로, 임의의 프로세스 모델링 기술 또는 툴(예컨대, 미국 노스캐롤라이나주 캐리 소재의 코벤터(Coventor, Inc)로부터 이용 가능한 코벤터 시뮬레이션 소프트웨어)은 이 특허 문헌의 범위 내에서 고려될 수 있다.
일부 예에서, 원시 측정 데이터는 상이한 측정 사이트에서 얻어진 스펙트럼 범위에 걸쳐 2 개의 엘립소메트릭 파라미터(Ψ, Δ)를 포함한다. 그러나, 일반적으로, 측정 데이터는 반도체 웨이퍼의 표면 상에 패터닝된 구조의 구조적 또는 기하학적 특성을 나타내는 임의의 측정 데이터일 수 있다.
일부 예에서, 측정 데이터는 프로세스 파라미터의 시뮬레이션 및 DOE 웨이퍼(예를 들어, 웨이퍼(130))의 표면 상의 측정 사이트의 대응하는 측정과 관련된다. 예를 들어, 측정 데이터는 각각의 측정 사이트와 관련된 다중 패턴 계측 타겟과 관련된 시뮬레이션된 스펙트럼 측정치를 포함할 수 있다.
일부 다른 예에서, 측정 데이터는 DOE 웨이퍼(예를 들어, 웨이퍼(130))의 표면 상의 측정 사이트의 실제 측정과 관련된다. 측정 데이터는 각 측정 사이트와 관련된 다중 패턴 계측 타겟와 관련된 실제 스펙트럼 측정치를 포함한다.
일부 예들에서, 측정 데이터는 DOE 웨이퍼 상의 복수의 측정 사이트들의 측정들과 연관되고, 다중 패터닝된 계측 타겟을 특징 짓는 관심 파라미터(들)는 각 측정 사이트에서의 기준 측정 시스템에 의해 측정된다. 기준 계측 시스템은 SEM(Scanning Electron Microscope), TEM(Tunneling Electron Microscope), AFM(Atomic Force Microscope) 또는 SAXS(Small-Angle X-Ray Scatterometer) 또는 XRF(X-Ray Fluorescence) 시스템과 같은 x-레이 측정 시스템과 같이, 파라미터 값을 정확하게 측정 할 수 있는 신뢰성 있는 계측 시스템이다. 그러나 일반적으로 기준 계측 시스템은 일반적으로 예를 들어 낮은 쓰루풋, 개별 사이트 측정에 대한 높은 측정 불확실성 등으로 인하여 인라인(inline) 계측 시스템으로서 작동하는 기능이 부족하다.
일부 실시 들에서, 프로세스 편차들 및 대응하는 파라미터 편차들은 예를 들어 도 7을 참조하여 본 명세서에 기술된 바와 같이, 반도체 웨이퍼(예를 들어, DOE 웨이퍼)의 표면 상의 DOE 패턴으로 조직화된다. 이러한 방식으로, 측정 시스템은 상이한 프로세스 및 대응 구조 파라미터 값에 대응하는 웨이퍼 표면 상의 상이한 위치를 질의(interrogate)한다. 도 7을 참조하여 설명한 예에서, 측정 데이터는 도 6에 도시된 CD1 및 CD2의 공지된 편차로 처리된 DOE 웨이퍼와 관련된다. 예를 들어, CD1는 리소그래피 중에 용량을 변화시킴으로써 변화되고, CD2는 스페이서(335A-B)의 두께를 변화시킴으로써 변화된다. CD3은 (음영보다는 점선으로 도시된) 인접 유닛 셀과 관련된 스페이서(333D)와 스페이서(333E) 사이의 거리이다. CD3는 수학식 2에 의해 CD1 및 CD2와 관련된다.
Figure 112018107469662-pct00001
여기서, LithoPitch는 레지스트 격자 패턴의 미리 정의된 피치이고, Tspacer는 스페이서(333A-D)의 두께이다. 이 예에서, 용량 및 스페이서 두께는 원하는 파라미터 편차를 생성하기 위해 변화되지만, 일반적으로, 프로세스 파라미터(예를 들어, 리소그래피 초점, 노광 및 다른 로컬 또는 글로벌 파라미터들), 구조 파라미터, 또는 둘 모두의 임의의 알려진 편차와 관련된 측정 데이터가 고려될 수 있다.
도 7은 웨이퍼의 표면 위의 다양한 측정 사이트에 위치된 다수의 다이(예를 들어, 다이(133))를 갖는 반도체 웨이퍼(130)를 도시한다. 도 7에 도시된 실시 예에서, 다이는 묘사된 x 및 y 좌표 프레임(132)과 정렬된 직사각형 그리드 패턴으로 배열된 측정 사이트에 위치한다. 각각의 다이는 SAQP 계측 타겟(131)을 포함한다. 도 7에 도시된 실시 예에서, 각각의 다중 패터닝된 계측 타겟(131)은 다중 패터닝 프로세스의 후속 단계로부터 생성되는 적어도 하나 더 많은 개재된 라인 세트와 함께 제1 패터닝 단계로부터 생성된 라인 세트를 포함한다. 결과적으로, 각각의 다중 패터닝된 계측 타겟은 다중 패터닝된 유닛 셀(131)과 같은 스페이서 구조의 반복된 패턴을 갖는 격자 구조를 포함한다. 다중 패터닝된 유닛 셀(131)의 기하학적 구조는 도 6을 참조하여 설명된 바와 같이, CDI, CD2, CD3 및 피치 워크로 특징지어진다.
웨이퍼(130)는 상이한 공지된 구조 파라미터 값을 갖는 다이의 어레이를 포함한다. 따라서, CD1는 웨이퍼(130)상의 그 위치에 따라 상이한 알려진 값을 갖는다. 이러한 방식으로, 웨이퍼(130)는 DOE(Design of Experiments) 웨이퍼로 간주될 수 있다. DOE 웨이퍼는 하부 프로세스 윈도우로부터 발생할 것으로 예상되는 구조 파라미터 값(예를 들어, CD1)의 전체 범위에 걸치는 다중 패터닝된 계측 타겟의 매트릭스를 포함하는 것이 바람직하다. 도 7에 도시된 바와 같이, CD1의 값은 상이한 열(column)의 다이(x-방향으로의 열 인덱스)에 대해 변화한다. 이러한 방식으로, 웨이퍼(130)는 웨이퍼 상의 그들의 위치에 따라 상이한 값의 CD1을 포함하는 다이의 열을 포함한다. 또한, CD1의 값은 프로세스 윈도우로부터 발생할 것으로 예상되는 CD1의 값에 걸쳐 있다.
일부 실시 예에서, DOE 웨이퍼(130)와 유사한 DOE 웨이퍼들의 세트는 CD2의 상이한 알려진 공칭 값을 갖는 세트의 각 DOE 웨이퍼로 제조된다. CD2는 웨이퍼 전체에 영향을 주는 스페이서 두께 또는 에칭 조건을 변화시킴으로써 변화된다. 따라서, 각 DOE 웨이퍼는 CD2의 상이한 공칭 값을 산출하기 위해 약간 상이한 프로세스 조건 하에 제조된다. 세트의 각 DOE 웨이퍼는 웨이퍼(130)를 참조하여 여기서 전술한 바와 같이 CD1의 다양한 상이한 공지된 값의 범위를 포함한다.
일부 예에서, 원시 측정 데이터의 하나 이상의 피처는 측정 데이터의 치수를 감소시킴으로써 추출된다. 이러한 감소는 선택 사항이지만, 사용될 때, SRM 측정 모델은 추출된 하나 이상의 피처에 적어도 부분적으로 기초하여 결정된다. 유사하게, 이전 프로세스 단계들로부터 수신된 프로세스 정보의 하나 이상의 피처는 프로세스 정보의 치수를 감소시킴으로써 추출된다.
일반적으로, 측정 데이터, 프로세스 정보, 또는 둘 모두의 치수는 주성분 분석, 비선형 주성분 분석, 제2 양의(a second amount of) 측정 데이터로부터의 개별 신호의 선택, 및 상기 제2 양의 측정 데이터의 필터링을 포함하는 다수의 공지된 방법에 의해 감소될 수 있다.
일부 예에서, 주 성분 분석(Principal Components Analysis, PCA), 비선형 PCA, 커널 PCA, 독립 성분 분석(Independent Component Analysis, ICA), 고속 푸리에 변환 분석(Fast Fourier Transform analysis, FFT), 이산 코사인 변환 분석(Discrete Cosine Transform analysis, DCT), 또는 이들 기술의 조합을 사용하여 측정 데이터, 프로세스 정보 또는 둘 다가 분석되어서, 상이한 측정 사이트들에 존재하는 프로세스 파라미터, 구조 파라미터 또는 둘 모두의 편차를 가장 강하게 반영하는 피처를 추출할 수 있다. 일부 다른 예들에서, 상이한 측정 사이트들에 존재하는 파라미터 편차들을 가장 강하게 반영하는 신호 데이터를 추출하기 위해 신호 필터링 기술이 적용될 수 있다. 일부 다른 예들에서, 상이한 측정 사이트들에 존재하는 파라미터 편차들을 가장 강하게 반영하는 개별 신호는 측정 데이터에 존재하는 다수의 신호들로부터 선택될 수 있다. 후속 분석을 거치는 데이터의 치수를 줄이기 위해 측정 데이터 및 프로세스 정보로부터 피처를 추출하는 것이 바람직하지만, 엄격히 필요한 것은 아니다.
SRM 측정 모델은 프로세스 정보 및 연관된 원시 측정 신호, 또는 하나 또는 둘 모두의 감소된 버전에 기초하여 결정된다. 트레이닝된 SRM 측정 모델은 하나 이상의 측정 사이트에서 계측 시스템에 의해 생성된 측정 데이터 및 이전 프로세스 단계로부터의 관련 프로세스 정보를 수신하고, 각 측정 타겟와 관련된 구조 파라미터 값을 직접 결정하도록 구조화된다. 선호되는 실시 예에서, SRM 측정 모델은 신경망 모델로서 구현된다. 일 예에서, 신경망의 노드들의 수는 측정 데이터, 프로세스 정보, 또는 둘 다로부터 추출된 피처들에 기초하여 선택된다. 다른 예에서, SRM 측정 모델은 선형 모델, 다항(polynominal) 모델, 응답 표면 모델, 의사 결정 트리 모델, 랜덤 포레스트(random forrest) 모델, 지원 벡터 머신 모델 또는 다른 유형의 모델로서 구현될 수 있다.
SRM 측정 모델은 관심 파라미터의 알려진 값에 기초하여 트레이닝된다. 일부 예에서, 트레이닝된 SRM 측정 모델은 DOE 프로세스 정보, 원시 측정 데이터 및 알려진 파라미터 값을 사용하여 생성된다. 모델은 그 출력이 DOE 스펙트럼에 의해 정의된 프로세스 편차 공간에서 모든 스펙트럼에 대해 정의된 예상 응답에 피팅되도록 트레이닝된다.
일부 예에서, 트레이닝된 SRM 모델은 다른 웨이퍼(예를 들어, 제품 웨이퍼)의 실제 디바이스 구조로부터 수집된 측정 데이터(예를 들어, 스펙트럼) 및 측정된 구조를 제조하는데 사용된 이전 프로세스로부터의 관련 프로세스 정보로부터 직접 구조 파라미터 값을 계산하는 데 사용된다. SRM 측정 모델은 측정 데이터(예를 들어, 측정된 스펙트럼) 및 관련 프로세스 정보를 입력으로서 직접 수신하고, 출력으로서 파라미터 값을 제공하며, 따라서 트레이닝된 입력-출력 모델이다.
모델 생성, 트레이닝 및 측정 프로세스의 일부로서의 이용에 관한 추가 세부 사항은 Pandev의 미국 특허 번호 제8,843,875호, Pandev 등의 미국 특허 공개 번호 제2014/0297211호, Shchegrov 등의 미국 특허 공개 번호 제2014/0316730호; 미국 특허 공개 번호 제2014/0172394호, Pandev 등의 미국 특허 공개 번호 제2015/0042984호, Pandev 등의 미국 특허 공개 번호 제2015/0046118호, Pandev의 미국 특허 공개 번호 제2015/0235108호, Pandev 등의 미국 특허 공개 번호 제2016/0109230호, 및 Sapiens 등의 미국 특허 공개 번호 제2015/0323471호에 기재되어 있으며, 각각의 전체가 본 명세서에 참고로 포함된다.
일부 예들에서, 소정 양의 반도체 웨이퍼의 표면 상의 계측 타겟의 측정과 관련된 광학 측정 데이터 및 계측 타겟을 제조하는데 사용되는 이전 프로세스 단계들과 관련된 프로세스 정보가 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 관심 파라미터(들)는 다중 패터닝 프로세스에 의해 유발된 기하학적 오차를 나타낸다.
일 실시 예에서, 도 6에 도시된 타겟 구조의 구조 파라미터 CD1, CD2, CD3 및 피치 워크는 관심 파라미터이다. 이들 파라미터는 비-제한적인 예로서 제공된다. 일반적으로, 다수의 다른 구조 파라미터(예를 들어, 측벽 각도, 하부 임계 치수 등)가 다중 패터닝 프로세스에 의해 유발된 기하학적 오차를 나타내기 위해 사용될 수 있다.
일부 실시 예에서, 측정 중인 제품 웨이퍼는 공칭 값의(nominally valued) 구조의 어레이를 포함한다. 따라서, CD1, CD2, CD3 및 피치 워크는 웨이퍼 상의 위치에 관계없이 동일한 공칭 값을 갖는다.
일부 예에서, 측정 데이터는 상이한 측정 사이트에서 얻어진 스펙트럼 범위에 걸쳐 2 개의 엘립소메트릭 파라미터(Ψ, Δ)를 포함한다. 측정 데이터는 각 측정 사이트와 관련된 다중 패터닝된 계측 타겟과 관련된 스펙트럼 측정을 포함한다. 일부 예들에서, 측정 데이터는 스펙트럼 측정 데이터이지만, 일반적으로, 측정 데이터는 반도체 웨이퍼의 표면 상에 패터닝된 구조의 구조적 또는 기하학적 특성을 나타내는 임의의 측정 데이터일 수 있다.
계측 타겟과 관련된 적어도 하나의 관심 파라미터의 값은 측정 데이터, 관련 프로세스 정보 및 트레이닝된 SRM 측정 모델에 기초하여 결정된다. 관심 파라미터의 값은 다중 패터닝 프로세스에 의해 유발된 기하학적 오차를 나타낸다. 관심 파라미터의 값은 트레이닝된 SRM 측정 모델로부터 직접 계산된다.
관심 파라미터의 값은 메모리(예를 들어, 메모리(332))에 저장된다.
일부 타겟들에 존재하는 구조적 대칭성으로 인해, 임계 치수들은 개별 타겟 및 관련 프로세스 정보만으로부터 유도된 스캐터로메트리 신호들로부터 직접 측정될 수 없는 경우가 종종 있다. 예를 들어 임계 치수 CD에서 양수 값 섭동(예를 들어, CD + x)을 갖는 격자 구조의 스캐터로메트리 신호는 CD에서 음수 값 섭동(예를 들어, CD-x)을 갖는 격자 구조로부터의 스캐터로메트리 신호와 동일하다.
또 다른 양태에서, SRM 측정 모델은 하나의 다중 타겟 세트로 통합된 다중 타겟으로부터의 측정 신호 및 관련 프로세스 정보에 대해 트레이닝되고, 동일한 다중 타겟으로부터의 측정 신호에 대하여 동작한다. 이 접근법은 다른 프로세스 편차들로부터, 그리고 서로로부터 중요한 파라미터들을 상관 해제(de-correlate)한다.
일부 실시 예에서, 보조 타겟은 주요 측정 타겟 옆에 위치하며 동일한 프로세스 편차(예를 들어, SAQP 프로세스 편차)를 겪는다. 이들 실시 예에서, 계측 타겟의 트레이닝 세트는 주요 파라미터, 공칭 치수 타겟 및 관심 파라미터의 상이한 공칭 값을 갖는 하나 이상의 보조 타겟을 포함한다.
보조 타겟은 리소그래피 프로세스 단계 동안 형성된다. 일부 예에서, 상이한 라인 대 공간 비율 및/또는 상이한 피치를 갖는 마스크가 보조 타겟을 생성하는데 사용될 수 있다. SRM 측정 모델의 정확성을 높이려면 가능한 한 가깝게 주요 타겟과 보조 타겟을 함께 위치시키는 것이 바람직하다. 일부 실시 예에서, 주요 타겟 및 보조 계측 타겟은 각각의 측정 사이트에서 서로 인접하여 위치한다. 계측 타겟들을 함께 가까이에 위치시킴으로써, 두 계측 타겟의 파라미터를 링크하는 데 사용된 가정을 간소화하는 것이 심각한 오차를 유발할 가능성을 줄여준다. 예를 들어, 타겟이 가까운 인근에 위치되는 한, 하부 층의 두께는 두 계측 타겟 모두에 대해 동일한 값이 될 가능성이 매우 높다. 따라서, 인접한 계측 타겟의 경우, 하부 층의 두께는 심각한 오차를 유발하지 않고 동일한 일정한 값으로서 취급될 수 있다.
SRM 측정 모델을 트레이닝하고 사용하기 위한 보조 타겟의 사용은 전술한 단일 타겟 접근법과 유사하다. 그러나, 또한 다중 타겟 SRM 측정 모델의 트레이닝에는 보조 타겟 및 주요 계측 타겟으로부터의 트레이닝 데이터가 필요하다. 마찬가지로, 다중 타겟 SRM 측정 모델을 사용하려면, 보조 타겟 및 주요 측정 타겟으로부터의 측정 데이터가 필요하다. 그러나, 보조 타겟과 연관된 특정한 파라미터 값이 관심이 아니기 때문에, 트레이닝을 위한 기준 측정 데이터는 주요 타겟으로부터만 수집될 필요가 있다는 것을 유의해야 한다.
일부 실시 예에서, SRM 측정 모델은 다중 패터닝 프로세스의 다중 단계들에서 측정된 계측 타겟으로부터의 측정 신호에 기초하여 트레이닝되고 사용된다. 하나 이상의 이전 프로세스 단계로부터 측정된 스펙트럼 또는 측정된 관심 파라미터는 주요 타겟과 관련된 SRM 측정 모델의 트레이닝 및 사용을 위해 전방으로 공급된다. 또한 이 접근법은 중요한 파라미터를 서로로부터 그리고 다른 프로세스 편차로부터 상관 해제한다.
이 접근법은 추가적인 보조 타겟를 구현하는 데 필요한 추가 웨이퍼 공간을 필요로 하지 않는다. 그러나, 이 접근법은 웨이퍼 측정이 다중 프로세스 단계에서 수행될 것을 필요로 한다.
SRM 측정 모델을 트레이닝하고 사용하기 위한 다중 프로세스 단계에서 수집된 측정 데이터의 사용은 본 명세서에서 전술한 단일 타겟 접근법과 유사하다. 그러나, SRM 측정 모델의 트레이닝에는 최소한 두 가지 상이한 프로세스 단계에서 주요 타겟의 측정이 필요하다. 마찬가지로, SRM 측정 모델을 사용하려면, 상이한 프로세스 단계에서 주요 타겟으로부터의 측정 데이터가 필요하다. 그러나, 이 단계에서 타겟의 특정 파라미터 값만이 관심이 있으므로, 트레이닝을 위한 기준 측정 데이터는 최신 프로세스 단계에서 주요 타겟으로부터만 수집될 필요가 있다는 것을 유의해야 한다.
본 명세서에 기술된 바와 같이, SRM 모델을 트레이닝시키기 위해서는 다른 기술을 사용하여 얻은 기준 측정치가 필요하다. CD-SEM은 높은 측정 불확실성으로 알려진 예시적인 측정 기법이다.
LELE 및 SAOP를 포함하는 특정 실시 예는 본 명세서에서 비-제한적인 예로서 설명된다. 일반적으로 본 명세서에 설명된 방법 및 시스템은 임의의 다중 패터닝 기술(예를 들어, 자가 정렬 이중, 삼중, 사중, 팔중 패터닝, 이중 리쏘, 이중 에칭(LELE) 패터닝 등)에 의해 생성된 관심 파라미터의 측정을 향상시키는 데 사용될 수 있다.
도 8은 여기 제시된 예시적인 방법에 따라 시편의 특성을 측정하기 위한 시스템(400)을 도시한다. 도 8에 도시된 바와 같이, 시스템(400)은 시편(401)의 하나 이상의 구조의 분광(spectroscopic) 엘립소메트리 측정을 수행하는데 사용될 수 있다. 이 양태에서, 시스템(400)은 일루미네이터(402) 및 분광계(404)가 구비된 분광 엘립소미터를 포함할 수 있다. 시스템(400)의 일루미네이터(402)는 선택된 파장 범위(예를 들어, 150-2000 nm)의 조명을 생성하여 시편(401)의 표면 상에 배치된 구조에 지향시키도록 구성된다. 차례로, 분광계(404)는 시편(401)의 표면으로부터 반사된 조명을 수신하도록 구성된다. 일루미네이터(402)로부터 나오는 광은 편광 상태 생성기(407)를 사용하여 편광되어, 편광 조명 빔(406)을 생성한다는 것을 또한 유의해야 한다. 시편(401) 상에 배치된 구조에 의해 반사된 방사선은 편광 상태 분석기(409)를 통과하여 분광계(404)로 전달된다. 수집 빔(408)에서 분광계(404)에 의해 수신된 방사선은 편광 상태와 관련하여 분석되어, 분석기에 의해 전달된 방사선을 분광계가 스펨트럼 분석할 수 있도록 한다. 이러한 스펙트럼(411)은 구조 분석을 위해 컴퓨팅 시스템(430)으로 전달된다.
도 8에 도시된 바와 같이, 시스템(400)은 단일 측정 기술(즉, SE)을 포함한다. 그러나, 일반적으로, 시스템(400)은 임의의 수의 상이한 측정 기술을 포함할 수 있다. 비-제한적인 예로서, 시스템(400)은 (뮬러 매트릭스 엘립소메트리를 포함하는) 분광 엘립소미터, 분광 리플렉토미터, 분광 스캐터로미터, 오버레이 스캐터로미터, 각도 분해 빔 프로파일 리플렉토미터, 편광 분해 빔 프로파일 리플렉토미터, 빔 프로파일 리플렉토미터, 빔 프로파일 엘립소미터, 임의의 단일 또는 다중 파장 엘립소미터, 또는 이들의 임의의 조합로서 구성될 수 있다. 또한 일반적으로 상이한 측정 기술들에 의해 수집되고 본 명세서에 설명된 방법에 따라 분석된 측정 데이터는, 여러 기술을 통합하는 하나의 툴이 아닌 다중 툴로부터 수집될 수 있다.
추가 실시 예에서, 시스템(400)은 본 명세서에서 설명된 바와 같이, 측정된 스펙트럼 및 프로세스 정보에 기초하여 측정을 수행하도록 사용된 하나 이상의 컴퓨팅 시스템(430)을 포함할 수 있다. 하나 이상의 컴퓨팅 시스템(430)은 분광계(404)에 통신 가능하게 연결될 수 있다. 일 양태에서, 하나 이상의 컴퓨팅 시스템(430)은 시편(401)의 구조의 측정과 관련된 측정 데이터(411)를 수신하도록 구성된다. 하나 이상의 컴퓨팅 시스템(430)은 또한 측정 중인 샘플(401)을 제조하는데 사용되는 이전 프로세스 단계를 실행하도록 구성된 하나 이상의 프로세스 툴(420)에 통신 가능하게 연결될 수 있다. 일 양태에서, 하나 이상의 컴퓨팅 시스템(430)은 임의의 이전 프로세스 단계와 관려된 프로세스 정보(421), 임의의 이전 프로세스 단계에서의 시편(401)의 구조의 측정치 또는 이들의 조합을 수신하도록 구성된다.
본 개시 전체에 걸쳐 기술된 다양한 단계들은 단일 컴퓨터 시스템(430) 또는 대안적으로 다중 컴퓨터 시스템(430)에 의해 수행될 수 있음을 인식해야 한다. 또한, 분광 엘립소미터(404)와 같은 시스템(400)의 상이한 서브 시스템은 본 명세서에 설명된 단계들 중 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 전술한 설명은 본 발명에 대한 제한으로 해석되어서는 안되며, 단지 예시일 뿐이다. 또한, 하나 이상의 컴퓨팅 시스템(430)은 본 명세서에 설명된 임의의 방법 실시 예의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(430)은 당 업계에 공지된 임의의 방식으로 분광계(404)에 통신 가능하게 연결될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(430)은 분광계(404)와 관련된 컴퓨팅 시스템에 연결될 수 있다. 다른 예에서, 분광계(404)는 컴퓨터 시스템(430)에 연결된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(400)의 컴퓨터 시스템(430)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 시스템의 서브 시스템들(예를 들어, 분광계(404) 등) 또는 하나 이상의 프로세스 툴(420)로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(430)과 시스템(400)의 다른 시스템 또는 서브 시스템 사이의 데이터 링크로서의 역할을 할 수 있다.
계측 시스템(400)의 컴퓨터 시스템(430)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들어, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(430)과 다른 시스템들(예를 들어, 메모리 온보드 계측 시스템(400), 외부 메모리, 프로세스 툴들(420), 기준 측정 소스 또는 다른 외부 시스템들) 사이의 데이터 링크로서의 역할을 할 수 있다. 예를 들어, 컴퓨팅 시스템(430)은 데이터 링크를 통해 저장 매체(즉, 메모리(432) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 분광계(404)를 사용하여 얻어진 스펙트럼 결과는 영구적 또는 반영구적 메모리 디바이스(예를 들어, 메모리(432) 또는 외부 메모리)에 저장될 수 있다. 이와 관련하여 스펙트럼 결과는 온보드 메모리 또는 외부 메모리 시스템으로부터 가져오기(import)할 수 있다. 또한, 컴퓨터 시스템(430)은 전송 매체를 통해 다른 시스템으로 데이터를 전송할 수 있다. 예를 들어, 컴퓨터 시스템(430)에 의해 결정되는 측정 모델 또는 구조 파라미터 값(440)은 외부 메모리에 전달되어 저장될 수 있다. 이와 관련하여 측정 결과를 다른 시스템으로 내보내기(export)할 수 있다.
컴퓨팅 시스템(430)은 퍼스널 컴퓨터 시스템, 메인 프레임 컴퓨터 시스템, 워크 스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당 업계에 공지된 임의의 다른 디바이스를 포함할 수 있지만, 이에 한정되는 것은 아니다. 일반적으로, "컴퓨팅 시스템"이라는 용어는 메모리 매체로부터의 명령어들을 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포괄하도록 광범위하게 정의될 수 있다.
본 명세서에 설명된 것과 같은 방법을 구현하는 프로그램 명령어들(434)은 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 8에 도시된 바와 같이, 메모리(432)에 저장된 프로그램 명령어들(434)은 버스(433)를 통해 프로세서(431)로 전송된다. 프로그램 명령어들(434)은 컴퓨터 판독 가능 매체(예를 들어, 메모리(432))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크(disk), 또는 자기 테이프를 포함한다.
일부 실시 예에서, 조명 광 및 조명된 측정 사이트로부터 수집된 광은 다수의 상이한 파장을 포함한다. 일부 실시 예에서, 광은 조명된 측정 사이트로부터 다수의 상이한 수집 각도로 수집된다. 다수의 파장 및 수집 각도에서 광을 검출함으로써, 피치 워크에 대한 측정 감도 및 임계 치수(예를 들어, CD)의 편차가 개선된다. 일부 실시 예에서, 광은 조명된 측정 사이트로부터 다수의 상이한 방위각으로 수집된다. 이러한 평면 외 측정은 피치 워크에 대한 측정 감도 및 임계 치수의 편차를 또한 향상시킬 수도 있다. 일부 실시 예에서, 광학 측정 데이터의 수집은 특정 세트의 시스템 세팅, 예를 들어 분광 또는 각도 분해 시스템, 하나 이상의 방위각, 하나 이상의 파장 및 이들의 임의의 조합에 대해 최적화된다.
도 9는 본 발명의 도 8에 도시된 계측 시스템(400)과 같은 계측 시스템에 의한 구현에 적합한 방법(500)을 도시한다. 일 양태에서, 방법(500)의 데이터 처리 블록은 컴퓨팅 시스템(430)의 하나 이상의 프로세서 또는 임의의 다른 범용 컴퓨팅 시스템에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있음이 인식된다. 본 명세서에서는 계측 시스템(400)의 특정한 구조적 측면이 제한을 나타내는 것이 아니고, 단지 예시적인 것으로 해석되어야 한다고 인식된다.
블록(501)에서, 웨이퍼는 계측 시스템(계측 시스템(400))에 의해 수신된다. 웨이퍼는 복수의 프로세스 툴에 의해 실행되는 제조 프로세스 흐름의 복수의 프로세스 단계에 의해 제조된 하나 이상의 계측 타겟을 포함한다.
블록(502)에서, 제1 양의(a first amount of) 프로세스 정보가 웨이퍼 상의 복수의 프로세스 단계 중 제1 프로세스 단계를 실행하는데 사용되는 제1 프로세스 툴로부터 계측 시스템의 컴퓨팅 시스템 상에 수신된다.
블록(503)에서, 제2 양의(a second amount of) 프로세스 정보가 웨이퍼 상의 복수의 프로세스 단계 중 제2 프로세스 단계를 실행하는데 사용되는 제1 프로세스 툴 또는 다른 프로세스 툴로부터 계측 시스템의 컴퓨팅 시스템 상에 수신된다.
블록(504)에서, 소정 양의(an amount of) 조명 광이 계측 시스템의 조명 서브 시스템에 의해 하나 이상의 계측 타겟에 제공된다.
블록(505)에서, 하나 이상의 계측 타겟으로부터의 소정 양의 광이 조명에 응답하여 계측 시스템의 검출기 서브 시스템에 의해 검출된다.
블록(506)에서, 측정 신호는 상기 소정 양의 검출된 광에 응답하여 검출기 서브 시스템에 의해 생성된다.
블록(507)에서, 하나 이상의 계측 타겟의 관심 파라미터의 값은 측정 신호들 및 제1 및 제2 양의 프로세스 정보에 기초하여 추정된다.
블록(508)에서, 적어도 하나의 프로세스 단계와 관련된 보정 가능한 파라미터의 값은 계측 타겟의 측정된 특성 및 제1 및 제2 양의 프로세스 정보에 기초하여 추정된다. 또한, 보정 가능한 파라미터의 값은 적어도 하나의 프로세스 단계를 실행하는데 사용되는 프로세스 툴에 전달된다.
일부 예에서, 모델 구축, 트레이닝 및 측정을 위한 다중 타겟과 관련된 측정 데이터의 사용은 측정 결과에서 하부 층(under layer)의 효과를 제거하거나 상당히 감소시킨다. 일 실시 예에서, 2 개의 타겟으로부터의 측정 신호가 감산되어 각 측정 결과에서 하부 층의 영향을 제거하거나 상당히 감소시킨다. 다중 타겟과 관련된 측정 데이터를 사용하면, 모델에 임베디드된 샘플 및 프로세스 정보가 증가한다. 특히, 하나 이상의 측정 사이트에서 여러 상이한 타겟의 측정을 포함하는 트레이닝 데이터를 사용하면 보다 정확한 측정이 가능하다.
일 예에서, 측정 모델은 격리된 타겟 및 밀집한 타겟 모두에 대한 DOE 웨이퍼의 스펙트럼 측정으로부터 생성된다. 측정 모델은 스펙트럼 측정 데이터 및 알려진 구조 파라미터 값을 기반으로 트레이닝된다. 결과적인 트레이닝된 측정 모델은 샘플 웨이퍼 상의 격리된 타겟 및 밀집한 타겟 모두에 대한 구조 파라미터 값을 계산하기 위해 나중에 사용된다. 이러한 방식으로 각 파라미터는 격리된 타겟 및 밀집한 타겟과 관련된 측정된 스펙트럼(또는 추출된 피처)으로부터 파라미터 값을 계산하는 자체 트레이닝 모델을 가진다.
또 다른 추가의 양태에서, 다수의 상이한 측정 기술의 조합에 의해 수행된 측정으로부터 도출된 측정 데이터가 모델 구축, 트레이닝 및 측정을 위해 수집된다. 다수의 상이한 측정 기술과 관련된 측정 데이터의 사용은 모델에 임베디드된 샘플 및 프로세스 정보를 증가시키고 더 정확한 측정을 가능하게 한다. 측정 데이터는 다수의 상이한 측정 기술의 조합에 의해 수행된 측정으로부터 도출될 수 있다. 이러한 방식으로, 상이한 측정 사이트가 반도체 구조의 특성화를 위해 이용 가능한 측정 정보를 향상시키기 위한 다수의 상이한 측정 기술에 의해 측정될 수 있다.
일반적으로, 임의의 측정 기술 또는 둘 이상의 측정 기술의 조합이 이 특허 문헌의 범위 내에서 고려될 수 있다. 예시적인 측정 기술은 뮬러 매트릭스 엘립소메트리, 분광 리플렉토메트리, 분광 스캐터로메트리, 스캐터로메트리 오버레이, 빔 프로파일 리플렉토메트리, 각도 분해 및 편광 분해, 빔 프로파일 엘립소메트리, ㄷ단일 또는 다중 이산 파장 엘립소메트리, TSAXS(transmission small angle x-ray scatterometer), SAXS(small angle x-ray scattering), GISAXS(grazing incidence small angle x-ray scattering), WAXS(wide angle x-ray scattering), XRR(x-ray reflectivity), XRD(x-ray diffraction), GIXRD(grazing incidence x-ray diffraction), HRXRD(high resolution x-ray diffraction), XPS(x-ray photoelectron spectroscopy), XRF(x-ray fluorescence), GIXRF(grazing incidence x-ray fluorescence), LEXES(low-energy electron induced x-ray emission scatterometry), x-레이 토모그래피, and x-레이 엘립소메트리를 포함하는 분광 엘립소메트리를 포함하지만, 이에 한정되는 것은 아니다. 일반적으로, 이미지 기반 계측 기술을 포함하는 반도체 구조의 특성화에 적용 가능한 임의의 계측 기술이 고려될 수 있다. 추가 센서 옵션에는 비접촉 정전 용량/전압 또는 전류/전압 센서와 같은 전기 센서가 포함되어 있어, 디바이스를 바이어스하고 광학 센서(또는 그 반대) 또는 XRD, XRF, XPS, LEXES, SAXS, 및 펌프 프로브 기술과 같은 보조 광학 기술로 결과적인 바이어스를 검출한다. 일 실시 예에서, 2 차원 빔 프로파일 리플렉토미터(동공 이미저(pupil imager))는 작은 스폿 크기로 각도 분해 및/또는 다중 스펙트럼 데이터를 모두 수집하는데 사용될 수 있다. UV Linnik 간섭계는 또한 뮬러 매트릭스 스펙트럼 동공 이미저로서 사용될 수 있다.
일부 예에서, 본 명세서에 설명된 모델 구축, 트레이닝 및 측정 방법은 미국 캘리포니아주 밀피타스의 KLA-텐코에서 입수 가능한 SpectraShape® 광학 임계 치수 계측 시스템의 요소로서 구현된다. 이러한 방식으로, DOE 웨이퍼 스펙트럼이 시스템에 의해 수집된 직후에 모델이 생성되어 사용할 준비가 된다.
일부 다른 예에서, 본 명세서에 설명된 모델 구축 및 트레이닝 방법은 예를 들어 미국 캘리포니아주 밀피타스의 KLA-텐코에서 입수 가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인으로 구현된다. 결과적인 트레이닝된 모델은 측정을 수행하는 계측 시스템이 액세스할 수 있는 AcuShape® 라이브러리의 요소로서 통합될 수 있다.
또 다른 예에서, 본 명세서에 설명된 방법 및 시스템은 오버레이 계측에 적용될 수 있다. 격자 측정은 특히 오버레이 측정과 관련이 있다. 오버레이 계측의 목적은 상이한 리소그래피 노광 단계 사이의 시프트(shift)를 결정하는 것이다. 디바이스 상의 오버레이 계측을 수행하는 것은 디바이스 상의 구조의 크기가 작고 일반적으로 오버레이 값이 작기 때문에 어렵다.
예를 들어, 일반적인 스크라이브 라인 오버레이 계측 구조의 피치는 200 나노미터에서 2,000 나노미터까지 다르다. 그러나, 디바이스 상의 오버레이 계측 구조의 피치는 일반적으로 100 나노미터 이하이다. 또한, 공칭 생산 환경에서, 디바이스 오버레이는 디바이스 구조의 주기성의 작은 부분일 뿐이다. 대조적으로, 스 캐터로메트리 오버레이에 사용되는 프록시 계측 구조는 오버레이에 대한 신호 감도를 향상시키기 위해 큰 값, 예를 들어 피치의 1/4에서 종종 오프셋된다.
이러한 조건 하에서, 오버레이 계측은 작은 오프셋, 작은 피치 오버레이에 충분한 감도를 갖는 센서 아키텍처로 수행된다. 본 명세서에 설명된 방법 및 시스템은 디바이스 상의 구조, 프록시 구조, 또는 둘 모두에 기초하여 오버레이에 민감한 측정 신호를 얻기 위해 사용될 수 있다.
측정된 신호는 획득 후에 분석되어, 측정된 신호의 편차에 기초하여 오버레이 오차를 결정한다. 하나의 또 다른 양태에서, 스펙트럼 또는 각도 분해된 데이터는 PCA를 사용하여 분석되고, 오버레이 모델은 측정된 신호에서 검출된 주성분에 기초하여 오버레이를 결정하도록 트레이닝된다. 일 예에서, 오버레이 모델은 신경망 모델이다. 이러한 의미에서, 오버레이 모델은 파라메트릭 모델이 아니므로, 부정확한 모델링 가정에 의해 도입된 오차가 발생하지 않는다.
일부 실시 예에서, 오버레이 계측 모델의 트레이닝은 명목상으로 디바이스 피처와 동일하지만 더 큰 오프셋을 갖는 전용 계측 구조의 측정에 기초한다. 이것은 감도 문제를 극복하는 데 도움이 될 수 있다. 이러한 오프셋은 레티클 설계 중에 측정될 두 층의 피처 간에 도입된 고정 설계 오프셋을 통해 도입될 수 있다. 오프셋은 또한 리소그래피 노광의 시프트에 의해 도입될 수 있다. 오버레이 오차는 다수의 시프트된 타겟(예를 들어, 피치/4 및 -피치/4)를 사용함으로써 압축 신호(예를 들어, PCA 신호)로부터 보다 효율적으로 추출될 수 있고, 또한 하부층의 효과가 감소될 수 있다.
일반적으로, 본 명세서에 제시된 반도체 계측을 수행하기 위한 방법 및 시스템은 실제 디바이스 구조 또는 다이 내 또는 스크라이브 라인 내에 위치된 전용 계측 타겟(예를 들어 프록시 구조)에 직접 적용될 수 있다.
또 다른 양태에서, 본 명세서에 설명된 측정 기술은 프로세스 툴(예를 들어, 리소그래피 툴, 에칭 툴, 증착 툴 등)에 능동 피드백을 제공하는데 사용될 수 있다. 예를 들어, 본 명세서에 기술된 방법을 사용하여 결정된 구조 파라미터의 값은 리소그래피 툴에 전달되어, 원하는 출력을 달성하도록 리소그래피 시스템을 조정할 수 있다. 유사한 방식으로 에칭 툴 또는 증착 툴에 각각 능동 피드백을 제공하기 위해 에칭 파라미터(예를 들어, 에칭 시간, 확산율 등) 또는 증착 파라미터(예를 들어, 시간, 농도 등)가 측정 모델에 포함될 수 있다.
일반적으로, 본 명세서에 설명된 시스템 및 방법은 전용 계측 툴의 일부로서 구현되거나 대안적으로 프로세스 툴(예를 들어, 리소그래피 툴, 에칭 툴 등)의 일부로서 구현될 수 있다.
본 명세서에 기술된 바와 같이, "임계 치수(critical dimension)"라는 용어는 구조의 임의의 임계 치수(예를 들어, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2 개 이상의 구조 사이의 임계 치수(예를 들어, 2 개 구조 사이의 거리), 2 개 이상의 구조 사이의 변위(예를 들어, 오버레이 격자 구조 사이의 오버레이 변위 등)를 포함한다. 구조는 3 차원 구조, 패터닝된 구조, 오버레이 구조 등을 포함할 수 있다.
본 명세서에 기술된 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"이라는 용어는 임의의 임계 치수 측정을 포함한다.
본 명세서에 기술된 바와 같이, "계측 시스템"이라는 용어는 임계 치수 계측, 오버레이 계측, 초점/용량 계측 및 조성 계측과 같은 측정 애플리케이션을 포함하여 임의의 양태에서 시편을 특징 짓기 위해 적어도 부분적으로 사용되는 임의의 시스템을 포함한다. 그러나, 그러한 기술 용어는 본 명세서에 설명된 "계측 시스템"이라는 용어의 범위를 제한하지 않는다. 또한, 계측 시스템(400)은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 후면 검사 툴, 매크로 검사 툴 또는 (하나 이상의 플랫폼으로부터의 동시 데이터를 수반하는) 다중 모드 검사 툴 및 임계 치수 데이터를 기반으로 시스템 파라미터를 캘리브레이션함으로써 이익을 얻는 기타 다른 계측 또는 검사 툴로서 구성될 수 있다.
다양한 실시 예가 본 명세서에서 시편을 처리하기 위해 사용될 수 있는 반도체 처리 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)에 대해 설명된다. 본 명세서에서 "시편(specimen)"이라는 용어는 웨이퍼, 레티클, 또는 당 업계에 공지된 수단에 의해 처리(예를 들어, 결함을 인쇄 또는 검사)될 수 있는 임의의 다른 샘플을 지칭하는데 사용된다.
본 명세서에 사용된 바와 같이, "웨이퍼"라는 용어는 일반적으로 반도체 또는 비-반도체 재료로 형성된 기판을 지칭한다. 예로는 단결정 실리콘, 갈륨 아세나이드 및 인듐 인화물을 포함하지만, 이에 한정되는 것은 아니다. 이러한 기판은 흔히 반도체 제조 시설에서 발견 및/또는 처리될 수 있다. 경우에 따라, 웨이퍼는 기판(베어(bear) 웨이퍼)만을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성된 상이한 물질의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패터닝되거나(patterned)" "패터닝되지 않을 수 있다(unpatterned)". 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수 있다.
"레티클(reticle)"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수 있거나, 반도체 제조 설비에서 사용하기 위해 방출되거나 또는 방출되지 않을 수 있는 완성된 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로 그 위에 형성된 실질적으로 불투명한 영역을 가지며 패턴으로 구성된 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어 비결정질의 SiO2와 같은 유리 물질을 포함할 수 있다. 레티클은 레티클 상의 패턴이 레지스트로 전사될 수 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 덮힌 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 각각 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함 할 수 있다. 이러한 재료 층의 형성 및 처리는 궁극적으로 완성된 디바이스를 초래할 수 있다. 많은 상이한 유형의 디바이스가 웨이퍼 상에 형성될 수 있으며, 본 명세서에서 사용되는 웨이퍼라는 용어는 당 업계에 공지된 임의의 유형의 디바이스가 제조되고 있는 웨이퍼를 포함하는 것으로 의도된다.
하나 이상의 예시적인 실시 예에서, 기술된 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능은 컴퓨터 판독 가능 매체 상에 하나 이상의 명령어 또는 코드로서 저장되거나 전송될 수 있다. 컴퓨터 판독 가능 매체는 컴퓨터 저장 매체 및 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 용이하게 하는 임의의 매체를 포함하는 통신 매체 모두를 포함한다. 저장 매체는 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수 있다. 예를 들어, 그러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광 디스크 저장 장치, 자기 디스크 저장 장치 또는 다른 자기 저장 디바이스, 또는 범용 또는 특수 목적 컴퓨터에 의해 액세스되거나 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있는 명령어들 또는 데이터 구조들의 형태로 원하는 프로그램 코드 수단을 전달하거나 저장하는데 사용될 수 있는 임의의 다른 매체를 포함할 수 있으나 이에 한정되는 것은 아니다. 또한, 임의의 접속이 컴퓨터 판독 가능 매체로 적절하게 지칭된다. 예를 들어, 동축 케이블, 광섬유 케이블, 트위스트 페어, 디지털 가입자 회선(digital subscriber line, DSL) 또는 적외선, 무선 및 마이크로파와 같은 무선 기술을 사용하여 웹 사이트, 서버 또는 기타 원격 소스로부터 소프트웨어가 전송된다면, 동축 케이블, 광섬유 케이블, 트위스트 페어, DSL 또는 적외선, 무선 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 본 명세서에서 사용되는 디스크(disk) 및 디스크(disc)는 콤팩트 디스크(compact disc, CD), 레이저 디스크(laser disc), 광학 디스크(optical disc), DVD(digital versatile disc), 플로피 디스크(floppy disk) 및 블루-레이 디스크(blu-ray disc)를 포함하며, 여기서 디스크(disk)가 일반적으로 데이터를 자기적으로 재생하는 반면, 디스크(disc)는 레이저로 광학적으로 데이터를 재생한다. 상기의 조합 또한 컴퓨터 판독 가능 매체의 범위 내에 포함되어야 한다.
특정한 구체적인 실시 예들이 교시 목적을 위해 위에서 설명되었지만, 이 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 전술한 특정 실시 예에 한정되지 않는다. 따라서, 청구범위에서 설명된 본 발명의 범위를 벗어나지 않고 설명된 실시 예들의 다양한 특징의 다양한 변경, 개조 및 조합이 실시될 수 있다.

Claims (20)

  1. 계측(metrology) 시스템에 있어서,
    제조 프로세스 흐름의 복수의 프로세스 단계들에 의해 이전에 처리된 웨이퍼 상에 배치된 하나 이상의 계측 타겟에 소정 양의(an amount of) 조명광을 제공하는 조명 서브 시스템;
    상기 소정 양의 조명광에 응답하여 상기 하나 이상의 계측 타겟으로부터 소정 양의 광을 검출하고 상기 검출된 소정 양의 광에 응답하여 소정 양의 측정 신호들을 생성하는 검출기 서브 시스템; 및
    컴퓨팅 시스템을 포함하고,
    상기 컴퓨팅 시스템은,
    상기 웨이퍼 상에서 상기 복수의 프로세스 단계들 중 제1 프로세스 단계를 실행하는데 사용되는 제1 프로세스 툴로부터 제1 양의(a first amount of) 프로세스 정보를 수신하고;
    상기 웨이퍼 상에서 상기 복수의 프로세스 단계들 중 제2 프로세스 단계를 실행하는데 사용되는 상기 제1 프로세스 툴 또는 다른 프로세스 툴로부터 제2 양의(a second amount of) 프로세스 정보를 수신하고;
    상기 소정 양의 측정 신호들 및 상기 제1 및 제2 양의 프로세스 정보에 기초하여 상기 하나 이상의 계측 타겟의 관심 구조 파라미터(structural parameter of interest)의 값을 추정하고;
    상기 계측 타겟의 측정된 특성 및 상기 제1 및 제2 양의 프로세스 정보에 기초하여 상기 프로세스 단계들 중 적어도 하나의 프로세스 단계와 관련된 보정 가능한 파라미터의 값을 추정하고;
    상기 보정 가능한 파라미터의 값을 상기 적어도 하나의 프로세스 단계를 실행하는데 사용되는 적어도 하나의 프로세스 툴에 전달하도록
    구성되는 것인 계측 시스템.
  2. 삭제
  3. 제1항에 있어서, 상기 제1 양의 프로세스 정보는, 프로세스 제어 파라미터, 프로세스 툴 설정 파라미터, 프로세스 환경 파라미터, 상기 제1 프로세스 툴에 탑재된 센서들로부터 수집된 소정 양의 프로세스 데이터, 및 상기 제1 프로세스 툴에 탑재된 센서들로부터 수집된 소정 양의 계측 데이터 중 임의의 것을 포함하는 것인 계측 시스템.
  4. 제1항에 있어서, 상기 제1 양의 프로세스 정보는 리소그래피 초점 파라미터 값, 리소그래피 용량(dosage) 파라미터 값, 또는 이들의 조합을 포함하는 것인 계측 시스템.
  5. 제1항에 있어서, 상기 하나 이상의 계측 타겟의 관심 파라미터의 값을 추정하는 것은 물리적 모델 또는 트레이닝된 입력-출력 측정 모델을 수반하는 것인 계측 시스템.
  6. 제5항에 있어서, 상기 컴퓨팅 시스템은 또한,
    시뮬레이션된 측정 데이터 및 시뮬레이션된 프로세스 정보, 실제 측정 데이터 및 DOE(Design of Experiments) 웨이퍼와 관련된 프로세스 정보, 또는 이들의 조합으로 상기 입력-출력 측정 모델을 트레이닝하도록 구성되는 것인 계측 시스템.
  7. 제1항에 있어서, 상기 컴퓨팅 시스템은 또한,
    상기 제1 및 제2 양의 프로세스 정보, 상기 소정 양의 측정 신호들, 또는 이들의 조합의 치수를 감소시키도록 구성되는 것인 계측 시스템.
  8. 제1항에 있어서, 상기 검출기는, 다수의 파장, 다수의 수집 각도, 또는 다수의 파장 및 다수의 수집 각도의 조합에서 타겟 구조로부터 광을 수집하도록 구성되는 것인 계측 시스템.
  9. 계측 시스템에 있어서,
    제조 프로세스 흐름의 복수의 프로세스 단계들에 의해 이전에 처리된 웨이퍼 상에 배치된 하나 이상의 계측 타겟에 소정 양의 조명광을 제공하는 조명 서브 시스템;
    상기 소정 양의 조명광에 응답하여 상기 하나 이상의 계측 타겟으로부터 소정 양의 광을 검출하고 상기 검출된 소정 양의 광에 응답하여 소정 양의 측정 신호들을 생성하는 검출기 서브 시스템; 및
    비-일시적 컴퓨터 판독 가능 매체를 포함하고,
    상기 비-일시적 컴퓨터 판독 가능 매체는,
    컴퓨터 시스템으로 하여금, 상기 웨이퍼 상에서 상기 복수의 프로세스 단계들 중 제1 프로세스 단계를 실행하는데 사용되는 제1 프로세스 툴로부터 제1 양의 프로세스 정보를 수신하게 하는 코드;
    상기 컴퓨터 시스템으로 하여금, 상기 웨이퍼 상에서 상기 복수의 프로세스 단계들 중 제2 프로세스 단계를 실행하는데 사용되는 상기 제1 프로세스 툴 또는 다른 프로세스 툴로부터 제2 양의 프로세스 정보를 수신하게 하는 코드;
    상기 컴퓨터 시스템으로 하여금, 상기 소정 양의 측정 신호들 및 상기 제1 및 제2 양의 프로세스 정보에 기초하여 상기 하나 이상의 계측 타겟의 관심 구조 파라미터의 값을 추정하게 하는 코드;
    상기 컴퓨터 시스템으로 하여금, 상기 계측 타겟의 측정된 특성 및 상기 제1 및 제2 양의 프로세스 정보에 기초하여 상기 프로세스 단계들 중 적어도 하나의 프로세스 단계와 관련된 보정 가능한 파라미터의 값을 추정하게 하는 코드; 및
    상기 컴퓨터 시스템으로 하여금, 상기 보정 가능한 파라미터의 값을 상기 적어도 하나의 프로세스 단계를 실행하는데 사용되는 적어도 하나의 프로세스 툴에 전달하게 하는 코드
    를 포함하는 것인 계측 시스템.
  10. 삭제
  11. 계측(metrology) 방법에 있어서,
    복수의 프로세스 툴들에 의해 실행되는 제조 프로세스 흐름의 복수의 프로세스 단계들에 의해 제조되는 하나 이상의 계측 타겟을 포함하는 웨이퍼를 수신하는 단계;
    상기 웨이퍼 상에서 상기 복수의 프로세스 단계들 중 제1 프로세스 단계를 실행하는데 사용되는 제1 프로세스 툴로부터 제1 양의 프로세스 정보를 수신하는 단계;
    상기 웨이퍼 상에서 상기 복수의 프로세스 단계들 중 제2 프로세스 단계를 실행하는데 사용되는 상기 제1 프로세스 툴 또는 다른 프로세스 툴로부터 제2 양의 프로세스 정보를 수신하는 단계;
    상기 하나 이상의 계측 타겟에 소정 양의 조명광을 제공하는 단계;
    상기 조명에 응답하여 상기 하나 이상의 계측 타겟으로부터 소정 양의 광을 검출하는 단계;
    상기 소정 양의 검출된 광에 응답하여 소정 양의 측정 신호들을 생성하는 단계;
    상기 소정 양의 측정 신호들 및 상기 제1 및 제2 양의 프로세스 정보에 기초하여 상기 하나 이상의 계측 타겟의 관심 파라미터의 값을 추정하는 단계;
    상기 계측 타겟의 측정된 특성 및 상기 제1 및 제2 양의 프로세스 정보에 기초하여 상기 프로세스 단계들 중 적어도 하나의 프로세스 단계와 관련된 보정 가능한 파라미터의 값을 추정하는 단계; 및
    상기 보정 가능한 파라미터의 값을 상기 적어도 하나의 프로세스 단계를 실행하는데 사용되는 적어도 하나의 프로세스 툴에 전달하는 단계
    를 포함하는 계측 방법.
  12. 삭제
  13. 제11항에 있어서, 상기 제조 프로세스 흐름에 수반된 상기 복수의 프로세스 툴들은 적어도 하나의 리소그래피 툴 및 적어도 하나의 에칭 툴을 포함하는 것인 계측 방법.
  14. 제11항에 있어서, 상기 제1 및 제2 양의 프로세스 정보 중 임의의 것은 프로세스 파라미터 값을 포함하는 것인 계측 방법.
  15. 제14항에 있어서, 상기 프로세스 파라미터 값은 리소그래피 초점 파라미터 값, 리소그래피 용량 파라미터 값, 또는 이들의 조합 중 임의의 것인 계측 방법.
  16. 제11항에 있어서, 상기 제1 및 제2 양의 프로세스 정보 중 임의의 것은, 상기 제1 프로세스 툴에 탑재된 계측 시스템에 의해 측정되는 상기 계측 타겟의 특성을 포함하는 것인 계측 방법.
  17. 제11항에 있어서, 상기 하나 이상의 계측 타겟의 관심 파라미터의 값을 추정하는 단계는 물리적 모델 또는 트레이닝된 입력-출력 측정 모델을 수반하는 것인 계측 방법.
  18. 제17항에 있어서,
    시뮬레이션된 측정 데이터 및 시뮬레이션된 프로세스 정보, 실제 측정 데이터 및 DOE 웨이퍼와 관련된 프로세스 정보, 또는 그 조합으로 상기 입력-출력 측정 모델을 트레이닝하는 단계를 더 포함하는 계측 방법.
  19. 제11항에 있어서,
    상기 제1 및 제2 양의 프로세스 정보, 상기 소정 양의 측정 신호들, 또는 그 조합의 치수를 감소시키는 단계를 더 포함하는 계측 방법.
  20. 제11항에 있어서, 상기 하나 이상의 계측 타겟은 공칭(nominal) 계측 타겟 및 적어도 하나의 보조 계측 타겟을 포함하고, 상기 공칭 계측 타겟 및 상기 적어도 하나의 보조 계측 타겟 모두는, 다중 패터닝 프로세스의 적어도 두 번의 패터닝 단계들에 의해 생성되는 적어도 하나의 관심 파라미터에 의해 각각 특징 지어지는 것인 계측 방법.
KR1020187031524A 2016-04-04 2017-04-03 다중 처리 단계로부터의 정보로 반도체 계측 KR102274476B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662318166P 2016-04-04 2016-04-04
US62/318,166 2016-04-04
US15/476,683 US10504759B2 (en) 2016-04-04 2017-03-31 Semiconductor metrology with information from multiple processing steps
US15/476,683 2017-03-31
PCT/US2017/025757 WO2017176637A1 (en) 2016-04-04 2017-04-03 Semiconductor metrology with information from multiple processing steps

Publications (2)

Publication Number Publication Date
KR20180123162A KR20180123162A (ko) 2018-11-14
KR102274476B1 true KR102274476B1 (ko) 2021-07-06

Family

ID=59959935

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187031524A KR102274476B1 (ko) 2016-04-04 2017-04-03 다중 처리 단계로부터의 정보로 반도체 계측

Country Status (6)

Country Link
US (1) US10504759B2 (ko)
KR (1) KR102274476B1 (ko)
CN (1) CN108886006B (ko)
DE (1) DE112017001846T5 (ko)
TW (1) TWI755386B (ko)
WO (1) WO2017176637A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US11380594B2 (en) * 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US10969773B2 (en) 2018-03-13 2021-04-06 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
CN110660702B (zh) * 2018-06-29 2022-11-29 台湾积体电路制造股份有限公司 覆盖管理方法、覆盖管理系统及计算系统
US11199505B2 (en) 2018-08-23 2021-12-14 International Business Machines Corporation Machine learning enhanced optical-based screening for in-line wafer testing
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US11436506B2 (en) * 2019-03-06 2022-09-06 Carl Zeiss Smt Gmbh Method and devices for determining metrology sites
US11990380B2 (en) * 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11914290B2 (en) * 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11568101B2 (en) * 2019-08-13 2023-01-31 International Business Machines Corporation Predictive multi-stage modelling for complex process control
KR20210064445A (ko) 2019-11-25 2021-06-03 삼성전자주식회사 반도체 공정 시뮬레이션 시스템 및 그것의 시뮬레이션 방법
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
EP4016186A1 (en) * 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
US20230160960A1 (en) * 2021-11-22 2023-05-25 Onto Innovation Semiconductor substrate yield prediction based on spectra data from multiple substrate dies
US20230259035A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Characterization of photosensitive materials

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130155406A1 (en) 2007-12-17 2013-06-20 Asml Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method of Diffraction Based Overlay Metrology
US20140132948A1 (en) 2012-11-09 2014-05-15 Kla-Tencor Corporation Apparatus and Method for Optical Metrology with Optimized System Parameters
US20150176985A1 (en) * 2013-12-23 2015-06-25 Kla-Tencor Corporation Measurement Of Multiple Patterning Parameters

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US20020177245A1 (en) * 2001-03-29 2002-11-28 Sonderman Thomas J. Method and apparatus for controlling feature critical dimensions based on scatterometry derived profile
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7092096B2 (en) * 2004-02-20 2006-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical scatterometry method of sidewall spacer analysis
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7639375B2 (en) 2006-12-14 2009-12-29 Tokyo Electron Limited Determining transmittance of a photomask using optical metrology
US7327475B1 (en) * 2006-12-15 2008-02-05 Tokyo Electron Limited Measuring a process parameter of a semiconductor fabrication process using optical metrology
JP2011521475A (ja) 2008-05-21 2011-07-21 ケーエルエー−テンカー・コーポレーション ツール及びプロセスの効果を分離する基板マトリクス
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8437870B2 (en) * 2009-06-05 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a virtual metrology advanced process control platform
JP2011027461A (ja) * 2009-07-22 2011-02-10 Renesas Electronics Corp パターン形状計測方法、半導体装置の製造方法、およびプロセス制御システム
CN201502829U (zh) * 2009-09-28 2010-06-09 江苏亿丰机械制造有限公司 免维护双向旋转补偿器
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
WO2013130426A1 (en) * 2012-03-02 2013-09-06 Codexis, Inc. Recombinant host cells and processes for producing 1,3-butadiene through a 5-hydroxypent-3-enoate intermediate
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10466596B2 (en) 2014-02-21 2019-11-05 Kla-Tencor Corporation System and method for field-by-field overlay process control using measured and estimated field parameters
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130155406A1 (en) 2007-12-17 2013-06-20 Asml Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method of Diffraction Based Overlay Metrology
US20140132948A1 (en) 2012-11-09 2014-05-15 Kla-Tencor Corporation Apparatus and Method for Optical Metrology with Optimized System Parameters
US20150176985A1 (en) * 2013-12-23 2015-06-25 Kla-Tencor Corporation Measurement Of Multiple Patterning Parameters

Also Published As

Publication number Publication date
KR20180123162A (ko) 2018-11-14
TWI755386B (zh) 2022-02-21
CN108886006B (zh) 2023-07-07
DE112017001846T5 (de) 2018-12-27
CN108886006A (zh) 2018-11-23
US20170287751A1 (en) 2017-10-05
TW201801219A (zh) 2018-01-01
US10504759B2 (en) 2019-12-10
WO2017176637A1 (en) 2017-10-12

Similar Documents

Publication Publication Date Title
KR102274476B1 (ko) 다중 처리 단계로부터의 정보로 반도체 계측
US10215559B2 (en) Metrology of multiple patterning processes
US10612916B2 (en) Measurement of multiple patterning parameters
CN106663646B (zh) 基于代理结构的测量的信号响应计量
US10030965B2 (en) Model-based hot spot monitoring
TWI631476B (zh) 用於裝置上之量測之方法及系統
CN106062939B (zh) 用于基于图像的叠对测量的信号响应计量
JP6924261B2 (ja) パターニングされたウェハの特性評価のためのハイブリッド計量
US20240186191A1 (en) Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps
WO2024118857A1 (en) Measurements of semiconductor structures based on spectral differences at different process steps

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant