CN106663646B - 基于代理结构的测量的信号响应计量 - Google Patents

基于代理结构的测量的信号响应计量 Download PDF

Info

Publication number
CN106663646B
CN106663646B CN201580036717.4A CN201580036717A CN106663646B CN 106663646 B CN106663646 B CN 106663646B CN 201580036717 A CN201580036717 A CN 201580036717A CN 106663646 B CN106663646 B CN 106663646B
Authority
CN
China
Prior art keywords
metrology
measurement data
measurement
amount
model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580036717.4A
Other languages
English (en)
Other versions
CN106663646A (zh
Inventor
A·V·舒杰葛洛夫
T·G·奇乌拉
S·I·潘戴夫
L·波斯拉夫斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN106663646A publication Critical patent/CN106663646A/zh
Application granted granted Critical
Publication of CN106663646B publication Critical patent/CN106663646B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本文呈现基于附近计量目标的光学测量而估计实际装置结构的所关注参数的值的方法及系统。采用高处理量线内计量技术来测量位于实际装置结构附近的计量目标。将从所述计量目标收集的测量数据提供到经训练信号响应计量SRM模型。所述经训练SRM模型基于所述计量目标的所述测量而估计所述实际装置结构的一或多个所关注参数的所述值。所述SRM模型经训练以在由参考计量系统测量的实际装置参数与至少一个附近计量目标的对应光学测量之间建立函数关系。在另一方面中,采用所述经训练SRM来确定使测量装置参数值在规格内的工艺参数的校正。

Description

基于代理结构的测量的信号响应计量
相关申请案的交叉参考
本专利申请案依据35U.S.C.§119主张来自2014年7月7日申请的标题为“控制工艺参数以改进半导体装置良率及边缘放置误差的计量及方法(Metrology and Method toControl Process Parameters to Improve Semiconductor Device Yield and EdgePlacement Errors)”的序列号为62/021,659的美国临时专利申请案的优先权,所述美国临时专利申请案以全文引用的方式并入本文中。
技术领域
所描述的实施例涉及计量系统及方法,且更特定来说,所描述的实施例涉及用于改进半导体结构的测量的方法及系统。
背景技术
通常通过应用于样品的一系列处理步骤来制造半导体装置,例如逻辑装置及存储器装置。通过这些处理步骤而形成所述半导体装置的各种特征及多个结构层级。举例来说,光刻尤其是涉及在半导体晶片上产生图案的一种半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学-机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制造多个半导体装置,且接着将所述多个半导体装置分成个别半导体装置。
使用多个图案化工艺来构造低于20纳米的半导体装置制造节点处所制造的最高级逻辑装置及存储器装置。示范性多个图案化工艺包含自对准双重图案化(SADP)技术、自对准三重图案化(SATP)技术及自对准四重图案化(SAQP)技术。
在一个实例中,SAQP散热片形成过程实现目标间距,其是使用常规单图案化光刻可获得的间距的四分之一。在一个实例中,需要至少十四个步骤来产生散热片结构。这些步骤包含光刻步骤、蚀刻步骤及剥离步骤,其必须经精确控制以实现具有所期望的间距及轮廓的散热片结构。通过所述SAQP散热片形成过程而实现的最终间距值及散热片轮廓(例如CD、SWA)受来自先前步骤的结构参数值(例如抗蚀剂轮廓参数、间隔物膜厚度及其它参数)影响。
在半导体制造工艺期间的各种步骤处使用计量过程来检验晶片上的缺陷以促成较高良率。光学计量技术提供高处理量且无样本破坏风险的可能性。通常使用大量基于光学计量的技术(其包含散射测量实施方案及反射测量实施方案及相关联的分析算法)来使纳米级结构的临界尺寸、膜厚度、组成、叠加及其它参数特性化。
在一些实例中,采用光学临界尺寸(CD)及膜计量(光谱分析或角分辨)来监测多个图案化工艺期间的结构参数值以确保制造具有所期望的间距及轮廓的结构。然而,光学CD及膜计量存在对多个图案化技术中所采用的许多结构(特定来说,掩埋结构)缺乏敏感度的问题。当前,对于一些结构参数(例如边缘放置误差(EPE)),不存高处理量(例如光学)测量解决方案。
在另一实例中,也采用光学叠加计量,但光学叠加测量需要专门计量目标来使通过多个图案化技术而制造的结构特性化。在现有方法中,通常基于对通过光刻工具形成于晶片上的各个位置处的专门目标结构的测量而评估叠加误差。所述目标结构可呈许多形式,例如盒结构中的盒。以此形式,在晶片的一个层上产生盒且在另一层上产生第二较小盒。通过比较所述两个盒的中心之间的对准而测量局部化叠加误差。在可取得目标结构的晶片上的位置处进行此类测量。
不幸的是,这些专门目标结构通常不符合用于产生电子装置的特定半导体制造工艺的设计规则。这导致估计与根据可适用设计规则而制造的实际装置结构相关联的叠加误差时的误差。举例来说,基于图像的叠加计量通常需要使用光学显微镜(其需要具有远超过设计规则临界尺寸的临界尺寸的粗线)来分辨图案。在另一实例中,角分辨SCOL通常需要大间距目标来以+1及-1传播衍射级从叠加目标产生足够信号。在一些实例中,可使用500到800nm范围内的间距值。同时,逻辑或存储器应用的实际装置间距(设计规则尺寸)可能小得多,例如,在100到400nm范围内或甚至低于100nm。
图1描绘微电子芯片的静态随机存取存储器(SRAM)区域10中所制造的线结构11的硬掩模图案。通过组合多种图案化技术与经切割掩模而产生有源区域的复杂布局。切割掩模选择性地移除用于将衬底图案化成有源区域的硬掩模层的部分。图2描绘安置于图1中所描绘的线结构的图案的顶部上的底部抗反射涂层(BARC)层12及抗蚀剂层13。所述抗蚀剂层用于选择性地移除抗蚀剂层13的开口14下方的硬掩模图案的部分。如图1中所描绘,即使是在抗蚀剂层13的开口14内,线结构11的硬掩模图案也由BARC层12掩埋。
为提供切割掩模过程的足够良率,需要轮廓(例如CD、HT、SWA)、膜厚度及叠加的可靠测量。叠加的计算揭露:其是来自四重图案化工艺的先前步骤的许多结构参数的函数。切口的边缘与相邻线结构之间的间隙的分布且因此过程的良率取决于所有工艺参数的复杂相互作用。
在另一实例中,边缘放置距离(EPD)及相关联的边缘放置误差(EPE)是在形成装置电触点之后所监测及控制的重要参数。将所期望的EPD与实际EPD之间的差值称为EPE。EPD及EPE是叠加误差及CD误差两者的函数。
在一些实例中,可采用临界尺寸-扫描电子显微术(CD-SEM)来测量叠加及EPE。然而,最高级过程节点需要无法使用CD-SEM工具实现的较小计量误差及高处理量。
总之,逻辑装置及高级DRAM及垂直或平面NAND装置的低于20纳米的装置制造节点处的半导体装置良率是许多参数(其包含膜厚度、图案化线的轮廓参数、叠加误差及边缘放置误差(EPE))的复杂函数。在这些参数中,EPE具有最严苛工艺窗且需要计量及控制CD及叠加。当前,不存在用于EPE测量及许多装置上叠加测量应用的高处理量光学计量解决方案。另外,缺乏足够计量使界定控制方案以改进装置良率具有挑战性。
发明内容
本文呈现用于基于附近计量目标的光学测量估计实际装置结构的所关注参数的值的方法及系统。采用高处理量线内计量技术(例如光学散射测量、成像叠加或其它技术)来测量位于实际装置结构附近的计量目标。将从所述计量目标收集的测量数据提供到经训练信号响应计量(SRM)模型。所述经训练SRM模型基于计量目标的测量而估计实际装置结构的一或多个所关注参数的值。所关注参数的实例包含(但不限于)位于晶片的装置区域中的实际装置结构的边缘放置误差(EPE)、叠加、间距游走及临界尺寸(CD)。
在一个新的方面中,所述信号响应计量(SRM)模型经训练以在实际装置结构的所关注参数的测量值及与至少一个附近计量目标的测量相关联的对应测量数据之间建立函数关系。以此方式,以测量为特征的装置(即,实际装置结构)不同于经历由高处理量计量技术进行测量的计量目标。
计量目标经选择以产生对所关注的实际装置参数具有高敏感度的光学测量信号。对使用参考工具(例如CD-SEM、CD/OVL-SAXS、AFM或基于模型的光学CD)测量的实际装置结构执行训练或映射。在执行映射之后,可线内测量生产晶片。由经训练SRM模型将从计量目标收集的测量数据映射到实际装置结构的所关注参数。
在一些实例中,按时间使计量目标与待测量的实际装置结构分离(即,通过一或多个过程步骤而分离)。在处理流程中的两个不同步骤处执行计量目标测量及任何相关联的参考测量。将每一不同处理步骤处的测量信号的差异视为用于训练目的的训练信号及用于测量目的的测量信号。在这些实例中,训练序列可使用通过一或多个处理步骤而与待测量的实际装置结构分离的相同或不同类型的目标。在一些实例中,计量目标是特定过程状态中的实际装置结构。将从特定过程状态中的实际装置结构收集的光学测量数据用于训练如本文所描述的测量模型。接着,所述经训练测量模型用于基于从相同特定过程状态处的相同或不同装置结构收集的用于训练所述测量模型的光学测量数据而计算随后过程状态中的实际装置结构的结构参数、工艺参数或两者的值。
在工艺参数(例如光刻焦点、曝光、像差参数、叠加偏移、蚀刻时间、温度、压力及其它局部或全局参数)的受控DOE(实验设计)变化下执行SRM模型的训练。在完成训练之后,线内光学计量可以独立或集成模式操作。
另一方面,采用经训练SRM来确定使测量装置参数值在规格内的工艺参数的校正。可实时或一次性地执行所述校正。
针对由DOE集界定的工艺变化空间中的所有测量信号而训练测量模型。因此,可确定DOE工艺变化空间内的过程变量与使用参考工具测量的所关注实际装置参数之间的映射。基于实际装置参数的测量值及所述实际装置参数与一或多个工艺参数之间的映射确定所述一或多个工艺参数的校正。
本文所描述的经训练测量模型直接接收光学测量数据作为输入且提供所关注参数的值作为输出。通过简化测量过程而改进预测结果且减少计算及用户时间。
上述内容是概述且因此必然含有细节的简化、一般化及省略;因此,所属领域的技术人员应了解,所述概述仅为说明性的且决不具限制性。将在本文所陈述的非限制性详细描述中明白本文所描述的装置及/或过程的其它方面、发明特征及优点。
附图说明
图1是描绘微电子芯片的静态随机存取存储器(SRAM)区域10中所制造的线结构11的硬掩模图案的图。
图2是描绘安置于图1中所描绘的线结构的图案的顶部上的底部抗反射涂层(BARC)层12及抗蚀剂层13的图。
图3说明至少一个新的方面中的训练适合于基于附近计量目标的测量而测量实际装置结构的SRM测量模型的方法100。
图4说明测量基于附近计量目标的测量(其基于经训练SRM测量模型)而测量实际装置结构的方法110。
图5描绘适合于实施图3中所描绘的方法100及图4中所描绘的方法110的计量系统300。
图6说明具有目标栅格(其展现一个实施例中的叠加误差的已知变化)的DOE晶片180。
图7描绘由光学计量系统测量的计量目标170的横截面。
图8描绘包含有源场121到124、栅极125到128及触点129到140的实际装置结构120的俯视图。
图9说明边缘放置距离(EPD1)的已知值及由图8中所描绘的实际装置结构120的经训练SRM测量模型预测的值的标绘图150。
图10说明每一数据点的EPD1的残余值的标绘图160。
图11描绘说明数据点191的图解190,数据点191表示由参考测量系统针对DOE训练集中所表示的每一剂量而测量的EPE的值。
具体实施方式
现将详细参考本发明的背景实例及一些实施例,在附图中说明本发明的实例。
本文呈现基于附近计量目标的光学测量而估计实际装置结构的所关注参数的值的方法及系统。采用高处理量线内计量技术(例如光学散射测量、成像叠加或其它技术)来测量位于实际装置结构附近的计量目标。所述高处理量线内计量技术通常对实际装置结构的所关注参数缺乏测量敏感度,但对附近计量目标的参数具有足够敏感度。将所述光学计量目标定位成足够接近于所关注的实际装置结构以确保:空间工艺变化不会显著影响测量算法的稳健性。将从所述计量目标收集的测量数据提供到经训练信号响应计量(SRM)模型。所述经训练SRM模型基于计量目标的测量而估计实际装置结构的一或多个所关注参数的值。所关注参数的实例包含(但不限于)位于晶片的装置区域中的实际装置结构的边缘放置误差(EPE)、叠加、间距游走及临界尺寸(CD)。
在一个新的方面中,SRM(信号响应计量)模型经训练以针对一组测量位点在实际装置结构的所关注参数的测量值与同至少一个附近计量目标的测量相关联的对应测量数据之间建立函数关系。以此方式,以测量为特征的装置(即,实际装置结构)不同于经历由高处理量计量技术进行的测量的计量目标。
在一些实例中,使待测量的计量目标与待特性化的实际装置结构空间分离。计量目标经选择以产生对所关注参数(例如叠加或EPE)具有高敏感度的光学测量信号。然而,对使用参考工具(例如CD-SEM、CD/OVL-SAXS、AFM或基于模型的光学CD)测量的实际装置结构执行训练或映射。在执行映射之后,可线内测量生产晶片且通过经训练SRM模型将从计量目标收集的测量数据映射到实际装置结构的所关注参数。
在一些其它实例中,按时间使计量目标与待测量的实际装置结构分离(即,通过一或多个过程步骤而分离)。在这些实例中,训练序列可使用由一或多个处理步骤而与待测量的实际装置结构分离的相同或不同类型的目标。在一些实例中,计量目标是特定过程状态中的实际装置结构。从特定过程状态中的实际装置结构收集的光学测量数据用于训练本文所描述的测量模型。接着,使用所述经训练测量模型基于从相同特定过程状态处的相同或不同装置结构收集的用于训练所述测量模型的光学测量数据而计算随后过程状态中的实际装置结构的结构参数、工艺参数或两者的值。
高处理量光学计量技术通常对实际装置参数(例如EPE、叠加、CD、间距游走等等)缺乏敏感度。为训练的目的,由能够直接测量实际装置结构的参考计量系统执行实际装置结构的测量。举例来说,可采用临界尺寸扫描电子显微镜(CD-SEM)、临界尺寸小角度X射线散射计(CD-SAXS)、原子力显微镜(AFM)等等来执行实际装置结构的参考测量。归因于低处理量、每一个别位点的高测量不确定性等等,这些测量技术不能用于线内计量。然而,可采用使由高处理量计量技术从附近计量目标收集的测量数据与实际装置结构的所关注参数相关的经训练SRM模型来提供所关注参数(例如EPE、OVL、间距游走、CD)的线内计量。
在工艺参数(例如光刻焦点、曝光、像差参数、叠加偏移、蚀刻时间、温度、压力及其它局部或全局参数)的控制DOE(实验设计)变化下执行SRM模型的训练。在完成训练之后,线内光学计量可以独立或集成模式操作。
在另一方面中,采用经训练SRM来确定使测量装置参数值在规格内的工艺参数的校正。可实时或在一次性地执行所述校正。
在另一进一步方面中,采用SRM模型来测量所关注的工艺参数(例如焦点/剂量、曝光等等),以及来自相同计量目标的一或多个所关注结构参数(例如EPE、叠加、CD、间距游走等等)。在这些实例中,所测量的基于光学的训练数据还包含所关注工艺参数的已知值。以此方式,经训练测量模型对所关注的这些参数敏感。采用此经训练测量模型来使所关注的结构参数及工艺参数两者特性化。
在另一进一步方面中,位于DOE晶片的一或多个测量位点上的计量目标优选地是设计规则目标。换句话来说,计量目标遵守适用于基本半导体制造工艺的设计规则。在一些实例中,计量目标优选地位于有源裸片区域内。在一些实例中,计量目标具有15μm×15μm或更小的尺寸。以此方式,可分析对由光刻缺陷诱发的场内变化的叠加的影响。在一些其它实例中,计量目标位于划线中或否则位于有源裸片区域外。
如本文所描述,通过使用原始光学测量数据来产生测量模型减小与基于传统模型的计量方法相关联的误差及近似值。另外,测量模型对系统误差、不对称性等等不敏感,这是因为测量模型基于从特定计量系统收集的光学测量数据而训练且用于基于从相同计量系统收集的光学测量数据而执行测量。
本文所描述的经训练测量模型直接接收光学测量数据作为输入且提供所关注参数的值作为输出。通过简化测量过程,改进了预测结果并且减少了计算及用户时间。在一些实例中,可在1小时内创建测量模型。另外,通过采用简化模型,与现有计量方法相比减少了测量时间。
图3说明在至少一个新颖方面中的训练SRM测量模型的方法100。方法100适合于由计量系统(例如本发明的图5中所说明的计量系统300)实施。一方面,应认识到,可经由由计算系统330或任何其它通用计算系统的一或多个处理器执行的预编程算法执行方法100的数据处理框。本文应认识到,计量系统300的特定结构方面不表示限制,且应被解释为仅具说明性。以所选择的时间间隔或当发生工艺变化或偏离时执行训练。不对每个生产晶片执行使用从参考计量工具收集的数据对测量模型进行的训练。
在框101中,由计算系统(例如计算系统330)接收由参考计量系统测量的一或多个实际装置结构的一或多个所关注参数的参考测量值。通过非限制性实例,实际装置结构的所关注参数包含CD、叠加、EPE等等。
由参考计量系统探测的测量位点包含具有由参考计量系统测量的一或多个所关注参数的实际装置结构。一般来说,可由一或多个参考计量系统执行参考测量。通过非限制性实例,可单独或组合地使用SEM、AFM、SAXS及电测量系统产生参考测量值。可对装置结构或位于(例如)划线区域中的类装置结构执行由参考计量系统进行的测量以使所述结构更适合于参考计量测量。
在框102中,由计算系统(例如计算系统330)接收与安置于一或多个实际装置结构附近的一或多个计量目标的测量相关联的测量数据。由基于光学的计量系统(例如光学散射计、光学叠加成像系统等等)执行所述计量目标的测量。一般来说,由光学计量系统探测的计量目标展现对依据一组DOE计量目标及相关联的实际装置结构的部分而变化的一或多个工艺参数的敏感度。
在用于训练目的的一或多个半导体晶片的表面上的DOE图案中组织一或多个工艺参数、结构参数或两者的变化。以此方式,参考测量系统及光学测量系统询问对应于一或多个工艺参数、结构参数或两者的不同值的晶片表面上的不同位置。
在一些实例中,在单个晶片上产生一组DOE计量目标及对应实际装置结构。举例来说,可通过改变依据单个晶片的表面上的位置而变化的蚀刻设置、光刻焦点、剂量、像差及叠加设置中的任何一者或组合而产生所述组DOE计量目标及所述实际装置结构。在一些实例中,在若干晶片上产生一组DOE计量目标及对应实际装置结构。举例来说,可使用一组多个DOE晶片来探究影响整个晶片的工艺参数(例如沉积时间、蚀刻时间、晶片级光刻焦点等等)的变化影响。以此方式,探究工艺参数的变化对测量光学计量信号及所关注的实际装置参数的影响。
可将训练目标提供于单独训练晶片或生产晶片上。在一些实例中,计量目标位于生产晶片的划线中。在一些其它实例中,计量目标位于有源裸片区域中。
在一些实例中,包含DOE变化的特殊掩模或掩模集经设计以产生训练晶片。在一些其它实例中,训练目标可位于生产掩模内。在一些其它实例中,DOE变化完全由过程控制设置控制而无需特殊掩模或掩模特征。
在一个实例中,DOE图案是光刻叠加误差图案。通常,展现叠加误差图案的DOE晶片包含测量位点的栅格图案。在一个栅格方向(例如x方向)上,改变x方向上的叠加,同时使y方向上的叠加保持恒定。在正交栅格方向(例如y方向)上,改变y方向上的叠加误差,同时使x方向上的叠加误差保持恒定。以此方式,从DOE晶片收集的参考测量数据包含与x方向及y方向两者上的光刻叠加设置的变化相关联的数据。图6描绘具有栅格目标(例如目标181)(其展现对叠加的变化的敏感度)的DOE晶片180。x方向叠加误差依据x方向上的DOE晶片180上的位置而变化。y方向叠加误差依据y方向上的DOE晶片180上的位置而变化。在一些实例中,x方向叠加误差及y方向叠加误差在从-20纳米到20纳米的范围内。在一些其它实例中,x方向叠加误差及y方向叠加误差在从-80到80纳米的范围内。
一般来说,针对对工艺参数、所关注结构参数或两者的变化的适印性及敏感度设计光学计量目标。在一些实例中,光学计量目标是属于不同于对应实际装置结构的类型的专门目标。在一些实施例中,计量目标是基于常规线/空间目标。通过非限制性实例,可采用CD目标、SCOL目标或AiM目标。在一些其它实施例中,计量目标是类装置结构。在一些其它实例中,光学计量目标是装置结构或装置结构的部分。无论采用哪种类型的计量目标,都必须提供展现对所探究的工艺变化、结构变化或两者的敏感度的一组训练目标以训练测量模型。一旦已训练模型,那么可使用所述模型来执行具有一或多个所关注参数(如本文所描述)的未知值的实际装置结构的测量。
在一些实例中,(例如)使用光谱椭圆偏振测量(SE)工具(其提供宽范围的波长及与两个独立偏振之间的相位延迟有关的信息)执行光学测量。在一些实例中,也可采用穆勒(Mueller)矩阵SE。在一些其它实例中,由波长分辨或角分辨反射计执行光学测量。
任选地,在框103中,基于数学变换从光学测量数据提取数个主特征。所述变换减少光学测量数据的维度且将原始信号映射到一组减少的新信号。将每一测量信号视为在光学测量数据集中的不同测量的过程范围内改变的原始信号。可将所述变换应用于所有测量信号或测量信号的子集。在一些实例中,随机地选择经受分析的信号。在一些其它实例中,归因于经受分析的信号对工艺参数的变化的相对较高敏感度选择所述信号。举例来说,可忽略对工艺参数的变化不敏感的信号。
通过非限制性实例,可使用主分量分析(PCA)模型、核PCA模型、非线性PCA模型、独立分量分析(ICA)模型或其它降维方法(其使用字典、离散余弦变换(DCT)模型、快速傅里叶变换(FFT)模型、小波模型等等)中任何者实现变换。
在框104中,基于由参考计量系统测量的实际装置结构的光学测量数据及一或多个所关注参数的参考测量值训练测量模型。如果执行任选框103,那么基于从由参考计量系统测量的实际装置结构的光学测量数据及一或多个所关注参数的参考测量值提取的主特征训练所述测量模型。在一些实施例中,将所述测量模型实施为类神经网络模型。在一个实例中,基于从训练数据提取的特征选择类神经网络的节点的数目。在其它实例中,可将所述测量模型实施为线性模型、多项式模型、响应曲面模型、支持向量机模型或其它类型的模型。在一些实例中,可将所述测量模型实施为模型的组合。在一些实例中,基于主特征(减小信号集)及参考值训练所选择的模型。模型经训练使得其输出拟合由DOE计量目标集及对应实际装置结构界定的工艺变化空间中的所有测量信号的参考测量值。
以此方式,经训练测量模型将使用光学计量工具测量的光学计量信号映射到使用参考工具测量的所关注实际装置参数。
在另一方面中,确定DOE工艺变化空间内的过程变量与使用参考工具测量的所关注实际装置参数之间的映射。由于针对由DOE组界定的工艺变化空间中的所有测量信号训练模型,所以经训练测量模型可经扩增以还将过程条件映射到使用参考工具测量的所关注实际装置参数。
在另一方面中,测量模型可经进一步训练以将使用光学计量工具测量的光学计量信号映射到由参考工具测量或先验已知(例如,基于所关注参数与已知工艺参数值之间的已知关系)的测量计量目标的所关注参数。
图7描绘由光学计量系统(例如散射测量叠加)测量的计量目标170的横截面。计量目标170包含材料层171,其包含具有中间临界尺寸L1-MCD的结构172。材料层173及174使结构172与BARC层175分离。具有中间临界尺寸PR-MCD的抗蚀剂结构176安置于BARC层175的顶部上。如图中所描绘,计量目标170包含待测量的L1-MCD、PR-MCD及叠加偏移OVL。
图8描绘包含有源场121到124、栅极125到128及触点129到140的实际装置结构120的俯视图。图8说明栅极127与触点138之间的边缘放置距离EPD1。图8还说明栅极128与触点138之间的边缘放置距离EPD2与栅极126与触点134之间的边缘放置距离EPD3。必须小心地控制所述边缘放置距离以确保高装置良率。如果与这些边缘放置距离中的任何者相关联的边缘放置误差过大,那么装置将失效。如图8中所说明,叠加误差及CD误差两者促成EPE。举例来说,如果与触点相关联的层未与与栅极相关联的层对准,那么产生EPE。类似地,如果与触点结构相关联的CD偏离标称尺寸,那么产生EPE。举例来说,触点133及136过大。结果是每一触点与对应栅极结构之间的叠加及装置失效。
在一个实例中,工艺变化经引入以产生包含OVL、PR-MCD及L1-MCD的变化的DOE集。通过改变产生PR-MCD及L1-MCD的光刻步骤中的每一者的剂量且改变最后光刻步骤处的叠加而在单个晶片上实现所述DOE集。预先确定所有工艺变化且将其随机地分布于整个晶片上。具有不同随机地分布DOE参数的多个晶片可用于增加样本的总数。
在此实例中,从每一计量目标(例如计量目标170)处的DOE晶片收集光学散射测量信号。另外,收集每一对应装置结构(例如装置结构120)的对应参考EPD测量(例如CD-SEM或CD-SAXS)。光学测量数据及参考测量用于创建SRM测量模型。在一个实例中,所述SRM模型经训练以预测EPD1参数值,如图8中所描绘。图9及10中说明所述SRM测量模型的训练的结果。在此实例中,通过使用所述模型测量一组计量目标及对应装置结构参数(其尚未作为训练数据集的部分参与,但与具有已知EPD值(例如,由CD-SEM或CD-SAXS测量)的装置结构对应)确定所述经训练测量模型的测量性能。预期EPD值与测量EPD值之间的差异指示模型性能。图9说明EPD1的已知值及由经训练SRM测量模型预测的值的标绘图150。图10说明每一数据点的EPD1的残余值(EPD1的实际值与预测值之间的差异)的标绘图160。如图10中所说明,与EPD1的测量相关联的残余误差在亚纳米范围内。
以类似方式,可使用来自相同计量目标的相同散射测量信号来创建用于测量其它参数值(例如与实际装置结构120相关联的EPD2、EPD3及叠加)的不同SRM模型。举例来说,可采用SRM模型来将特殊计量目标(例如计量目标170)上所测量的叠加映射成与实际装置结构(例如实际装置结构120)相关联的叠加。以模拟方式,可使用来自相同计量目标的相同散射测量信号来创建不同SRM模型,所述不同SRM模型使用来自DOE的对应参考值测量与计量目标本身相关联的参数值,例如OVL、PR-MCD及L1-MCD。
在另一方面中,采用经训练模型作为具有未知参数值的其它实际装置结构的测量模型。测量模型经结构化以接收由一或多个光学计量系统在一或多个计量目标处产生的光学测量数据,且直接确定对应实际装置结构的一或多个所关注参数。图4说明适合于由计量系统(例如本发明的图5中所说明的计量系统300)实施的方法110。一方面,应认识到,可经由计算系统330或任何其它通用计算系统的一或多个处理器执行的预编程算法执行方法110的数据处理框。本文应认识到,计量系统300的特定结构方面不表示限制,而是应被解释为仅具说明性。
在框111中,由计算系统(例如计算系统330)接收与安置于一或多个实际装置结构附近的一或多个计量目标的测量相关联的某一数量的光学测量数据。光学测量数据源自于通过相同光学计量技术或光学计量技术的组合执行的测量,如参考方法100所描述。类似地,光学测量数据包含相同类型的结构的测量(如参考方法100所描述),但具有未知装置参数值。
在任选框112中,基于减少测量数据的维度的数学变换确定来自测量数据的至少一部分的主特征。在一些实施例中,所述变换是用于减少参考方法100所描述的对应训练数据的维度的相同变换。优选地,使用用于在方法100中从训练数据提取特征的相同分析从光学测量数据提取特征。以此方式,由用于减少训练数据的维度的相同变换执行所获取数据的维度减少。
在框113中,基于光学测量数据与经训练测量模型(例如参考方法100所描述的经训练测量模型)的拟合确定一或多个实际装置结构的一或多个参数值的值。当采用任选框112时,基于主特征与经训练测量模型的拟合确定一或多个实际装置结构的一或多个参数值的值。以此方式,基于经训练测量模型及减小测量信号集确定装置参数值。
在框114中,将所确定的装置参数值存储于存储器中。举例来说,所述装置参数值可存储于测量系统300的板上(例如,存储于存储器332中),或可(例如,经由输出信号340)传送到外部存储器装置。
如上文所描述,针对由DOE组界定的工艺变化空间中的所有测量信号训练测量模型。因此,可确定DOE工艺变化空间内的过程变量与使用参考工具测量的所关注实际装置参数之间的映射。
图11描绘包含示范性数据点191的说明图解190,数据点191表示由参考测量系统针对DOE训练集中所表示的每一剂量测量的EPE的值。举例来说,通过使曲线192与数据点191拟合而建立EPE与剂量之间的函数关系。
在另一方面中,基于实际装置参数的测量值及所述实际装置参数与一或多个工艺参数之间的映射确定所述一或多个工艺参数的校正。举例来说,图11中所说明的点193表示由经训练测量模型确定的EPE的测量值,如参考方法110所描述。期望校正工艺剂量,使得EPE的随后测量值将在EPEmax与EPEmin之间的规格范围内。基于EPE与剂量之间的函数映射确定剂量校正值ΔDOSE,其应导致处于规格范围的中间的EPE的测量值。
为说明目的呈现图11中所说明的实例。一般来说,可产生使DOE过程变量与所关注的实际装置参数相关的多维响应曲面。一或多个工艺参数的校正可经计算以基于所述多维响应曲面而驱动规格内的所关注装置参数的测量值。
另一方面中,本文所描述的方法及系统并非仅限于所关注的单个参数的测量。一般来说,可将前述光学测量技术应用于其它工艺参数、结构参数、色散参数或这些参数的任何组合的测量。通过非限制性实例,可使用前述技术测量叠加、轮廓几何参数(例如临界尺寸)、工艺参数(例如焦点及剂量)、色散参数、间距游走、边缘放置误差或参数的任何组合。必须提供具有所关注的每一参数的变化的一组训练目标。接着,基于通过计量目标收集的光学测量数据及装置参数值的参考测量(其包含如本文所描述的所关注每一参数的变化范围)训练测量模型。在针对所关注的每一参数训练测量模型的情况下,单个计量目标测量可将输入提供到测量模型以确定所关注的每一参数的值。
在另一进一步方面中,用于训练测量模型的方法及系统包含优化算法以使所需元素中的任何者或所有所需元素自动到达经训练测量模型。
在一些实例中,优化算法经配置以通过优化以下参数中的任何者或所有以下参数而最大化测量的性能(由成本函数界定):特征提取模型(即,变换)的类型、所选择的特征提取模型的参数、测量模型的类型、所选择的测量模型的参数。所述优化算法可包含用户定义的启发式算法且可为嵌套优化的组合(例如组合及连续优化)。
在另一方面中,从多个不同目标收集光学测量数据以用于模型构建、训练及测量。与具有不同结构但通过相同过程条件形成的多个目标相关联的数据的使用增加了嵌入于模型中的信息且减少了过程或其它参数变化的校正。嵌入于模型中的额外信息允许与所关注的一个参数相关联的信息内容及与可以类似方式影响测量信号的其它参数(例如膜厚度、CD等等)相关联的信息解耦。在这些实例中,训练数据(其包含一或多个测量位点处的多个不同目标的图像)的使用实现更准确参数估计。在一些实例中,采用隔离及密集线/空间目标的混合来使叠加与底层效应解耦。在一些实例中,在每一裸片中采用正交方向上的多个不同目标偏移。这可有利地最小化底层对测量准确度的影响。在一个实例中,因为SRAM装置区域对CD变化敏感,所以可结合对叠加敏感的另一计量目标将所述SRAM装置区域用作为一个计量目标。
在另一进一步方面中,来自多个目标的信号可经处理以减少对工艺变化的敏感度且增加对所关注参数的敏感度。在一些实例中,使来自不同目标的信号彼此相减。在一些其它实例中,使来自不同目标的信号与模型拟合,且使用残差来构建、训练及使用如本文所描述的测量模型。在一个实例中,来自两个不同目标的信号经相减以消除或显著减少每一测量结果中的过程噪声的效应。一般来说,可在来自不同目标的信号之间应用各种数学运算以确定对工艺变化具有减少敏感度且对所关注参数具有增加敏感度的信号。
在另一进一步方面中,收集源自于由多个不同测量技术的组合执行的测量的测量数据用于模型构建、训练及测量。与多个不同测量技术相关联的测量数据的使用增加信号组合集中的信息内容且减少过程或其它参数变化的校正。测量数据可源自于由多个不同测量技术的任何组合执行的测量。以此方式,可通过多个不同测量技术(例如光学SE、成像叠加等等)测量不同测量位点以增加可用于估计所关注参数的测量信息。
一般来说,可在本专利文件的范围内预期任何测量技术或两个或两个以上测量技术的组合,这是因为由用于训练及测量的特征提取模型及测量模型处理的数据呈向量形式。因为如本文所描述的信号响应计量技术对数据的向量进行操作,所以独立地处理收集的每一信号。另外,无论数据是否为二维数据、一维数据或甚至单点数据,都可串接来自多个不同计量的数据。
示范性测量技术(其可根据本文所描述的信号响应计量技术而提供用于分析的数据)包含(但不限于)光谱椭圆偏振测量(其包含穆勒矩阵椭圆偏振测量)、光谱反射测量、光谱散射测量、散射测量叠加、光束轮廓反射测量(角分辨及偏振分辨两者)、光束轮廓椭圆偏振测量、单离散波长或多离散波长椭圆偏振测量、传输小角度x射线散射计(TSAXS)、小角度x射线散射(SAXS)、掠入射小角度x射线散射(GISAXS)、广角x射线散射(WAXS)、x线反射率(XRR)、x射线衍射(XRD)、掠入射x射线衍射(GIXRD)、高分辨率x射线衍射(HRXRD)、x射线光电子光谱分析(XPS)、x线荧光分析(XRF)、掠入射x射线荧光分析(GIXRF)、x射线断层摄影术及x射线椭圆偏振测量。一般来说,可个别地或以任何组合方式考虑可应用于半导体结构的特性化的任何计量技术,其包含基于图像的计量技术。
在另一进一步方面中,由多个计量测量的信号可经处理以减少对工艺变化的敏感度且增加对所关注参数的敏感度。在一些实例中,使来自由不同计量测量的目标的信号彼此相减。在一些其它实例中,使来自由不同计量测量的目标的信号与模型拟合,且使用残差来构建、训练及使用如本文所描述的测量模型。在一个实例中,来自由两个不同计量测量的目标的信号经相减以消除或显著减少每一测量结果中的过程噪声的效应。一般来说,可在由不同计量测量的信号之间应用各种数学计算以确定对工艺变化具有减少敏感度且对所关注参数具有增加敏感度的信号。
一般来说,来自各自由多个计量技术测量的多个目标的信号增加信号组合集中的信息内容且减少工艺或其它参数变化的校正。
在另一方面中,在差分模式中实施用于训练及测量如上文所描述的实际装置结构的方法及系统。在此方案中,在处理流程的两个不同步骤处执行计量目标测量及任何相关联的参考测量。将每一不同处理步骤处的测量信号的差异视为用于训练目的的训练信号及用于测量目的的测量信号。
在一个实例中,在光刻步骤及随后蚀刻步骤处将相同位置点用于计量目标测量。所述光刻与蚀刻步骤之间的差异信号允许在每个点位点的基础上监测工艺可变性,即使结构在晶片上的点之间变化(例如,归因于过程步骤或小定位误差)。此差分计量模式可优选地用于SRAM装置区域(其中测量目标的变化存在于晶片上的不同场之间)的计量。
在一些实例中,测量目标的变化起因于测量结构的周期性的缺陷(例如有限结构大小)或无法在计量系统的测量点内足够地重复其它周期性结构的情形中的缺陷。在一些实例中,测量目标的变化起因于光学计量系统的小点大小及计量系统的测量位置放置误差。
在一些实例中,一或多个蚀刻步骤之前与之后的实际装置参数值之间的差异可用作到蚀刻工艺的闭环控制的输入。
一般来说,差分SRM计量允许可用于(例如)设置两个过程监测步骤(即,蚀刻及光刻)之间的目标偏压,提供每场校正或提供高阶校正(例如OVL或EPE控制)的全局(晶片)、场(场平均)或局部(每位点)结果。
图5说明用于根据本文所呈现的示范性方法测量样品的特性的系统300。如图5中所展示,系统300可用于执行样品301的一或多个结构的光谱椭圆偏振测量。在此方面中,系统300可包含装备有照明器302及光谱仪304的光谱椭偏仪。系统300的照明器302经配置以产生所选择的波长范围(例如100到2500nm)的照明且将所述照明引导到安置于样品301的表面上的结构。光谱仪304又经配置以接收从样品301的表面反射的照明。应进一步注意,使用偏振态产生器307使从照明器302射出的光偏振以产生偏振照明光束306。使由安置于样品301上的结构反射的辐射穿过偏振态分析器309且到光谱仪304。关于偏振态分析收集光束308中的由光谱仪304接收的辐射,从而允许由穿过分析器的辐射的光谱仪进行光谱分析。将这些光谱311传到用于分析结构的计算系统330。
如图5中所描绘,系统300包含单个测量技术(即,SE)。然而,一般来说,系统300可包含任何数目个不同测量技术。通过非限制性实例,系统300可被配置为光谱椭偏仪(其包含穆勒矩阵椭圆偏振测量)、光谱反射计、光谱散射计、叠加散射计、角分辨光束轮廓反射计、偏振分辨光束轮廓反射计、光束轮廓反射计、光束轮廓椭偏仪、任何单波长或多波长椭偏仪或其任何组合。此外,一般来说,可从多个工具而非集成多个技术的一个工具收集由不同测量技术收集且根据本文所描述的方法分析的测量数据。
在另一实施例中,系统300可包含一或多个计算系统330,其用于基于根据本文所描述的方法而开发的测量模型执行实际装置结构的测量。一或多个计算系统330可以通信方式耦合到光谱仪304。一方面,一或多个计算系统330经配置以接收与样品301的结构的测量相关联的测量数据311。
应认识到,可由单计算机系统330或替代地多计算机系统330执行贯穿本发明所描述的各种步骤。此外,系统300的不同子系统(例如光谱椭偏仪304)可包含适合于执行本文所描述的步骤的至少一部分的计算机系统。因此,以上描述不应被解释为对本发明的限制,而是仅为说明。此外,一或多个计算系统330可经配置以执行本文所描述的方法实施例中的任何者的任何其它步骤。
另外,计算机系统330可按所属领域中已知的任何方式以通信方式耦合到光谱仪304。举例来说,一或多个计算系统330可耦合到与光谱仪304相关联的计算系统。在另一实例中,光谱仪304可由耦合到计算机系统330的单个计算机系统直接控制。
计量系统300的计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体从系统的子系统(例如光谱仪304及类似物)接收及/或获取数据或信息。以此方式,所述传输媒体可充当系统300的计算机系统330与其它子系统之间的数据链路。
集成计量系统300的计算机系统330可经配置以通过可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如测量结果、建模输入、建模结果、参考测量结果等等)。以此方式,所述传输媒体可充当计算机系统330与其它系统(例如存储器板上计量系统300、外部存储器、参考测量源320或其它外部系统)之间的数据链路。举例来说,计算系统330可经配置以经由数据链路从存储媒体(即,存储器332或外部存储器)接收测量数据。例如,可将使用光谱仪304获得的光谱结果存储于永久或半永久存储器装置(例如存储器332或外部存储器)中。就此来说,可从板上存储器或从外部存储器系统导入所述光谱结果。在另一实例中,计算系统330可经配置以经由数据链路而从参考测量源(例如存储媒体)接收参考测量数据321。例如,可将使用参考测量系统获得的参考测量结果存储于永久或半永久存储器装置中。就此来说,可从板上存储器或外部存储器系统导入所述参考测量结果。此外,计算机系统330可经由传输媒体将数据发送到其它系统。例如,可传送由计算机系统330确定的测量模型或实际装置参数值340且将其存储于外部存储器中。就此来说,可将测量结果导出到另一系统。
计算系统330可包含(但不限于)个人计算机系统、主机计算机系统、工作站、图像计算机、并行处理器或所属领域中已知的任何其它装置。一般来说,术语“计算系统”可经广泛地定义以涵盖具有一或多个处理器(其执行来自存储器媒体的指令)的任何装置。
可通过传输媒体(例如导线、电缆或无线传输链路)传输实施方法(例如本文所描述的方法)的程序指令334。举例来说,如图5中所说明,通过总线333将存储于存储器332中的程序指令334传输到处理器331。将程序指令334存储于计算机可读媒体(例如存储器332)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
在一些实例中,将本文所描述的模型构建、训练及测量方法实施为从美国加利福尼亚州苗必达市的科磊公司(KLA-Tencor Corporation,Milpitas California,USA)购买的
Figure GDA0002121935670000161
光学临界尺寸计量系统的元素。以此方式,在由所述系统收集DOE晶片光谱之后立即创建模型且使其准备好投入使用。
在一些其它实例中,(例如)由实施从美国加利福尼亚州苗必达市的科磊公司购买的
Figure GDA0002121935670000162
软件的计算系统离线实施本文所描述的模型构建及训练方法。可将所得经训练模型并入为可由执行测量的计量系统存取的
Figure GDA0002121935670000163
链接库的元素。
在又一方面中,可使用本文所描述的测量模型结果将主动反馈提供到工艺工具(例如光刻工具、蚀刻工具、沉积工具等等)。举例来说,可将使用本文所描述的方法确定的EPE或叠加误差的值传送到光刻工具以调整光刻系统以实现所期望的输出。以类似方式,可将蚀刻参数(例如蚀刻时间、扩散率等等)或沉积参数(例如时间、浓度等等)包含于测量模型中以将主动反馈分别提供到蚀刻或沉积工具。在某一实例中,可将基于测量装置参数值及经训练测量模型确定的工艺参数的校正传送到光刻工具、蚀刻工具或沉积工具。
一般来说,可将本文所描述的系统及方法实施为使测量模型准备用于离线测量或工具上测量的过程的部分。
如本文所描述,术语“临界尺寸”包含结构的任何临界尺寸(例如底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角、光栅高度等等)、任何两个或两个以上结构之间的临界尺寸(例如两个结构之间的距离)及两个或两个以上结构之间的位移(例如叠加光栅结构之间的叠加位移等等)。结构可包含三维结构、图案化结构、叠加结构等等。
如本文所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文所描述,术语“计量系统”包含至少部分用于样品在任何方面特性化的任何系统,其包含测量应用,例如临界尺寸计量、叠加计量、焦点/剂量计量及组成计量。然而,所属领域的此类术语不限制如本文所描述的术语“计量系统”的范围。另外,计量系统300可经配置以用于测量图案化晶片及/或未图案化晶片。计量系统可被配置为LED检验工具、边缘检验工具、背侧检验工具、宏观检验工具或多模式检验工具(同时涉及来自一或多个平台的数据)及任何其它计量或检验工具(其受益于基于临界尺寸数据而校准系统参数)。
本文描述可用于处理样品的半导体处理系统(例如检验系统或光刻系统)的各种实施例。术语“样品”在本文中用于指可由所属领域中已知的方式处理(例如,印刷或检验缺陷)的晶片、光罩或任何其它样本。
如本文所使用,术语“晶片”一般是指由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。通常可在半导体制造设施中找到及/或处理此类衬底。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可“被图案化”或“未被图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为光罩制造工艺的任何阶段处的光罩,或可为可或不可准予用于半导体制造设施中的完成光罩。一般将光罩或“掩模”界定为大体上透明衬底,其具有形成于其上且以图案配置的大体上不透明区域。所述衬底可包含(例如)玻璃材料,例如非晶SiO2。可在光刻工艺的曝光步骤期间将光罩安置于抗蚀剂覆盖晶片上方,使得所述光罩上的所述图案可被转印到抗蚀剂。
形成于晶片上的一或多个层可被图案化或未被图案化。举例来说,晶片可包含各自具有可重复图案特征的多个裸片。此类材料层的形成及处理可最终导致完成装置。许多不同类型的装置可形成于晶片上,且如本文所使用,术语晶片希望涵盖其上制造所属领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,可在硬件、软件、固件或其任何组合中实施所描述的功能。如果在软件中实施功能,可将功能存储于计算机可读媒体上或作为计算机可读媒体上的一或多个指令或代码而传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,其包含促进计算机程序从一个位置转移到另一位置的任何媒体。存储媒体可为可由通用或专用计算机存取的任何可用媒体。通过实例(但不限于),此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置或任何其它媒体,其可用于载送或存储呈指令或数据结构的形式的所期望的程序代码构件且可由通用或专用计算机或通用或专用处理器存取。此外,将任何连接适当地称为计算机可读媒体。举例来说,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外、无线电及微波)从网站、服务器或其它远程源传输软件,那么将同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外、无线电及微波)包含于媒体的定义中。如本文所使用,磁盘及光盘包含压缩光盘(CD)、激光光盘、光盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地复制数据,而光盘使用激光来光学地复制数据。也应将上述内容的组合包含于计算机可读媒体的范围内。
尽管上文出于教学目的而描述某些特定实施例,但本专利文件的教示具有一般适用性且不受限于上文所描述的特定实施例。因此,可在不背离如权利要求书中所陈述的本发明的范围的情况下实践所描述实施例的各种特征的各种修改、调适及组合。

Claims (22)

1.一种计量方法,其包括:
接收在一或多个过程变量的值的范围内重复地制造的一或多个实际装置结构的一或多个所关注参数的参考值,其中所述参考值由参考计量系统测量;
提供第一数量的照明光至安置于所述一或多个实际装置结构附近的一或多个计量目标;
响应于提供至所述一或多个计量目标的所述第一数量的照明光,检测来自所述一或多个计量目标的一定数量的光,所检测的一定数量的光包括第一数量的光学测量数据;
接收所述第一数量的测量数据,其中所述第一数量的测量数据源自于通过至少一个光学计量系统执行的测量,所述至少一个光学计量系统不同于所述参考计量系统;及
基于所述第一数量的测量数据及所述一或多个所关注参数的所述参考值训练测量模型。
2.根据权利要求1所述的计量方法,其中所述测量模型的所述训练涉及:
确定所述一或多个过程变量中的每一者与所述一或多个实际装置结构的所述一或多个所关注参数的所述参考值之间的映射。
3.根据权利要求1所述的计量方法,其中所述测量模型的所述训练涉及:
基于所述第一数量的测量数据的变换确定所述第一数量的测量数据的多个主特征,所述变换减少所述第一数量的测量数据的维度。
4.根据权利要求3所述的计量方法,其中所述第一数量的光学测量数据的所述变换涉及主分量分析PCA、独立分量分析ICA、核PCA、非线性PCA、快速傅里叶变换FFT分析、离散余弦变换DCT分析及小波分析中的任何者。
5.根据权利要求1所述的计量方法,其中所述测量模型是线性模型、多项式模型、神经网络模型、支持向量机模型、决策树模型及随机森林模型中的任何者。
6.根据权利要求1所述的计量方法,其中所述第一数量的光学测量数据包含通过所述一或多个过程变量的相同值形成的多个不同计量目标的测量的组合。
7.根据权利要求1所述的计量方法,其中所述第一数量的光学测量数据包含通过多个不同计量技术获取的测量。
8.根据权利要求3所述的计量方法,其中所述第一数量的光学测量数据的所述变换涉及:确定来自不同目标的测量的信号之间的差异、来自通过不同计量技术获取的测量的信号之间的差异、来自不同过程步骤处所获取的测量的信号之间的差异,或其任何组合。
9.根据权利要求3所述的计量方法,其中所述第一数量的光学测量数据的所述变换涉及:确定来自不同目标的测量的信号的拟合模型的残差、来自通过不同计量技术获取的光学测量的信号的拟合模型的残差、来自不同过程步骤处所获取的测量的信号的拟合模型的残差,或其任何组合。
10.根据权利要求1所述的计量方法,其中所述计量目标是实际装置结构。
11.根据权利要求1所述的计量方法,其中所述一或多个所关注参数包含边缘放置距离、边缘放置误差及叠加中的任何者。
12.根据权利要求1所述的计量方法,其中所述参考计量系统包含扫描电子显微镜及小角度x射线散射计中的任何一者或组合。
13.根据权利要求2所述的计量方法,其进一步包括:
接收与安置于一或多个实际装置结构附近的一或多个计量目标的测量相关联的第二数量的测量数据,其中所述第二数量的测量数据源自于通过相同的所述至少一个光学计量技术执行的测量;
基于第二数量的测量数据与经训练测量模型的拟合确定所述一或多个实际装置结构的所关注参数的测量值;及
将所述所关注参数的值存储于存储器中。
14.根据权利要求13所述的计量方法,其进一步包括:
基于所述所关注参数的所述测量值及所述一或多个过程变量中的每一者与所述一或多个实际装置结构的所述一或多个所关注参数的所述参考值之间的所述映射确定所述过程变量中的一或多者的值的校正。
15.一种计量系统,其包括:
光学计量工具,其包含至少一个照明源及至少一个检测器,所述至少一个照明源提供第一数量的照明光至安置于一或多个实际装置结构附近的一或多个计量目标;所述至少一个检测器响应于提供至所述一或多个计量目标的所述第一数量的照明光而检测来自所述一或多个计量目标的一定数量的光,所检测的一定数量的光包括第一数量的光学测量数据;及
存储指令的非暂时性、计算机可读媒质,所述指令在由一或多个处理器执行时引起所述一或多个处理器进行以下操作:
接收在一或多个过程变量的值的范围内重复地制造的所述一或多个实际装置结构的一或多个所关注参数的参考值,其中所述参考值由参考计量系统测量,所述参考计量系统不同于所述光学计量工具;
由所述光学计量工具接收与安置于所述一或多个实际装置结构附近的一或多个计量目标的测量相关联的所述第一数量的测量数据,其中所述第一数量的测量数据源自于通过至少一个光学计量工具执行的测量;及
基于所述第一数量的测量数据及所述一或多个所关注参数的所述参考值训练测量模型。
16.根据权利要求15所述的计量系统,其中所述非暂时性、计算机可读媒质进一步存储指令,所述进一步存储的指令在由所述一或多个处理器执行时引起所述一或多个处理器:
接收与安置于一或多个实际装置结构附近的一或多个计量目标的测量相关联的第二数量的测量数据,其中所述第二数量的测量数据源自于通过相同的所述至少一个光学计量技术执行的测量;
基于第二数量的测量数据与经训练测量模型的拟合确定所述一或多个实际装置结构的所关注参数的测量值;及
将所述所关注参数的值存储于存储器中。
17.根据权利要求15所述的计量系统,其中所述第一数量的光学测量数据包含通过所述一或多个过程变量的值形成的多个不同计量目标的测量的组合。
18.根据权利要求15所述的计量系统,其中所述第一数量的光学测量数据包含通过多个不同计量技术获取的测量的组合。
19.根据权利要求15所述的计量系统,其中所述计量目标是实际装置结构。
20.根据权利要求15所述的计量系统,其中所述参考计量系统包含扫描电子显微镜及小角度x射线散射计中的任何一者或组合。
21.一种计量方法,其包括:
提供一定数量的照明光至安置于一或多个实际装置结构附近的一或多个计量目标;
响应于提供至所述一或多个计量目标的第一数量的照明光而检测来自所述一或多个计量目标的一定数量的光,所检测的一定数量的光包括一定数量的光学测量数据;
接收与安置于一或多个实际装置结构附近的一或多个计量目标的测量相关联的所述一定数量的测量数据,其中所述一定数量的光学测量数据源自于通过至少一个光学计量技术执行的测量;
基于所述数量的测量数据与经训练测量模型的拟合确定所述一或多个实际装置结构的所关注参数的值,其中所述经训练测量模型是基于自光学计量工具收集的测量数据和自参考计量工具收集的数据而被训练的,其中所述光学计量工具不同于所述参考计量工具;及
将所述所关注参数的值存储于存储器中。
22.根据权利要求21所述的计量方法,其进一步包括:
基于所述所关注参数的所测量的值及一或多个过程变量中的每一者与所述一或多个实际装置结构的所述所关注参数的参考测量值之间的映射确定所述一或多个过程变量的值的校正。
CN201580036717.4A 2014-07-07 2015-07-06 基于代理结构的测量的信号响应计量 Active CN106663646B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462021659P 2014-07-07 2014-07-07
US62/021,659 2014-07-07
US14/790,793 2015-07-02
US14/790,793 US10151986B2 (en) 2014-07-07 2015-07-02 Signal response metrology based on measurements of proxy structures
PCT/US2015/039202 WO2016007413A1 (en) 2014-07-07 2015-07-06 Signal response metrology based on measurements of proxy structures

Publications (2)

Publication Number Publication Date
CN106663646A CN106663646A (zh) 2017-05-10
CN106663646B true CN106663646B (zh) 2021-07-06

Family

ID=55016781

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580036717.4A Active CN106663646B (zh) 2014-07-07 2015-07-06 基于代理结构的测量的信号响应计量

Country Status (6)

Country Link
US (1) US10151986B2 (zh)
KR (1) KR102196370B1 (zh)
CN (1) CN106663646B (zh)
IL (1) IL249256B (zh)
TW (1) TWI669500B (zh)
WO (1) WO2016007413A1 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106462078B (zh) * 2014-05-13 2018-10-02 Asml荷兰有限公司 衬底和量测用图案形成装置、量测方法及器件制造方法
TWI703651B (zh) * 2014-10-03 2020-09-01 美商克萊譚克公司 驗證度量目標及其設計
WO2016078861A1 (en) * 2014-11-17 2016-05-26 Asml Netherlands B.V. Process based metrology target design
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US20170337482A1 (en) * 2016-05-20 2017-11-23 Suraj Sindia Predictive system for industrial internet of things
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
CN109844917B (zh) * 2016-10-13 2023-07-04 科磊股份有限公司 用于过程控制的计量系统及方法
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US11067902B2 (en) * 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
US10580673B2 (en) 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
KR20230144122A (ko) * 2018-06-14 2023-10-13 노바 엘티디. 반도체 제조용 측정 및 공정 제어
CN109035409B (zh) * 2018-07-05 2023-06-23 西安电子科技大学 一种基于简化的距离驱动与立体角模型的srm及其构建方法
CN109656103A (zh) * 2018-12-19 2019-04-19 上海华力集成电路制造有限公司 利用scd监控在线产品焦距变化的方法
US11990380B2 (en) * 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
JP7482910B2 (ja) 2019-07-03 2024-05-14 エーエスエムエル ネザーランズ ビー.ブイ. 半導体製造プロセスにおいて堆積モデルを適用する方法
US11308606B2 (en) * 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11415898B2 (en) 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
WO2022233546A1 (en) * 2021-05-06 2022-11-10 Asml Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
EP4086703A1 (en) * 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology
CN115951123B (zh) * 2023-02-28 2023-06-30 国网山东省电力公司营销服务中心(计量中心) 一种基于无线通信的电能计量方法及系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101393881A (zh) * 2007-09-20 2009-03-25 东京毅力科创株式会社 检查形成在半导体晶片上的结构的系统和方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
IL140179A (en) 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) * 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7065423B2 (en) 2004-07-08 2006-06-20 Timbre Technologies, Inc. Optical metrology model optimization for process control
US7280229B2 (en) 2004-12-03 2007-10-09 Timbre Technologies, Inc. Examining a structure formed on a semiconductor wafer using machine learning systems
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
WO2007123696A2 (en) 2006-03-30 2007-11-01 Tokyo Electron Limited In-die optical metrology
WO2008013909A2 (en) * 2006-07-27 2008-01-31 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
JP5022648B2 (ja) * 2006-08-11 2012-09-12 東京エレクトロン株式会社 欠陥検査方法および欠陥検査装置
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8381140B2 (en) * 2011-02-11 2013-02-19 Tokyo Electron Limited Wide process range library for metrology
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
NL2008928A (en) * 2011-07-06 2013-01-08 Asml Netherlands Bv Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures.
US9240254B2 (en) 2011-09-27 2016-01-19 Revera, Incorporated System and method for characterizing a film by X-ray photoelectron and low-energy X-ray fluorescence spectroscopy
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101393881A (zh) * 2007-09-20 2009-03-25 东京毅力科创株式会社 检查形成在半导体晶片上的结构的系统和方法

Also Published As

Publication number Publication date
US10151986B2 (en) 2018-12-11
IL249256B (en) 2020-05-31
TWI669500B (zh) 2019-08-21
TW201606294A (zh) 2016-02-16
WO2016007413A1 (en) 2016-01-14
IL249256A0 (en) 2017-02-28
CN106663646A (zh) 2017-05-10
KR20170030552A (ko) 2017-03-17
KR102196370B1 (ko) 2020-12-29
US20160003609A1 (en) 2016-01-07

Similar Documents

Publication Publication Date Title
CN106663646B (zh) 基于代理结构的测量的信号响应计量
US10030965B2 (en) Model-based hot spot monitoring
US10504759B2 (en) Semiconductor metrology with information from multiple processing steps
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
US10502549B2 (en) Model-based single parameter measurement
CN106062939B (zh) 用于基于图像的叠对测量的信号响应计量
US10352876B2 (en) Signal response metrology for scatterometry based overlay measurements
US9875946B2 (en) On-device metrology
CN110596146B (zh) 用于基于图像的测量及基于散射术的叠对测量的信号响应度量
KR102579585B1 (ko) 다중 패턴화 파라미터의 측정
US10365225B1 (en) Multi-location metrology
US20240186191A1 (en) Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps
US11313809B1 (en) Process control metrology

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant