KR20170030552A - 프록시 구조의 측정에 기초한 신호 응답 계측 - Google Patents

프록시 구조의 측정에 기초한 신호 응답 계측 Download PDF

Info

Publication number
KR20170030552A
KR20170030552A KR1020177002984A KR20177002984A KR20170030552A KR 20170030552 A KR20170030552 A KR 20170030552A KR 1020177002984 A KR1020177002984 A KR 1020177002984A KR 20177002984 A KR20177002984 A KR 20177002984A KR 20170030552 A KR20170030552 A KR 20170030552A
Authority
KR
South Korea
Prior art keywords
measurement
metrology
measurement data
model
actual device
Prior art date
Application number
KR1020177002984A
Other languages
English (en)
Other versions
KR102196370B1 (ko
Inventor
안드레이 브이. 쉬체그로브
테디우스 제라드 지우라
스틸리안 이바노프 판데프
레오니드 포슬라브스키
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20170030552A publication Critical patent/KR20170030552A/ko
Application granted granted Critical
Publication of KR102196370B1 publication Critical patent/KR102196370B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

인근의 계측 타겟의 광학적 측정치에 기초하여 실제 소자 구조의 관심 파라미터의 값을 추정하기 위한 방법 및 시스템이 본 명세서에 제공된다. 높은 쓰루풋의 인라인 계측 기술이 실제 소자 구조 근처에 위치한 계측 타겟을 측정하기 위하여 채용된다. 계측 타겟으로부터 수집된 측정 데이터는 트레이닝된 신호 응답 계측(signal response metrology(SRM)) 모델에 제공된다. 트레이닝된 SRM 모델은 계측 타겟의 측정치에 기초하여 실제 소자 구조의 하나 이상의 관심 파라미터의 값을 추정한다. SRM 모델은 레퍼런스 계측 시스템에 의해 측정된 실제 소자 파라미터와 적어도 하나의 인근의 계측 타겟의 대응하는 광학적 측정치 사이의 함수 관계를 설정하도록 트레이닝된다. 추가적인 양태에서, 트레이닝된 SRM 모델은 측정된 소자 파라미터 값이 사양 내에 있게 하기 위하여 공정 파라미터의 보정을 결정하도록 채용된다.

Description

프록시 구조의 측정에 기초한 신호 응답 계측{SIGNAL RESPONSE METROLOGY BASED ON MEASUREMENTS OF PROXY STRUCTURES}
[관련 출원에 대한 교차 참조]
본 특허 출원은, 내용이 전체적으로 본 명세서에서 참조로서 편입되는, 2014년 7월 7일에 출원되고 발명의 명칭이 "Metrology and Method to Control Process Parameters to Improve Semiconductor Device Yield and Edge Placement Errors"인 미국 임시 특허 출원 제62/021,659호로부터의 35 U.S.C. §119 하의 우선권을 주장한다.
[기술분야]
설명하는 실시예들은 계측(metrology) 시스템 및 방법에 관한 것으로, 더욱 상세하게는 반도체 구조의 개선된 측정을 위한 방법 및 시스템에 관한 것이다.
논리 및 메모리 소자와 같은 반도체 소자는 통상적으로 표본(specimen)에 적용된 처리 단계들의 시퀀스에 의해 제조된다. 반도체 소자의 다양한 피처(feature) 및 다중 구조 레벨은 이러한 처리 단계들에 의해 형성된다. 예를 들어, 무엇보다도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것과 관련된 반도체 제조 공정 중 하나이다. 반도체 제조 공장의 추가적인 예들은 화학 기계 연마, 에칭, 성막(deposition) 및 이온 주입을 포함하지만 이에 한정되지 않는다. 다수의 반도체 소자가 단일 반도체 웨이퍼 상에 제조된 다음 개별 반도체 소자로 분리될 수 있다.
20 나노미터 아래의 반도체 소자 제조 노드에서 제조된 대부분의 진보된 논리 및 메모리 소자는 다중 패터닝 공정을 이용하여 구축된다. 예시적인 다중 패터닝 공정은 자기 정렬 이중 패터닝(self-aligned double patterning(SADP)), 자기 정렬 삼중 패터닝(self-aligned triple patterning(SATP)), 및 자기 정렬 사중 패터닝(self-aligned quadruple patterning(SAQP)) 기술을 포함한다.
일례에서, SAQP 핀(fin) 형성 공정은 종래의 단일 패턴 리소그래피로 획득 가능한 피치의 1/4인 타겟 피치를 달성한다. 일례에서, 적어도 14개의 단계가 핀 구조를 생성하는데 요구된다. 이러한 단계들은 원하는 피치 및 프로파일을 갖는 핀 구조를 실현하기 위하여 정밀하게 제어되어야 하는 리소그래피, 에칭 및 스트립(strip) 단계를 포함한다. SAQP 핀 형성 공정에 의해 달성되는 최종 피치 값과 핀 프로파일(예를 들어, CD, SWA)은 이전 단계들로부터의 구조 파라미터 값(예를 들어, 레지스트 프로파일 파라미터, 스페이서 필름 두께 및 기타)에 의해 영향을 받는다.
계측 공정은 더 높은 수율(yield)을 촉진하기 위하여 웨이퍼 상의 결함을 검출하도록 반도체 제조 공정 동안 다양한 단계에서 사용된다. 광학적 계측 기술은 샘플 파괴의 위험 없이 높은 쓰루풋을 위한 잠재력을 제공한다. 산란 계측(scatterometry) 및 반사 계측(reflectometry) 구현 및 연관된 분석 알고리즘을 포함하는 다수의 광학적 계측 기반 기술이 나노스케일 구조의 임계 치수(critical dimension), 필름 두께, 조성, 오버레이(overlay) 및 기타 파라미터를 특징화하는데 일반적으로 사용된다.
일부 예에서, 광학적 임계 치수(critical dimension)(CD)와 필름 계측(분광기형(spectroscopic) 또는 각도 분해형(angle-resolved))이 원하는 피치 및 프로파일을 갖는 구조가 제조되는 것을 보장하기 위하여 다중 패터닝 공정 동안 구조 파라미터 값을 모니터하는데 채용된다. 그러나, 광학적 CD 및 필름 계측은 다중 패터닝 기술에서 채용되는 많은 구조, 특히 매립된 구조에 대한 민감도의 부족을 겪는다. 에지 배치 에러(edge placement error(EPE))와 같은 일부 구조 파라미터에 대하여, 현재 높은 쓰루풋(예를 들어 광학적) 측정 해결 방안은 없다.
다른 예에서, 광학적 오버레이 계측이 또한 채용되지만, 광학적 오버레이 측정은 다중 패터닝 기술에 의해 제조되는 구조를 특징화하기 위하여 특수한 계측 타겟(target)을 필요로 한다. 기존의 방법에서, 오버레이 에러는 통상적으로 리소그래피 툴에 의해 웨이퍼 상에서의 다양한 위치에 형성된 특수한 타겟 구조의 측정치에 기초하여 평가된다. 타겟 구조는 박스 구조에서의 박스와 같이 많은 형태를 취할 수 있다. 이러한 형태에서, 박스는 웨이퍼의 한 층에서 형성되고, 제2의 더 작은 박스가 다른 층에 형성된다. 국지적인 오버레이 에러가 2개의 박스의 중심들 사이에서 정렬을 비교함으로써 측정된다. 이러한 측정치는 타겟 구조가 사용 가능한 웨이퍼 상의 위치에서 취해진다.
불행하게도, 이러한 특수 타겟 구조는 종종 전자 소자를 생성하기 위하여 채용되는 특정 반도체 제조 공정의 설계 규칙에 부합하지 않는다. 이것은 적용 가능한 설계 규칙에 따라 제조되는 실제 소자 구조와 연관된 오버레이 에러의 추정에서 에러를 야기한다. 예를 들어, 이미지 기반의 오버레이 계측은 종종 설계 규칙 임계 치수를 훨씬 초과하는 임계 치수를 갖는 두꺼운 선을 필요로 하는 광학 현미경으로 패턴이 분해되는 것을 필요로 한다. 다른 예에서, 각도 분해형(angle-resolved) SCOL은 종종 큰 피치 타겟이 오버레이 타겟으로부터 +1 및 -1 전파 회절 차수(propagating diffraction order)에서 충분한 신호를 생성하는 것을 필요로 한다. 일부 예에서, 500 내지 800 nm 범위의 피치 값이 사용될 수 있다. 한편, 논리 또는 메모리 애플리케이션에서의 실제 소자 피치(설계 규칙 치수)는, 예를 들어 100 내지 400 nm의 범위 또는 심지어 100 nm 아래와 같이, 훨씬 더 작을 수 있다.
도 1은 마이크로 전자 칩의 SRAM(static random access memory) 영역(10)에서 제조되는 라인 구조(11)의 하드마스크(hardmask) 패턴을 도시한다. 활성 영역의 복잡한 레이아웃이 다중 패터닝 기술을 절단 마스크(cut mask)와 결합함으로써 형성된다. 절단 마스크는 기판을 활성 영역에 패터닝하기 위하여 사용되는 하드마스크 층의 부분들을 선택적으로 제거한다. 도 2는 도 1에 도시된 라인 구조의 패턴의 상부에 배치되는 BARC(bottom anti-reflective coating) 층(12) 및 레지스트 층(13)을 도시한다. 레지스트 층은 레지스트 층(13)의 개구(14) 아래의 하드마스크 패턴의 부분을 선택적으로 제거하기 위하여 사용된다. 도 1에 도시된 바와 같이, 라인 구조(11)의 하드마스크 패턴은 BARC 층(12)에 의해, 심지어 레지스트 층(13)의 개구(14) 내에 매립된다.
절단 마스크 공정에 적절한 수율을 제공하기 위하여, 프로파일(예를 들어, CD, HT, SWA) 필름 두께 및 오버레이를 위한 신뢰성 있는 측정이 필요하다. 오버레이의 계산은 이것이 사중 패터닝 공정의 이전 단계들로부터의 많은 구조 파라미터의 함수인 것을 드러낸다. 절단의 에지와 인접한 라인 구조 사이의 갭의 분포와 이에 따른 공정의 수율은 모든 공정 파라미터의 복잡한 상호 작용에 종속한다.
다른 예에서, 에지 배치 거리(edge placement distance(EPD)) 및 연관된 에지 배치 에러(edge placement error(EPE))는 소자 전기 콘택이 형성된 후에 모니터링하고 제어하여야 하는 중요한 파라미터이다. 원하는 EPD와 실제 EPD 사이의 차이는 EPE라 불린다. EPD 및 EPE는 오버레이 및 CD 에러 둘다의 함수이다.
일부 예에서, 임계 치수 스캐닝 전자 현미경(critical dimension-scanning electron microscopy(CD-SEM))가 오버레이 및 EPE를 측정하기 위하여 채용될 수 있다. 그러나, 대부분의 진보된 공정 노드는 CD-SEM 툴로 달성할 수 없는 작은 계측 에러와 높은 쓰루풋을 요구한다.
요약하면, 논리 소자 및 진보된 DRAM과, 수직 또는 평면 NAND 소자를 위한 20 나노미터 아래의 소자 제조 노드에서의 반도체 소자 수율은, 필름 두께, 패터닝된 라인의 프로파일 파라미터, 오버레이 에러, 및 에지 배치 에러(EPE)를 포함하는, 다수의 파라미터의 복잡한 함수이다. 이들 중에서, EPE는 가장 부담이 큰 공정 윈도우이고, CD 및 오버레이의 계측 및 제어를 요구한다. 현재, EPE 측정과 많은 온-디바이스(on-device) 오버레이 측정 애플리케이션에 대한 높은 쓰루풋의 광학적 계측 해결 방안은 없다. 또한, 적절한 계측의 부재는 소자 수율을 개선하기 위하여 제어 스킴을 정의하는 것을 도전 과제가 되게 한다.
인근의 계측 타겟의 광학적 측정치에 기초하여 실제 소자 구조의 관심 파라미터의 값을 추정하기 위한 방법 및 시스템이 본 명세서에 제공된다. 높은 쓰루풋의 인라인 계측 기술(예를 들어, 광학적 산란 계측, 이미징 오버레이(imaging overlay 또는 기타 기술)이 실제 소자 구조 근처에 위치한 계측 타겟을 측정하기 위하여 채용된다. 계측 타겟으로부터 수집된 측정 데이터는 트레이닝된 신호 응답 계측(signal response metrology(SRM)) 모델에 제공된다. 트레이닝된 SRM 모델은 계측 타겟의 측정치에 기초하여 실제 소자 구조의 하나 이상의 관심 파라미터의 값을 추정한다. 관심 파라미터의 예는 웨이퍼의 소자 영역에 위치한 실제 소자 구조의 에지 배치 에러(EPE), 오버레이, 피치 워크(pitch walk), 및 임계 치수(CD)를 포함하지만 이에 한정되지 않는다.
하나의 신규한 양태에서, 신호 응답 계측(SRM) 모델은 실제 소자 구조의 관심 파라미터의 측정된 값과, 적어도 하나의 인근의 계측 타겟의 측정과 연관된 대응하는 측정 데이터 사이의 함수 관계를 설정하도록 트레이닝된다. 이러한 방식으로, 측정에 의해 특징화되는 소자(즉, 실제 소자 구조)는 높은 쓰루풋의 계측 기술에 의해 측정되는 계측 타겟과 상이하다.
계측 타겟은 실제 소자의 관심 파라미터에 대한 높은 민감도를 갖는 광학적 측정 신호를 생산하도록 선택된다. 트레이닝 또는 매핑(mapping)은 CD-SEM, CD/OVL-SAXS, AFM, 또는 모델 기반 광학적 CD와 같은 레퍼런스 툴로 측정된 실제 소자 구조에 수행된다. 매핑이 수행된 후, 제조 웨이퍼는 인라인으로 측정될 수 있다. 계측 타겟으로부터 수집된 측정 데이터는 트레이닝된 SRM 모델에 의해 실제 소자 구조의 관심 파라미터로 매핑된다.
일부 예에서, 계측 타겟은 측정될 실제 소자 구조로부터 시간적으로 분리된다(즉, 하나 이상의 공정 단계에 의해 분리된다). 계측 타겟 측정 및 임의의 연관된 레퍼런스 측정이 처리 흐름에서 2개의 별개 단계에서 수행된다. 각각의 별개 처리 단계에서 측정된 신호의 차이는 트레이닝 목적을 위한 트레이닝 신호와 측정 목적을 위한 측정 신호로서 취급된다. 이러한 예에서, 트레이닝 시퀀스는 측정될 실제 소자 구조로부터 하나 이상의 처리 단계에 의해 분리된 동일하거나 상이한 종류의 타켓을 사용할 수 있다. 일부 예에서, 계측 타겟은 특정 공정 상태에서의 실제 소자 구조이다. 특정 공정 상태에서의 실제 소자 구조로부터 수집된 광학적 측정 데이터는 본 명세서에 설명하는 바와 같이 측정 모델을 트레이닝시키기 위하여 사용된다. 트레이닝된 측정 모델은 그 다음 측정 모델을 트레이닝시키는데 사용된 동일한 특정 공정 상태에서 동일하거나 상이한 소자 구조로부터 수집된 광학적 측정 데이터에 기초하여, 후속 공정 상태에서 실제 소자 구조의 구조 파라미터, 공정 파라미터 또는 이 양자의 값을 계산하는데 사용된다.
SRM 모델의 트레이닝은 공정 파라미터(예를 들어, 리소그래피 초점, 노광, 수차 파라미터, 오버레이 오프셋, 에칭 시간, 온도, 압력 및 다른 국지적이거나 전역적인 파라미터)의 제어된 DOE(design experiment) 변동 하에서 수행된다. 트레이닝이 완료된 후에, 인라인 광학적 계측이 단독 또는 통합 모드로 운영될 수 있다.
추가적인 양태에서, 트레이닝된 SRM은 측정된 소자 파라미터 값이 사양 내에 있게 하기 위하여 공정 파라미터의 보정을 결정하도록 채용된다. 보정은 실시간으로 또는 일회적(one-time) 방식으로 수행될 수 있다.
측정 모델은 DOE 세트에 의해 정의된 공정 변동 공간에서 모든 측정된 신호에 대하여 트레이닝된다. 따라서, 매핑이 DOE 공정 변동 공간 내의 공정 변수와 레퍼런스 툴(들)로 측정된 실제 소자의 관심 파라미터 사이에서 결정될 수 있다. 하나 이상의 공정 파라미터에 대한 보정은 실제 소자 파라미터의 측정된 값과, 실제 소자 파라미터와 하나 이상의 공정 파라미터 사이의 매핑에 기초하여 결정된다.
본 명세서에 설명하는 트레이닝된 측정 모델은 광학적 측정 데이터를 입력으로서 직접 수신하고, 관심 파라미터의 값을 출력으로서 제공한다. 측정 공정을 간소화함으로써, 예측 결과는 계산 및 사용자 시간에서의 감소와 함께 개선된다.
전술한 내용은 요약이며, 따라서, 필요에 의해, 간소화, 일반화 및 상세 생략을 포함하며, 결과적으로, 당해 기술 분야에서의 통상의 기술자는 요약이 단지 예시적이며 어떠한 방식으로도 제한하고 있지 않는다는 것을 이해할 것이다. 본 명세서에 설명하는 소자의 다른 양태와, 발명적 특징과 이점 및/또는 공정은 본 명세서에서 설명되는 비한정적인 상세한 설명에서 명백하게 될 것이다.
도 1은 마이크로 전자 칩의 SRAM(static random access memory) 영역(10)에 제조되는 라인 구조(11)의 하드마스크 패턴을 도시하는 다이어그램이다.
도 2는 도 1에 도시된 라인 구조의 패턴의 상부 상에 배치되는 BARC(bottom anti-reflective coating) 층(12) 및 레지스트 층(13)을 도시하는 다이어그램이다.
도 3은 적어도 하나의 신규한 양태에서 인근의 계측 타겟의 측정치에 기초하여 실제 소자 구조를 측정하기에 적합한 SRM 측정 모델을 트레이닝시키는 방법(100)을 예시한다.
도 4는 트레이닝된 SRM 측정 모델에 기초한 인근의 계측 타겟의 측정치에 기초하여 실제 소자 구조를 측정하는 방법(110)을 예시한다.
도 5는 도 3에 도시된 방법(100)과 도 4에 도시된 방법(110)의 구현에 적합한 계측 시스템(300)을 도시한다.
도 6은 일 실시예에서 오버레이 에러에서의 알려진 변동을 나타내는 타겟의 그리드를 갖는 DOE 웨이퍼(180)를 예시한다.
도 7은 광학적 계측 시스템에 의해 측정된 계측 타겟(170)의 단면을 도시한다.
도 8은 활성 필드(121 - 124), 게이트(125 - 128) 및 콘택(129 - 140)을 포함하는 실제 소자 구조(120)의 상면도를 도시한다.
도 9는 에지 배치 위치(EPD1)의 알려진 값과 도 8에 도시된 실제 소자 구조(120)에 대하여 트레이닝된 SRM 측정 모델에 의해 예측된 값의 플롯(plot)(150)을 예시한다.
도 10은 각각의 데이터 포인트에 대한 EPD1의 잔차(residual) 값의 플롯(160)을 예시한다.
도 11은 DOE 트레이닝 세트에서 표시된 각각의 선량(dosage)에 대한 레퍼런스 측정 시스템에 의해 측정된 EPE의 값을 나타내는 데이터 포인트(191)를 표시하는 다이어그램(190)을 도시한다.
이제 본 발명의 배경 예 및 일부 실시예가 상세히 설명될 것이며, 그 예는 첨부된 도면에 예시된다.
인근의 계측 타겟의 광학적 측정치에 기초하여 실제 소자 구조의 관심 파라미터의 값을 추정하기 위한 방법 및 시스템이 본 명세서에 제공된다. 높은 쓰루풋의 인라인 계측 기술(예를 들어, 광학적 산란 계측(scatterometry), 이미징 오버레이 또는 기타 기술)이 실제 소자 구조 근처에 위치한 계측 타겟을 측정하기 위하여 채용된다. 높은 쓰루풋의 인라인 계측 기술은 통상적으로 실제 소자 구조를 위한 관심 파라미터에 대한 측정 민감도가 부족하지만, 인근의 계측 타겟의 파라미터에 충분한 민감도를 가진다. 광학적 계측 타겟은 공간적 공정 변동이 측정 알고리즘의 로버스트성(robustness)에 상당히 영향을 미치지 않는 것을 보장하도록 관심 대상인 실제 소자 구조에 충분히 가깝게 배치된다. 계측 타겟으로부터 수집된 측정 데이터는 트레이닝된 신호 응답 계측(signal response metrology(SRM)) 모델에 제공된다. 트레이닝된 SRM 모델은 계측 타겟의 측정치에 기초하여 실제 소자 구조의 하나 이상의 관심 파라미터의 값을 추정한다. 관심 파라미터의 예는 웨이퍼의 소자 영역에 위치한 실제 소자 구조의 에지 배치 에러(EPE), 오버레이, 피치 워크(pitch walk), 및 임계 치수(CD)를 포함하지만, 이에 한정되지 않는다.
하나의 신규한 양태에서, 신호 응답 계측(SRM) 모델은 실제 소자 구조의 관심 파라미터의 측정된 값과, 측정 사이트(site) 세트에 대한 적어도 하나의 인근의 계측 타겟의 측정과 연관된 대응하는 측정 데이터 사이의 함수 관계를 설정하도록 트레이닝된다. 이러한 방식으로, 측정에 의해 특징화되는 소자(즉, 실제 소자 구조)는 높은 쓰루풋의 계측 기술에 의해 측정되는 계측 타겟과 상이하다.
일부 예에서, 측정될 계측 타겟은 특징화될 실제 소자 구조로부터 공간적으로 분리된다. 계측 타겟은 오버레이 또는 EPE와 같은 관심 파라미터에 대한 높은 민감도를 갖는 광학적 측정 신호를 생산하도록 선택된다. 그러나, 트레이닝 또는 매핑(mapping)은 CD-SEM, CD/OVL-SAXS, AFM 또는 모델 기반 광학적 CD와 같은 레퍼런스 툴로 측정된 실제 소자 구조에 수행된다. 매핑이 수행된 후, 제조 웨이퍼는 인라인으로 측정될 수 있으며, 계측 타겟으로부터 수집된 측정 데이터는 트레이닝된 SRM 모델에 의해 실제 소자 구조의 관심 파라미터로 매핑된다.
일부 다른 예에서, 계측 타겟은 측정될 실제 소자 구조로부터 시간적으로 분리된다(즉, 하나 이상의 공정 단계에 의해 분리된다). 이러한 예에서, 트레이닝 시퀀스는 측정될 실제 소자 구조로부터 하나 이상의 공정 단계에 의해 분리된 동일하거나 상이한 종류의 타켓을 사용할 수 있다. 일부 예에서, 계측 타겟은 특정 공정 상태에서의 실제 소자 구조이다. 특정 공정 상태에서의 실제 소자 구조로부터 수집된 광학적 측정 데이터는 본 명세서에 설명하는 바와 같이 측정 모델을 트레이닝시키기 위하여 사용된다. 트레이닝된 측정 모델은 그 다음 측정 모델을 트레이닝시키는데 사용된 동일한 특정 공정 상태에서 동일하거나 상이한 소자 구조로부터 수집된 광학적 측정 데이터에 기초하여 후속 공정 상태에서 실제 소자 구조의 구조 파라미터, 공정 파라미터 또는 이 양자의 값을 계산하는데 사용된다.
높은 쓰루풋의 광학적 계측 기술은 종종 EPE, 오버레이, CD, 피치 워크(pitch walk) 등과 같은 실제 소자 파라미터에 대한 민감도가 부족하다. 트레이닝 목적으로, 실제 소자 구조의 측정은 실제 소자 구조를 직접 측정할 수 있는 레퍼런스 계측 시스템에 의해 수행된다. 예를 들어, CD-SEM(Critical Dimension Scanning Electron Microscope), CD-SAXS(Critical Dimension Small Angle X-Ray Scatterometer), AFM(Atomic Force Microscope) 등이 실제 소자 구조의 레퍼런스 측정을 수행하기 위하여 채용될 수 있다. 이러한 측정 기술은 낮은 쓰루풋, 각각의 개별 사이트에 대한 높은 측정 불확실성 등의 이유로 인라인 계측에 사용될 수 없다. 그러나, 높은 쓰루풋의 계측 기술에 의해 인근의 계측 타겟으로부터 수집된 측정 데이터를 실제 소자 구조의 관심 파라미터에 관련시키는 트레이닝된 SRM 모델이 EPE, OVL, 피치 워크, CD와 같은 관심 파라미터의 인라인 계측을 제공하기 위하여 채용될 수 있다.
SRM 모델의 트레이닝은 공정 파라미터(예를 들어, 리소그래피 초점, 노광, 수차 파라미터, 오버레이 오프셋, 에칭 시간, 온도, 압력 및 다른 국지적이거나 전역적인 파라미터)의 제어된 DOE(design of experiment) 변동 하에서 수행된다. 트레이닝이 완료된 후에, 인라인 광학적 계측이 단독 또는 통합 모드로 운영될 수 있다.
추가적인 양태에서, 트레이닝된 SRM은 측정된 소자 파라미터 값이 사양 내에 있게 하기 위하여 공정 파라미터의 보정을 결정하도록 채용된다. 보정은 실시간으로 또는 일회적(one-time) 방식으로 수행될 수 있다.
다른 추가적인 양태에서, SRM 모델은 동일한 계측 타겟으로부터 하나 이상의 관심 구조 파라미터(예를 들어, EPE, 오버레이, CD, 피치 워크 등)에 더하여 관심 공정 파라미터(예를 들어, 초점/선량, 노광 등)을 측정하도록 채용된다. 이러한 예에서, 측정된 광학 기반 트레이닝 데이터는 또한 관심 공정 파라미터의 알려진 값을 포함한다. 이러한 방식으로, 트레이닝된 측정 모델은 이러한 관심 파라미터에 민감하다. 이러한 트레이닝된 측정 모델은 관심 구조 파라미터 및 관심 공정 파라미터 모두를 특징화하도록 채용된다.
또 다른 양태에서, DOE 웨이퍼의 하나 이상의 측정 사이트에 위치한 계측 타겟은 바람직하게는 설계 규칙 타겟이다. 다른 말로 하면, 계측 타겟은 기본적인 반도체 측정 공정에 적용 가능한 설계 규칙을 고수한다. 일부 예에서, 계측 타겟은 바람직하게는 활성 다이 영역 내에 위치한다. 일부 예에서, 계측 타겟은 15 □m X 15 □m 또는 이보다 작은 치수를 갖는다. 이러한 방식으로, 리소그래피 결함에 의해 유발된 필드 내(intra-field) 변동의 오버레이에 대한 영향이 분석될 수 있다. 일부 다른 예에서, 계측 타겟은 스크라이브(scribe) 라인 내에 위치하거나 아니면 활성 다이 영역의 외부에 위치한다.
본 명세서에 설명하는 바와 같이, 측정 모델을 생성하기 위하여 원시(raw) 광학적 측정 데이터를 이용함으로써, 전통적인 모델 기반 계측 방법과 연관된 에러 및 근사치가 감소된다. 또한, 측정 모델이 특정 계측 시스템으로부터 수집된 광학적 측정 데이터에 기초하여 트레이닝되고 동일한 계측 시스템으로부터 수집된 광학적 측정 데이터에 기초하여 측정을 수행하도록 사용되기 때문에, 측정 모델은 계통적 에러, 비대칭성 등에 민감하지 않다.
본 명세서에 설명하는 트레이닝된 측정 모델은 광학적 측정 데이터를 입력으로서 직접 수신하고, 관심 파라미터의 값을 출력으로서 제공한다. 측정 공정을 간소화함으로써, 예측 결과는 계산 및 사용자 시간에서의 감소와 함께 개선된다. 일부 예에서, 측정 모델은 1 시간 미만에 생성될 수 있다. 또한, 간소화된 모델을 채용함으로써, 기존 계측 방법에 비하여 측정 시간이 감소된다.
도 3은 적어도 하나의 신규한 양태에서의 SRM 측정 모델을 트레이닝시키는 방법(100)을 예시한다. 방법(100)은 본 발명의 도 5에 예시된 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합하다. 일 양태에서, 방법(100)의 데이터 처리 블록이 컴퓨팅 시스템(330) 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있다는 것이 인식된다. 계측 시스템(300)의 특정의 구조적 양태는 제한을 나타내지 않으며 단지 예시적인 것으로서 해석되어야 한다는 것이 본 명세서에서 인식된다. 트레이닝은 선택된 시간 간격으로 또는 공정 변화 또는 이탈(excursion)이 발생할 때 수행된다. 레퍼런스 계측 툴로부터 수집된 데이터를 이용한 측정 모델의 트레이닝은 모든 제조 웨이퍼에 수행되지 않는다.
블록(101)에서, 레퍼런스 계측 시스템에 의해 측정된 하나 이상의 실제 소자 구조의 하나 이상의 관심 파라미터의 레퍼런스 측정값이 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 비한정적인 예로서, 실제 소자 구조의 관심 파라미터는 CD, 오버레이, EPE 등을 포함한다.
레퍼런스 계측 시스템에 의해 탐침된 측정 사이트는 레퍼런스 계측 시스템에 의해 측정된 하나 이상의 관심 파라미터를 갖는 실제 소자 구조를 포함한다. 일반적으로, 레퍼런스 측정은 하나 이상의 레퍼런스 계측 시스템에 의해 수행될 수 있다. 비한정적인 예로서, 레퍼런스 측정값을 생성하기 위하여 SEM, AFM, SAXS 및 전기 측정 시스템이 단독으로 또는 조합하여 사용될 수 있다. 레퍼런스 계측 시스템에 의한 측정은 레퍼런스 계측 측정에 더욱 적합하게 하기 위해, 소자 구조 상에 또는 예를 들어 스크라이브 라인 영역에 위치한 소자와 유사한 구조 상에 수행될 수 있다.
블록(102)에서, 하나 이상의 실제 소자 구조의 인근에 배치된 하나 이상의 계측 타겟의 측정과 연관된 측정 데이터가 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 계측 타겟(들)의 측정은 광학 기반의 계측 시스템(예를 들어, 광학적 산란 계측기(scatterometer), 광학적 오버레이 이미징 시스템 등)에 의해 수행된다. 일반적으로, 광학적 계측 시스템에 의해 탐침되는 계측 타겟은 계측 타겟 및 연관된 실제 소자 구조의 DOE 세트의 일부로서 변하는 하나 이상의 공정 파라미터에 대한 민감도를 나타낸다.
하나 이상의 공정 파라미터, 구조 파라미터, 또는 이 양자의 변동은 트레이닝 목적으로 하나 이상의 반도체 웨이퍼의 표면 상에서 DOE 패턴에 조직화된다. 이러한 방식으로, 레퍼런스 및 광학적 측정 시스템은 하나 이상의 공정 파라미터, 구조 파라미터, 또는 이 양자의 상이한 값에 대응하는 웨이퍼 표면 상의 상이한 위치에서 정보를 얻는다.
일부 예에서, 계측 타겟 및 대응하는 실제 소자 구조의 DOE 세트는 단일 웨이퍼에 대하여 생성된다. 예를 들어, 계측 타겟 및 실제 소자 구조의 DOE 세트는 에칭 설정, 리소그래피 초점, 선량(dose), 수차 및 오버레이 설정의 임의의 하나 또는 이들의 조합을 단일 웨이퍼의 표면 상의 위치의 함수로서 가변시켜 생성될 수 있다. 일부 예에서, 계측 타겟 및 대응하는 실제 소자 구조의 DOE 세트는 여러 웨이퍼에 대하여 생성된다. 예를 들어, 성막(deposition) 시간, 에칭 시간, 웨이퍼 레벨 리소그래피 초점 등과 같이 전체 웨이퍼에 영향을 미치는 공정 파라미터에서의 변동의 영향이 다수의 DOE 웨이퍼의 세트를 이용해 조사될 수 있다. 이러한 방식으로, 공정 파라미터에서의 변동으로부터 측정된 광학적 측정 신호 및 실제 소자의 관심 파라미터에 대한 영향이 조사된다.
트레이닝 타겟은 개별 트레이닝 웨이퍼 또는 제조 웨이퍼 상에 제공될 수 있다. 일부 예에서, 계측 타겟은 제조 웨이퍼의 스크라이브 라인 내에 위치한다. 일부 다른 예에서, 계측 타겟은 활성 다이 영역 내에 위치된다.
일부 예에서, DOE 변동을 포함하는 특수 마스크 또는 마스크 세트가 트레이닝 웨이퍼를 생산하도록 설계된다. 일부 다른 예에서, 트레이닝 타겟은 제조 마스크 내에 위치할 수 있다. 일부 다른 예에서, DOE 변동은 특수 마스크 또는 마스크 피처(feature) 없이 공정 제어 설정에 의해 전적으로 제어된다.
일례에서, DOE 패턴은 리소그래피 오버레이 에러 패턴이다. 전형적으로, 오버레이 에러 패턴을 나타내는 DOE 웨어퍼는 측정 사이트의 그리드 패턴을 포함한다. 하나의 그리드 방향(예를 들어, x 방향)으로, 오버레이는 x 방향으로 변동되고, y 방향으로의 오버레이는 일정하게 유지된다. 직교 그리드 방향(예를 들어, y 방향)으로, y 방향으로의 오버레이 에러는 변동되고, x 방향으로의 오버레이 에러는 일정하게 유지된다. 이러한 방식으로, DOE 웨이퍼로부터 수집된 레퍼런스 측정 데이터는 x 및 y 방향 모두에서 리소그래피 오버레이 설정에서의 변동과 연관된 데이터를 포함한다. 도 6은 오버레이에서의 변동에 대한 민감도를 나타내는 타겟(예를 들어, 타겟(181)) 그리드를 갖는 DOE 웨이퍼(180)를 도시한다. x 방향 오버레이 에러는 x 방향으로의 DOE 웨이퍼(180) 상의 위치의 함수로서 가변한다. y 방향 오버레이 에러는 y 방향으로의 DOE 웨이퍼(180) 상의 위치의 함수로서 가변한다. 일부 예에서, x 및 y 오버레이 에러는 -20 나노미터 내지 20 나노미터의 범위를 갖는다. 일부 다른 예에서, x 및 y 오버레이 에러는 -80 나노미터 내지 80 나노미터의 범위를 갖는다.
일반적으로, 광학적 계측 타겟은 공정 파라미터, 관심 구조 파라미터 또는 이 양자에서의 변화에 대한 인쇄 적성(printability) 및 민감도를 위하여 설계된다. 일부 예에서, 광학적 계측 타겟은 대응하는 실제 소자 구조와 동일한 종류가 아닌 특수한 타겟이다. 일부 실시예에서, 계측 타겟은 종래의 라인/공간 타겟에 기초한다. 비한정적인 예로서, CD 타겟, SCOL 타겟 또는 AiM 타겟이 채용될 수 있다. 일부 다른 실시예에서, 광학적 계측 타겟은 소자와 유사한 구조이다. 일부 다른 예에서, 광학적 계측 타겟은 소자 구조이거나 소자 구조의 일부이다. 채용된 계측 타겟의 종류에 관계 없이, 조사되고 있는 공정 변동, 구조 변동 또는 이 양자에 대한 감도를 나타내는 트레이닝 타겟 세트가 측정 모델을 트레이닝시키기 위하여 제공되어야 한다. 모델이 트레이닝되면, 본 명세서에 설명하는 바와 같이 하나 이상의 관심 파라미터의 알려지지 않은 값을 갖는 실제 소자 구조의 측정을 수행하는데 사용될 수 있다.
일부 예에서, 광학적 측정은, 예를 들어, 넓은 범위의 파장 및 2개의 독립적인 편광(polarization) 사이에서 위상 지연에 관한 정보를 제공하는 분광 타원 편광 분석(spectroscopic ellipsometry(SE)) 툴을 이용하여 수행된다. 일부 예에서, 뮐러(Mueller) 매트릭스 SE가 또한 채용될 수 있다. 일부 다른 예에서, 광학적 측정은 파장 분해형(wavelength-resolved) 또는 각도 분해형 반사 계측기에 의해 수행된다.
선택적으로, 블록(103)에서, 다수의 주요 피처(feature)가 수학적 변환에 기초하여 광학적 측정 데이터로부터 추출된다. 변환은 광학적 측정 데이터의 크기(dimension)를 감소시키고, 원래 신호를 신호의 새로운 감소된 세트로 매핑한다. 각각의 측정된 신호는 광학적 측정 데이터 세트에서의 상이한 측정에 대하여 공정 범위 내에서 변하는 원래 신호로서 취급된다. 변환은 모든 측정 신호 또는 측정 신호의 서브세트에 적용될 수 있다. 일부 예에서, 분석되는 신호는 임의로 선택된다. 일부 다른 예에서, 분석되는 신호는 공정 파라미터의 변화에 대한 상대적으로 높은 민감도 때문에 선택된다. 예를 들어, 공정 파라미터의 변화에 민감하지 않은 신호는 무시될 수 있다.
비한정적인 예로서, 변환은, 주성분 분석(principal component analysis(PCA)) 모델, 커널 PCA 모델, 비선형 PCA 모델, 독립 성분 분석(independent component analysis(ICA)) 모델 또는 사전(dictionary)을 이용하는 다른 차원수(dimensionality) 감소 방법, 이산 코사인 변환(discrete cosine transform(DCT)) 모델, 고속 푸리에 변환(fast fourier transform(FFT)) 모델, 웨이브릿(wavelet) 모델 등 중 임의의 것을 이용하여 달성될 수 있다.
블록(104)에서, 측정 모델은 레퍼런스 계측 시스템에 의해 측정된 실제 소자 구조(들)의 하나 이상의 관심 파라미터의 레퍼런스 측정값과 광학적 측정 데이터에 기초하여 트레이닝된다. 광학 블록(103)이 수행되면, 측정 모델은 레퍼런스 계측 시스템에 의해 측정된 실제 소자 구조(들)의 하나 이상의 관심 파라미터의 레퍼런스 측정값과 광학적 측정 데이터로부터 추출된 주요 피처에 기초하여 수행된다. 일부 실시예에서, 측정 모델은 신경망 모델로서 구현된다. 일례에서, 신경망의 노드 개수가 트레이닝 데이터로부터 추출된 특징에 기초하여 선택된다. 다른 예에서, 측정 모델은, 선형 모델, 다항식 모델, 응답 표면 모델, 지지 벡터 머신(support vector machine) 모델 또는 다른 종류의 모델로서 구현될 수 있다. 일부 예에서, 측정 모델은 모델들의 조합으로서 구현될 수 있다. 일부 예에서, 선택된 모델은 주요 피처(신호의 감소된 세트) 및 레퍼런스 값에 기초하여 트레이닝된다. 모델은 이의 출력이 DOE 계측 타겟 및 대응하는 실제 소자 구조의 세트에 의해 정의된 공정 변동 공간에서 모든 측정된 신호에 대한 레퍼런스 측정값을 피팅하도록 트레이닝된다.
이러한 방식으로, 트레이닝된 측정 모델은 광학적 계측 툴(들)로 측정된 광학적 계측 신호를 레퍼런스 툴(들)로 측정된 실제 소자의 관심 파라미터에 매핑한다.
추가적인 양태에서, 매핑은 DOE 공정 변동 공간 내의 공정 변수와 레퍼런스 툴(들)로 측정된 실제 소자의 관심 파라미터 사이에서 결정된다. 모델이 DOE 세트에 의해 정의된 공정 변동 공간에서 모든 측정된 신호에 대하여 트레이닝되기 때문에, 트레이닝된 측정 모델은 또한 공정 조건을 레퍼런스 툴(들)로 측정된 실제 소자의 관심 파라미터에 매핑하도록 증강될 수 있다.
다른 양태에서, 측정 모델은 광학적 계측 툴(들)로 측정된 광학적 계측 신호를 레퍼런스 툴(들)에 의해 측정되거나 (예를 들어, 관심 파라미터(들)와 알려진 공정 파라미터 값 사이의 알려진 관계에 기초하여) 선험적으로 알려진 측정된 계측 타겟의 관심 파라미터에 매핑하도록 더욱 트레이닝될 수 있다.
도 7은 광학적 계측 시스템, 예를 들어, 산란 계측 오버레이에 의해 측정된 계측 타겟(170)의 단면을 도시한다. 계측 타겟(170)은 중간 임계 치수 L1-MCD를 갖는 구조(172)를 포함하는 재료층(171)을 포함한다. 재료층(173 및 174)은 구조(172)를 BARC 층(175)으로부터 분리한다. 중간 임계 치수 PR-MCD를 갖는 포토레지스트 구조(176)가 BARC 층(175)의 상부에 배치된다. 도시된 바와 같이, 계측 타겟(170)은 L1-MCD, PR-MCD 및 측정될 오버레이 오프셋(OVL)을 포함한다.
도 8은 활성 필드(121 - 124), 게이트(125 - 128) 및 콘택(129 - 140)을 포함하는 실제 소자 구조(120)의 상면도를 도시한다. 도 8은 게이트(127)와 콘택(138) 사이의 에지 배치 거리(EPD1)를 도시한다. 또한, 도 8은 게이트(128)와 콘택(138) 사이의 에지 배치 거리(EPD2)와, 게이트(126)와 콘택(134) 사이의 에지 배치 거리(EPD3)를 도시한다. 에지 배치 거리는 높은 소자 수율을 보장하도록 주의 깊게 제어되어야 한다. 3개의 에지 배치 거리 중 임의의 것과 연관된 에지 배치 에러가 너무 크다면, 소자는 불량이 될 것이다. 도 8에 도시된 바와 같이, 오버레이 에러와 CD 에러는 모두 EPE의 원인이 된다. 예를 들어, 콘택과 연관된 층이 게이트와 연관된 층과 오정렬된다면 EPE가 생긴다. 유사하게, 콘택 구조와 연관된 CD가 공칭 치수를 벗어나게 된다면 EPE가 생긴다. 예를 들어, 콘택(133 및 136)은 너무 크다. 결과는 각각의 콘택과 대응하는 게이트 구조 사이의 오버랩과 소자 불량이다.
일례에서, 공정 변동이 유입되어 OVL, PR-MCD 및 L1-MCD의 변동을 포함하는 DOE 세트를 생성한다. DOE 세트는 PR-MCD 및 L1-MCD를 생성하는 리소그래피 단계의 각각에 대한 선량을 변화시키고, 마지막 리소그래피 단계에서 오버레이를 변화시키는 것으로 단일 웨이퍼에서 달성된다. 모든 공정 변화는 사전 결정되고, 웨이퍼에 걸쳐 임의로 분포된다. 상이하고 임의로 분포된 DOE 파라미터를 갖는 다수의 웨이퍼가 전체 샘플 개수를 증가시키도록 사용될 수 있다.
이 예에서, 광학적 산란 계측 신호가 각각의 계측 타겟(예를 들어, 계측 타겟(170))에서 DOE 웨이퍼로부터 수집된다. 또한, 각각의 대응하는 소자 구조(예를 들어, 소자 구조(120))의 대응하는 레퍼런스 EPD 측정치(예를 들어, CD-SEM 또는 CD-SAXS)가 수집된다. 광학적 측정 데이터 및 레퍼런스 측정치가 SRM 측정 모델을 형성하는데 사용된다. 일례에서, SRM 모델은 도 8에 도시된 바와 같은 EPD1 파라미터 값을 예측하도록 트레이닝된다. SRM 측정 모델의 트레이닝 결과는 도 9 및 도 10에 예시된다. 이 예에서, 트레이닝된 측정 모델의 측정 성능은 계측 타겟의 세트와, 트레이닝 데이터 세트의 일부로서 관여하지 않지만 알려진 EPD 값(예를 들어, CD-SEM 또는 CD-SAXS에 의해 측정됨)을 갖는 소자 구조와 대응하는, 대응하는 소자 파라미터를 측정하기 위하여 그 모델을 이용함으로써 결정된다. 예측된 EPD 값과 측정된 EPD 값 사이의 차이는 모델 성능을 나타낸다. 도 9는 EPD1의 알려진 값과 트레이닝된 SRM 측정 모델에 의해 예측된 값의 플롯(150)을 예시한다. 도 10은 각각의 데이터 포인트에 대한 EPD1의 잔차(residual) 값(EPD1의 실제 값과 예측된 값 사이의 차이)의 플롯(160)을 예시한다. 도 10에 도시된 바와 같이, EPD1의 측정과 연관된 잔차 에러는 서브 나노미터 범위에 있다.
유사한 방식으로, 동일한 계측 타겟으로부터의 동일한 산란 계측 신호가, EPD2, EPD3와 같은 다른 파라미터 값과 실제 소자 구조(120)와 연관된 오버레이를 측정하기 위하여 상이한 SRM 모델을 형성하는데 사용될 수 있다. 예를 들어, SRM 모델은 특수 계측 타겟(예를 들어, 계측 타겟(170))에서 측정된 오버레이를 실제 소자 구조(예를 들어, 실제 소자 구조(120))와 연관된 오버레이에 매핑하도록 채용될 수 있다. 유사한 방식으로, 동일한 계측 타겟으로부터의 동일한 산란 계측 신호가, DOE로부터의 대응하는 레퍼런스 값을 이용하여 OVL, PR-MCD 및 L1-MCD와 같은 계측 타겟 자체와 연관된 파라미터 값을 측정하기 위하여 상이한 SRM 모델을 형성하는데 사용될 수 있다.
다른 양태에서, 트레이닝된 모델은 알려지지 않은 파라미터 값을 갖는 다른 실제 소자 구조를 위한 측정 모델로서 채용된다. 측정 모델은 하나 이상의 계측 타겟에서 하나 이상의 광학적 계측 시스템에 의해 생성된 광학적 측정 데이터를 수신하고, 대응하는 실제 소자 구조의 하나 이상의 관심 파라미터를 직접 결정하도록 구성된다. 도 4는 본 발명의 도 5에 도시된 계측 시스템(300)과 같은 계측 시스템에 의한 구현에 적합한 방법(110)을 예시한다. 일 양태에서, 방법(110)의 데이터 처리 블록은 컴퓨팅 시스템(330) 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있다는 것이 인식된다. 계측 시스템(300)의 특정의 구조적 양태는 제한을 나타내지 않으며 단지 예시적인 것으로서 해석되어야 한다는 것이 본 명세서에서 인식된다.
블록(111)에서, 하나 이상의 실제 소자 구조의 인근에 배치된 하나 이상의 계측 타겟의 측정과 연관된 일정량의 광학적 측정 데이터가 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 광학적 측정 데이터는 동일한 광학적 계측 기술 또는 방법(100)을 참조하여 설명한 바와 같은 광학적 계측 기술의 조합에 의해 수행된 측정으로부터 도출된다. 유사하게, 광학적 측정 데이터는 방법(100)을 참조하여 설명한 바와 동일한 종류이지만 알려지지 않은 소자 파라미터 값을 갖는 구조의 측정치를 포함한다.
선택적인 블록(112)에서, 측정된 데이터의 적어도 일부로부터의 주요 피처가 측정된 데이터의 크기를 감소시키는 수학적 변환에 기초하여 결정된다. 일부 실시예에서, 변환은 방법(100)을 참조하여 설명한 대응하는 트레이닝 데이터의 크기를 감소시키도록 채용된 것과 동일한 변환이다. 방법(100)에서 트레이닝 데이터로부터 피처를 추출하기 위하여 채용된 것과 동일한 분석을 이용하여 광학적 측정 데이터로부터 피처를 추출하는 것이 바람직하다. 이러한 방식으로, 획득된 데이터의 크기 감소가 트레이닝 데이터의 크기를 감소시키는데 사용된 것과 동일한 변환에 의해 수행된다.
블록(113)에서, 하나 이상의 실제 소자 구조의 하나 이상의 파라미터 값의 값이 광학적 측정 데이터의 트레이닝된 측정 모델(예를 들어, 방법(100)을 참조하여 설명하는 트레이닝된 측정 모델)로의 피팅(fitting)에 기초하여 결정된다. 선택적인 블록(112)이 채용될 때, 하나 이상의 실제 소자 구조의 하나 이상의 파라미터 값의 값은 주요 피처의 트레이닝된 측정 모델로의 피팅에 기초하여 결정된다. 이러한 방식으로, 소자 파라미터 값이 트레이닝된 측정 모델 및 측정 신호의 감소된 세트에 기초하여 결정된다.
블록(114)에서, 결정된 소자 파라미터 값이 메모리에 저장된다. 예를 들어, 소자 파라미터 값은 측정 시스템(300)에 온보드(on-board)로, 예를 들어 메모리(332)에 저장될 수 있거나, 또는 외부 메모리 소자에 (예를 들어, 출력 신호(340)를 통해) 전달될 수 있다.
이전에 설명한 바와 같이, 측정 모델은 DOE 세트에 의해 정의된 공정 변동 공간에서 모든 측정된 신호에 대하여 트레이닝된다. 따라서, 매핑이 DOE 공정 변동 공간 내의 공정 변수와 레퍼런스 툴(들)로 측정되는 실제 소자의 관심 파라미터 사이에서 결정될 수 있다.
도 11은 DOE 트레이닝 세트에서 표시된 각각의 선량에 대하여 레퍼런스 측정 시스템에 의해 측정된 EPE의 값을 나타내는 예시적인 데이터 포인트(191)를 표시하는 예시적인 다이어그램(190)을 도시한다. EPE와 선량 사이의 함수 관계가, 예를 들어, 곡선(192)을 데이터 포인트(191)에 피팅함으로써 설정된다.
추가적인 양태에서, 하나 이상의 공정 파라미터에 대한 보정(correction)이 실제 소자 파라미터의 측정된 값과, 실제 소자 파라미터와 하나 이상의 공정 파라미터 사이의 매핑에 기초하여 결정된다. 예를 들어, 도 11에 예시된 포인트(193)는 방법(110)을 참조하여 설명한 바와 같은 트레이닝된 측정 모델에 의해 결정된 EPE의 측정된 값을 나타낸다. EPE의 후속 측정 값이 EPEmax와 EPEmin 사이의 사양 범위 내에 있도록 공정 선량을 보정하는 것이 바람직하다. EPE와 선량 사이의 함수 매핑에 기초하여, 사양 범위의 중간에 있는 EPE의 측정된 값을 제공하여야 하는 선량 보정(□DOSE)이 결정된다.
도 11에 예시된 예는 예시적인 목적으로 제공된다. 일반적으로, DOE 공정 변수를 실제 소자의 관심 파라미터에 관련시키는 다차원 응답 표면이 형성된다. 하나 이상의 공정 파라미터에 대한 보정은 다차원 응답 표면에 기초하여 소자의 관심 파라미터의 측정된 값을 사양 내에 있게 하도록 계산될 수 있다.
추가적인 양태에서, 본 명세서에 설명한 방법 및 시스템은 단일의 관심 파라미터의 측정에만 한정되지 않는다. 일반적으로, 전술한 광학적 측정 기술은 다른 공정, 구조, 분산(dispersion) 파라미터, 또는 이러한 파라미터들의 임의의 조합에 대한 측정에 적용될 수 있다. 비한정적인 예로서, 오버레이, 프로파일 지오메트리 파라미터(예를 들어, 임계 치수), 공정 파라미터(예를 들어, 초점 및 선량), 분산 파라미터, 피치 워크, 에지 배치 에러, 또는 파라미터들의 임의의 조합이 전술한 기술을 이용하여 측정될 수 있다. 각각의 관심 파라미터의 변동을 갖는 트레이닝 타겟 세트가 제공되어야 한다. 그 다음, 측정 모델은 본 명세서에 설명한 바와 같이 각각의 관심 파라미터의 변동 범위를 포함하는 소자 파라미터 값의 레퍼런스 측정 및 계측 타겟에 대하여 수집된 광학적 측정 데이터에 기초하여 트레이닝된다. 각각의 관심 파라미터에 대하여 트레이닝된 측정 모델을 이용해, 단일 계측 타겟 측정이, 각각의 관심 파라미터의 값을 결정하기 위하여 측정 모델로 입력을 제공할 수 있다.
다른 추가적인 양태에서, 측정 모델을 트레이닝시키기 위한 방법 및 시스템은 트레이닝된 측정 모델에 도달하는데 필요한 임의의 요소 또는 모든 요소를 자동화하기 위한 최적화 알고리즘을 포함한다.
일부 예에서, 최적화 알고리즘은, 다음의 파라미터 중 임의의 파라미터 또는 모든 파라미터를 최적화함으로써 (비용 함수에 의해 정의된) 측정 성능을 최대화하도록 구성되며, 이들 파라미터는, 특징 피처 모델(즉, 변환)의 유형, 선택된 피처 추출 모델의 파라미터, 측정 모델의 유형, 선택된 측정 모델의 파라미터이다. 최적화 알고리즘은 사용자가 정의하는 휴리스틱(heuristic)을 포함할 수 있고, 네스팅된(nested) 최적화의 조합(예를 들어, 조합 또는 연속 최적화)일 수 있다.
추가적인 양태에서, 다수의 상이한 타겟으로부터의 광학적 측정 데이터가 모델 구축, 트레이닝 및 측정을 위해 수집된다. 상이한 구조를 갖지만 동일한 공정 조건에 의해 형성된 다수의 타겟과 연관된 데이터의 사용은 모델 내에 임베딩된 정보를 증가시키고, 공정 또는 다른 파라미터 변동들에 대한 상관을 감소시킨다. 모델 내에 임베딩된 추가 정보는 유사한 방식으로 측정된 신호에 영향을 미칠 수 있든 다른 파라미터(예를 들어, 필름 두께, CD 등)와 연관된 정보로부터 하나의 관심 파라미터와 연관된 정보 콘텐츠의 디커플링(decoupling)을 허용한다. 이러한 예에서, 하나 이상의 측정 사이트에서 다수의 상이한 타겟의 이미지를 포함하는 트레이닝 데이터의 사용은 더욱 정확한 파라미터 추정을 가능하게 한다. 일부 예에서, 격리되고 밀집된 라인/스페이스 타겟의 혼합이 하층 효과(underlayer effect)로부터 오버레이를 디커플링하기 위하여 채용된다. 일부 예에서, 직교하는 방향들로의 다수의 상이한 타겟 오프셋이 각각의 다이에 채용된다. 이것은 측정 정확성에 대한 하층의 효과를 최소화하는데 유익할 수 있다. 일례에서, 오버레이에 민감한 다른 계측 타겟과 조합하여 CD 변화에 민감하기 때문에, SRAM 소자 영역은 하나의 계측 타겟으로서 사용될 수 있다.
다른 추가적인 양태에서, 다수의 타겟으로부터의 신호는 공정 변동에 대한 민감도를 감소시키고 관심 파라미터에 대한 민감도를 증가시키도록 처리될 수 있다. 일부 예에서, 상이한 타겟으로부터의 신호는 서로로부터 감산된다. 일부 다른 예에서, 상이한 타겟으로부터의 신호는 모델에 피팅되고, 잔차가 본 명세서에서 설명한 바와 같이 측정 모델을 구축하고, 트레이닝시키고, 사용하는 데에 이용된다. 일례에서, 두 개의 상이한 타겟으로부터의 신호는, 각각의 측정 결과에서의 공정 노이즈의 효과를 제거하거나 또는 상당히 감소시키기 위해 감산된다. 일반적으로, 공정 변동에 대한 감소된 민감도 및 관심 파라미터들에 대한 증가된 민감도를 갖는 신호를 결정하기 위해, 상이한 타겟으로부터의 신호 사이에 다양한 수학적 연산이 적용될 수 있다.
다른 추가적인 양태에서, 다수의 상이한 측정 기술의 조합에 의해 수행된 측정으로부터 도출된 측정 데이터가 모델 구축, 트레이닝 및 측정을 위해 수집된다. 다수의 상이한 측정 기술들과 연관된 측정 데이터의 사용은 신호의 결합 세트 내에서 정보 콘텐츠를 증가시키고, 공정 또는 다른 파라미터 변동에 대한 상관을 감소시킨다. 측정 데이터는 다수의 상이한 측정 기술의 임의의 조합에 의해 수행된 측정치로부터 도출될 수 있다. 이러한 방식으로, 관심 파라미터의 추정에 이용 가능한 측정 정보를 강화시키기 위해 다수의 상이한 측정 기술(예를 들어, 광학적 SE, 이미징 오버레이 등)에 의해 상이한 측정 사이트가 측정될 수 있다.
일반적으로, 트레이닝 및 측정을 위한 피처 추출 모델 및 측정 모델에 의해 처리된 데이터가 벡터 형태이기 때문에 임의의 측정 기술 또는 2 이상의 측정 기술의 조합이 본 특허 명세서의 범위 내에서 구상 가능할 수 있다. 본 명세서에서 설명한 바와 같은 신호 응답 계측 기술이 데이터의 벡터에 대해서 작용하기 때문에, 각각의 수집된 신호는 독립적으로 취급된다. 또한, 데이터가 이차원 데이터인지, 일차원 데이터인지, 또는 심지어 단일 포인트 데이터인지에 상관없이, 다수의 상이한 계측으로부터 데이터를 연결(concatenate)시키는 것이 가능하다.
본 명세서에 설명한 신호 응답 계측 기술들에 따른 분석에 데이터를 제공할 수 있는 예시적인 측정 기술은, 뮐러 매트릭스 타원 편광 계측(Mueller matrix ellipsometry), 분광 반사 계측(spectroscopic reflectometry), 분광 산란 계측(spectroscopic scatterometry), 산란 계측 오버레이(scatterometry overlay), 빔 프로파일 반사 계측, 각도 분해형 및 편광 분해형 둘다의 빔 프로파일 타원 편광 계측, 단일 또는 다중 이산 파장 타원 편광 계측, TSAXS(transmission small angle x-ray scatterometer), SAXS(small angle x-ray scattering), GISAXS(grazing incidence small angle x-ray scattering), WAXS(wide angle x-ray scattering), XRR(x-ray reflectivity), XRD(x-ray diffraction), GIXRD(grazing incidence x-ray diffraction), HRXRD(high resolution x-ray diffraction), XPS(x-ray photoelectron spectroscopy), XRF(x-ray fluorescence), GIXRF(grazing incidence x-ray fluorescence), x선 단층 촬영, 및 x선 타원 편광 계측을 비롯한 분광 타원 편광 계측(spectroscopic ellipsometry)을 포함하지만, 이에 한정되지 않는다. 일반적으로, 이미지 기반 계측 기술을 포함하는 반도체 구조의 특징화에 적용 가능한 임의의 계측 기술이 개별적으로 또는 임의의 조합으로 구상 가능할 수 있다.
다른 추가적인 양태에서, 다중 계측에 의해 측정된 신호는 공정 변동에 대한 민감도를 감소시키고 관심 파라미터들에 대한 민감도를 증가시키도록 처리될 수 있다. 일부 예에서, 상이한 계측에 의해 측정된 타겟으로부터의 신호가 서로로부터 감산된다. 일부 다른 예에서, 상이한 계측에 의해 측정된 타겟으로부터의 신호는 모델에 피팅되고, 잔차가 본 명세서에 설명한 바와 같이 측정 모델을 구축하고, 트레이닝시키고, 사용하는 데에 이용된다. 일례에서, 두 개의 상이한 계측에 의해 측정된 타겟으로부터의 신호는, 각각의 측정 결과에서의 공정 노이즈의 효과를 제거하거나 또는 상당히 감소시키기 위해 감산된다. 일반적으로, 공정 변동에 대한 감소된 민감도 및 관심 파라미터들에 대한 증가된 민감도를 갖는 신호를 결정하기 위해, 상이한 계측에 의해 측정된 신호 사이에 다양한 수학적 연산이 적용될 수 있다.
일반적으로, 다수의 계측 기술에 의해 각각 측정된 다수의 타겟으로부터의 신호는 신호의 결합 세트 내에서 정보 콘텐츠를 증가시키고, 공정 또는 다른 파라미터 변동에 대한 상관을 감소시킨다.
추가적인 양태에서, 앞에서 설명한 바와 같은 실제 소자 구조를 트레이닝시키고 측정하기 위한 방법 및 시스템은 차동 모드로 구현된다. 이러한 스킴에서, 계측 타겟 측정 및 임의의 연관된 레퍼런스 측정이 처리 흐름 내의 2개의 별개 단계에서 수행된다. 각각의 별개 처리 단계에서 측정된 신호에서의 차이는 트레이닝 목적을 위한 트레이닝 신호 및 측정 목적을 위한 측정 신호로서 취급된다.
일례에서, 동일한 위치 포인트가 리소그래피 단계 및 후속 에칭 단계에서의 계측 타겟 측정에 사용된다. 리소그래피 및 에칭 단계 사이의 차이 신호는, 구조가 (예를 들어, 공정 단계 또는 작은 위치 설정 에러 때문에) 웨이퍼 상의 포인트 사이에서 변하더라도, 매 포인트 사이트 기반에서의 공정 변동성의 모니터링을 허용한다. 이러한 차동 계측 모드는 측정 타겟의 변동이 웨이퍼 상의 상이한 필드 사이에 존재하는 SRAM 소자 영역의 계측에 대하여 바람직할 수 있다.
일부 예에서, 측정 타겟의 변동은 측정된 구조의 주기성의 결핍, 예를 들어, 유한한 구조 크기로부터 발생하거나, 또는 다른 주기성 구조가 계측 시스템의 측정 스폿 내에서 불충분하게 반복되는 상황에서 발생한다. 일부 예에서, 측정 타겟의 변동은 광학적 계측 시스템의 작은 스폿 크기와 계측 시스템의 측정 위치 배치 에러로부터 발생한다.
일부 예에서, 하나 이상의 에칭 단계 전후의 실제 소자 파라미터 값 사이의 차이는 에칭 공정의 폐루프 제어에 대한 입력으로서 사용될 수 있다.
일반적으로, 상이한 SRM 계측은, 예를 들어, 2개의 공정 모니터링 단계(즉, 에칭 및 리소그래피) 사이의 타겟 바이어스를 설정하거나, 필드마다의 보정을 제공하거나, 고차의 보정(예를 들어, OVL 또는 EPE 제어)을 제공하는데 사용될 수 있는 전역적(웨이퍼), 필드(필드 평균), 또는 국지적(사이트 당) 결과를 허용한다.
도 5는 본 명세서에서 제공된 예시적인 방법에 따른 표본의 특성을 측정하기 위한 시스템(300)을 예시한다. 도 5에서 도시된 바와 같이, 시스템(300)은 표본(301)의 하나 이상의 구조의 분광 타원 편광 계측 측정을 수행하기 위해 이용될 수 있다. 이 양태에서, 시스템(300)은 조명기(302)와 분광계(304)가 구비된 분광 타원 편광 계측기를 포함할 수 있다. 시스템(300)의 조명기(302)는 선택된 파장 범위(예를 들어, 100 ㎚ ~ 2500 ㎚)의 조명을 생성하여, 이 조명을 표본(301)의 표면 상에 배치된 구조로 향하게 하도록 구성된다. 이어서, 분광계(304)는 표본(301)의 표면으로부터 반사된 조명을 수광하도록 구성된다. 조명기(302)로부터 출사된 광은 편광된 조명 빔(306)을 생성하도록 편광 상태 생성기(307)를 이용하여 편광된다는 것에 더욱 주목된다. 표본(301) 상에 배치된 구조에 의해 반사된 방사선은 편광 상태 분석기(309)를 통과하여 분광계(304)로 전달된다. 분광계(304)에 의해 수신된 수집 빔(308) 내의 방사선은 편광 상태와 관련하여 분석되어, 분석기를 통과한 방사선의 분광계에 의한 스펙트럼 분석을 가능하게 한다. 이러한 스펙트럼(311)은 구조의 분석을 위해 컴퓨팅 시스템(330)에 전달된다.
도 5에 도시된 바와 같이, 시스템(300)은 단일 측정 기술(single measurement technology(즉, SE))을 포함한다. 그러나, 일반적으로, 시스템(300)은 임의의 개수의 상이한 측정 기술을 포함할 수 있다. 비한정적인 예로서, 시스템(300)은 (뮐러 매트릭스 편광 타원 계측을 포함하는) 분광 편광 타원 계측기, 분광 반사 계측기, 분광 산란 계측기, 오버레이 산란 계측기, 각도 분해형 빔 프로파일 반사 계측기, 편광 분해형 빔 프로파일 반사 계측기, 빔 프로파일 반사 계측기, 빔 프로파일 타원 편광 계측기, 임의의 단일 또는 다중 파장 타원 편광 계측기, 또는 이들의 임의의 조합으로서 구성될 수 있다. 또한, 일반적으로, 상이한 측정 기술에 의해 수집되고 본 명세서에 설명한 방법에 따라 분석된 측정 데이터는 다수의 기술을 통합시킨 하나의 툴보다는 다수의 툴로부터 수집될 수 있다.
추가적인 실시예에서, 시스템(300)은 본 명세서에서 설명한 방법에 따라 개발된 측정 모델에 기초하여 실제 소자 구조의 측정을 수행하기 위해 채용된 하나 이상의 컴퓨팅 시스템(330)을 포함할 수 있다. 하나 이상의 컴퓨팅 시스템(330)은 분광계(304)에 통신 가능하게 결합될 수 있다. 하나의 양태에서, 하나 이상의 컴퓨팅 시스템(330)은 표본(301)의 구조의 측정과 연관된 측정 데이터(311)를 수신하도록 구성된다.
본 개시 내용 전반에 걸쳐 설명한 다양한 단계들은 단일 컴퓨터 시스템(300)에 의해 수행될 수 있거나, 또는 이와 달리, 다중 컴퓨터 시스템(330)에 의해 수행될 수 있다는 것이 인식되어야 한다. 또한, 분광 타원 편광 계측기(304)와 같은, 시스템(300)의 상이한 서브시스템은 본 명세서에 설명한 단계의 적어도 일부를 수행하는 데에 적절한 컴퓨터 시스템을 포함할 수 있다. 따라서, 앞서 언급한 설명은 본 발명에 대한 제한으로서 해석되어서는 안 되고 단순한 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(330)은 본 명세서에 설명한 임의의 방법 실시예의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(330)은 당업계에 알려진 임의의 방법으로 분광계(304)에 통신 가능하게 결합될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(330)은 분광계(304)와 연관된 컴퓨팅 시스템에 결합될 수 있다. 다른 예에서, 분광계(304)는 컴퓨터 시스템(330)에 결합된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템(예를 들어, 분광계(304) 및 이와 유사한 것)으로부터 데이터 또는 정보를 수신하고 그리고/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 시스템(300)의 컴퓨터 시스템(330) 및 다른 서브시스템 사이의 데이터 링크 역할을 할 수 있다.
통합형 계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들어, 측정 결과, 모델링 입력, 모델링 결과, 레퍼런스 측정 결과 등)를 수신하고 그리고/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(330) 및 다른 서브시스템(예를 들어, 메모리 온-보드 계측 시스템(300), 외부 메모리, 레퍼런스 측정 소스(320), 또는 다른 외부 시스템) 사이의 데이터 링크 역할을 할 수 있다. 예를 들어, 컴퓨팅 시스템(330)은 데이터 링크를 통해 저장 매체(즉, 메모리(332) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 분광계(304)를 이용하여 획득된 스펙트럼 결과는 영구적 또는 반영구적 메모리 소자(예를 들어, 메모리(332) 또는 외부 메모리) 내에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과는 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 가져올 수 있다. 다른 예에서, 컴퓨팅 시스템(330)은 데이터 링크를 통해 레퍼런스 측정 소스(예를 들어, 저장 매체)로부터 레퍼런스 측정 데이터(321)를 수신하도록 구성될 수 있다. 예를 들어, 레퍼런스 측정 시스템을 이용해 획득된 레퍼런스 측정 결과는 영구적 또는 반영구적 메모리 소자 내에 저장될 수 있다. 이와 관련하여, 레퍼런스 측정 결과는 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 가져올 수 있다. 또, 컴퓨터 시스템(330)은 전송 매체를 통해 데이터를 다른 시스템들에 보낼 수 있다. 예를 들어, 컴퓨터 시스템(330)에 의해 결정된 측정 모델 또는 실제 소자 파라미터 값(340)은 전달되어 외부 메모리 내에 저장될 수 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 내보내질 수 있다.
컴퓨팅 시스템(330)은, 개인 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당업계에서 알려진 임의의 다른 장치를 포함할 수 있지만, 이에 한정되지 않는다. 일반적으로, "컴퓨팅 시스템(computing system)"이라는 용어는 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 갖는 임의의 장치를 망라하도록 광범위하게 정의될 수 있다.
본 명세서에 설명한 바와 같은 방법을 구현하는 프로그램 명령어(334)는 유선, 케이블 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 5에서 예시된 바와 같이, 메모리(332) 내에 저장된 프로그램 명령어(334)는 버스(333)를 통해 프로세서(331)에 전송된다. 프로그램 명령어(334)는 컴퓨터 판독 가능한 매체(예를 들어, 메모리(332)) 내에 저장된다. 예시적인 컴퓨터 판독 가능한 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기적 또는 광학적 디스크, 또는 자기적 테이프를 포함한다.
일부 예에서, 본 명세서에 설명한 모델 구축, 트레이닝 및 측정 방법은 미국 캘리포니아주 밀피타스에 있는 케이엘에이 텐코 코포레이션으로부터 입수 가능한 SpectraShape® 광학 임계 치수 계측 시스템의 요소로서 구현된다. 이러한 방식으로, DOE 웨이퍼 스펙트럼이 시스템에 의해 수집된 직후의 이용을 위해 모델이 생성되고 준비된다.
일부 다른 예에서, 본 명세서에 설명한 모델 구축 및 트레이닝 방법은, 예를 들어, 미국 캘리포니아주 밀피타스에 있는 케이엘에이 텐코 코포레이션으로부터 입수 가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인으로 구현된다. 결과적인 트레이닝된 모델은 측정을 수행하는 계측 시스템에 의해 액세스 가능한 AcuShape® 라이브러리의 요소로서 병합될 수 있다.
또 다른 양태에서, 본 명세서에 설명한 측정 모델 결과가 활성 피드백을 공정 툴(예를 들어, 리소그래피 툴, 에칭 툴, 성막 툴 등)에 제공하는 데에 이용될 수 있다. 예를 들어, 원하는 출력을 달성하기 위해 리소그래피 시스템을 조정하도록, 본 명세서에 설명한 방법을 이용하여 결정된 EPE 또는 오버레이 에러의 값이 리소그래피 툴에 전달될 수 있다. 유사한 방식으로, 에칭 툴 또는 성막 툴에 활성 피드백을 각각 제공하기 위해 에칭 파라미터(예를 들어, 에칭 시간, 확산도 등) 또는 성막 파라미터(예를 들어, 시간, 농도 등)가 측정 모델 내에 포함될 수 있다. 일부 예에서, 측정된 소자 파라미터 값 및 트레이닝된 측정 모델에 기초하여 결정된 공정 파라미터에 대한 보정이 리소그래피 툴, 에칭 툴 또는 성막 툴에 전달될 수 있다.
일반적으로, 본 명세서에 설명한 방법 및 시스템은 오프라인 또는 온 툴 측정을 위한 측정 모델을 준비하는 과정의 일부로서 구현될 수 있다.
본 명세서에 설명한 "임계 치수(critical dimension)"이라는 용어는 구조의 임의의 임계 치수(예를 들어, 바닥부 임계 치수, 중앙 임계 치수, 최상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 두 개 이상의 구조 사이의 임계 치수(예를 들어, 두 개의 구조 사이의 거리), 및 두 개 이상의 구조 사이의 변위(예를 들어, 오버레이 격자 구조 사이의 오버레이 변위 등)를 포함한다. 구조는 삼차원 구조, 패터닝된 구조, 오버레이 구조 등을 포함할 수 있다.
본 명세서에 설명한 "임계 치수 적용(critical dimension application)" 또는 "임계 치수 측정 적용(critical dimension measurement application)"라는 용어는 임의의 임계 치수 측정을 포함한다.
본 명세서에 설명한 용어 "계측 시스템(metrology system)"은 임계 치수 계측, 오버레이 계측, 초점/선량 계측 및 조성 계측과 같은 측정 적용을 포함하는, 임의의 양태에서 표본을 특징화하기 위해 적어도 부분적으로 채용된 임의의 시스템을 포함한다. 그러나, 이러한 기술적 용어들은 본 명세서에 설명한 "계측 시스템"이라는 용어의 범위를 제한하지 않는다. 또한, 계측 시스템(100)은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 후면 검사 툴, 매크로 검사 툴, 또는 (하나 이상의 플랫폼으로부터의 데이터를 동시에 포함하는) 멀티 모드 검사 툴, 및 임계 치수 데이터에 기초한 시스템 파라미터의 캘리브레이션으로부터 이점을 갖는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
본 명세서에서는 표본을 처리하기 위해 이용될 수 있는 반도체 처리 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)을 위한 다양한 실시예가 설명된다. "표본(specimen)"이라는 용어는 본 명세서에서 당업계에 알려진 수단에 의해 처리될 수 있는(예를 들어, 결함에 대하여 인쇄되거나 또는 검사될 수 있는) 웨이퍼, 레티클 또는 임의의 다른 샘플을 가리키기 위해 이용된다.
본 명세서에서 이용된 "웨이퍼(wafer)"라는 용어는 일반적으로 반도체 또는 비반도체 물질로 형성된 기판을 나타낸다. 예는, 단결정 실리콘, 갈륨 비화물 및 인듐 인화물을 포함하지만 이에 한정되지 않는다. 이러한 기판은 통상적으로 반도체 제조 설비에서 발견되고 그리고/또는 처리될 수 있다. 일부 경우들에서, 웨이퍼는 기판만을 포함할 수 있다(즉, 베어 웨이퍼). 이 대신에, 웨이퍼는 기판 상에 형성된 상이한 재료의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패터닝(patterned)"될 수 있거나 또는 "비패터닝되지 않을(unpatterned)" 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수 있다.
"레티클(reticle)"은 레티클 제조 공정의 임의의 스테이지에서의 레티클 또는 반도체 제조 설비 내에서의 이용을 위해 릴리즈되거나 또는 릴리즈되지 않을 수 있는 완성된 레티클일 수 있다. 레티클 또는 "마스크(mask)"는 일반적으로 패턴으로 구성되거나 또는 그 위에 실질적으로 불투명한 영역들이 형성되어 있는 실질적으로 투명한 기판으로서 정의된다. 기판은, 예를 들어, 비정질 SiO2와 같은 유리 재료를 포함할 수 있다. 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 공정의 노광 단계 동안에 레티클은 레지스트가 덮인 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝될 수 있거나 또는 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 각각 갖는 복수의 다이를 포함할 수 있다. 이러한 재료층의 형성 및 처리는 궁극적으로 완성된 소자를 제공할 수 있다. 많은 상이한 종류의 소자가 웨이퍼 상에 형성될 수 있고, 본 명세서에서 이용된 웨이퍼라는 용어는 당업계에서 알려진 임의의 종류의 소자가 그 위에 제조되어 있는 웨이퍼를 망라하도록 의도된 것이다.
하나 이상의 예시적인 실시예에서, 설명한 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되면, 기능은 컴퓨터 판독 가능한 매체 상에서 하나 이상의 명령어 또는 코드로서 저장되거나 또는 이러한 명령어 또는 코드를 통해서 전송될 수 있다. 컴퓨터 판독 가능한 매체는 하나의 장소에서 다른 장소로 컴퓨터 프로그램의 전달을 용이하게 하는 임의의 매체를 포함하는 통신 매체 및 컴퓨터 저장 매체 모두를 포함한다. 저장 매체는 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수 있다. 비한정적인 예로서, 이러한 컴퓨터 판독 가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장 장치, 자기 디스크 저장 장치 또는 다른 자기 저장 디바이스, 또는 범용 또는 특수 목적 컴퓨터 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있고, 명령어 또는 데이터 구조의 형태로 원하는 프로그램 코드 수단을 전달하거나 또는 저장하는데 이용될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속수단(connection)은 컴퓨터 판독 가능한 매체로서 적절하게 칭한다. 예를 들어, 소프트웨어가 동축 케이블, 광섬유 케이블, 트위스티드 페어, DSL(digital subscriber line), 또는 적외선, 무선파, 및 마이크로파와 같은 무선 기술들을 이용하여 웹사이트, 서버 또는 다른 원격 소스로부터 전송된다면, 동축 케이블, 광섬유 케이블, 트위스티드 페어, DSL 또는 적외선, 무선파 및 마이크로파와 같은 무선 기술은 매체의 정의 내에 포함된다. 본 명세서에서 이용된 바와 같이, 디스크 또는 디스켓은 컴팩트 디스크(CD), 레이저 디스크, 광학 디스크, DVD(digital versatile disc), 플로피 디스켓 및 블루레이 디스크를 포함하며, 여기서 디스켓은 일반적으로 데이터를 자기적으로 재현시키며, 디스크는 레이저를 이용하여 광학적으로 데이터를 재현시킨다. 또한, 전술한 것의 조합은 또한 컴퓨터로 판독 가능한 매체의 범위 내에 포함되어야 한다.
위에서는 교육을 목적으로 어떠한 특정의 실시예를 설명하였지만, 본 특허 명세서의 교시 내용은 범용적인 적용성을 가지며, 위에서 설명한 특정의 실시예에 제한되지 않는다. 이에 따라, 설명한 실시예의 다양한 특징의 다양한 수정, 개조 및 조합이 청구항에서 설명하는 본 발명의 범위로부터 벗어나지 않으면서 실시될 수 있다.

Claims (22)

  1. 하나 이상의 공정 변수의 다양한 값에 대하여 반복적으로 제조된 하나 이상의 실제 소자 구조의 하나 이상의 관심 파라미터의 레퍼런스 값을 수신하는 단계로서, 상기 레퍼런스 값은 레퍼런스 계측 시스템에 의해 측정되는 것인, 상기 레퍼런스 값을 수신하는 단계;
    상기 하나 이상의 실제 소자 구조의 인근에 배치된 하나 이상의 계측 타겟의 측정과 연관된 제1 양의 측정 데이터를 수신하는 단계로서, 상기 제1 양의 측정 데이터는 적어도 하나의 광학적 계측 기술에 의해 수행되는 측정으로부터 도출되는 것인, 상기 제1 양의 측정 데이터를 수신하는 단계; 및
    상기 하나 이상의 관심 파라미터의 상기 레퍼런스 값과 상기 제1 양의 측정 데이터에 기초하여 측정 모델을 트레이닝시키는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 측정 모델을 트레이닝시키는 단계는,
    상기 하나 이상의 실제 소자 구조의 상기 하나 이상의 관심 파라미터의 상기 레퍼런스 값과 상기 하나 이상의 공정 변수의 각각 사이의 매핑을 결정하는 단계를 포함하는, 방법.
  3. 제1항에 있어서,
    상기 측정 모델을 트레이닝시키는 단계는,
    상기 제1 양의 측정 데이터의 크기(dimension)를 감소시키는 상기 제1 양의 측정 데이터의 변환에 기초하여 상기 제1 양의 측정 데이터의 복수의 주요 피처(feature)를 결정하는 단계를 포함하는, 방법.
  4. 제3항에 있어서,
    상기 제1 양의 광학적 측정 데이터의 변환은, 주성분 분석(principal component analysis(PCA)), 독립 성분 분석(independent component analysis(ICA)), 커널 PCA, 비선형 PCA, 고속 푸리에 변환(fast fourier transform(FFT)) 분석, 이산 코사인 변환(discrete cosine transform(DCT)), 및 웨이브릿(wavelet) 분석 중 임의의 것을 포함하는, 방법.
  5. 제1항에 있어서,
    상기 측정 모델은, 선형 모델, 다각형 모델, 신경망 모델, 지지 벡터 머신(support vector machine) 모델, 결정 트리(decision tree) 모델, 및 랜덤 포레스트(forest) 모델 중 임의의 것인, 방법.
  6. 제1항에 있어서,
    상기 제1 양의 광학적 측정 데이터는 동일한 공정 조건에 의해 형성된 복수의 상이한 계측 타겟의 측정치의 조합을 포함하는, 방법.
  7. 제1항에 있어서,
    상기 제1 양의 광학적 측정 데이터는 복수의 상이한 계측 기술에 의해 획득된 측정치를 포함하는, 방법.
  8. 제3항에 있어서,
    상기 제1 양의 광학적 측정 데이터의 변환은, 상이한 타겟의 측정치로부터의 신호들 간의 차이, 상이한 계측 기술에 의해 획득된 측정치로부터의 신호들 간의 차이, 상이한 공정 단계에서 획득된 측정치로부터의 신호들 간의 차이, 또는 이들의 임의의 조합을 결정하는 것을 포함하는, 방법.
  9. 제3항에 있어서,
    상기 제1 양의 광학적 측정 데이터의 변환은, 상이한 타겟의 측정치로부터의 신호에 대한 모델 피트(model fit)의 잔차(residual), 상이한 계측 기술에 의해 획득된 광학적 측정치로부터의 신호에 대한 모델 피트의 잔차, 상이한 공정 단계에서 획득된 측정치로부터의 신호에 대한 모델 피트의 잔차, 또는 이들의 임의의 조합을 결정하는 것을 포함하는, 방법.
  10. 제1항에 있어서,
    상기 계측 타겟은 실제 소자 구조인, 방법.
  11. 제1항에 있어서,
    상기 하나 이상의 관심 파라미터는, 에지 배치 거리(edge placement distance), 에지 배치 에러(edge placement error), 및 오버레이(overlay) 중 임의의 것을 포함하는, 방법.
  12. 제1항에 있어서,
    상기 레퍼런스 계측 시스템은 스캐닝 전자 현미경 및 소형 각도 x-선 산란 계측기(small-angle x-ray scatterometer) 중 임의의 하나 또는 조합을 포함하는, 방법.
  13. 제2항에 있어서,
    하나 이상의 실제 소자 구조의 인근에 배치된 하나 이상의 계측 타겟의 측정과 연관된 제2 양의 측정 데이터를 수신하는 단계로서, 상기 제2 양의 측정 데이터는 동일한 상기 적어도 하나의 광학적 계측 기술에 의해 수행되는 측정으로부터 도출되는 것인, 상기 제2 양의 측정 데이터를 수신하는 단계;
    제2 양의 측정 데이터의 트레이닝된 상기 측정 모델로의 피팅에 기초하여 상기 하나 이상의 실제 소자 구조의 관심 파라미터의 측정된 값을 결정하는 단계; 및
    상기 관심 파라미터의 값을 메모리에 저장하는 단계
    를 더 포함하는, 방법.
  14. 제13항에 있어서,
    상기 하나 이상의 실제 소자 구조의 상기 하나 이상의 관심 파라미터의 상기 레퍼런스 값과 상기 하나 이상의 공정 변수의 각각 사이의 매핑과 상기 관심 파라미터의 상기 측정된 값에 기초하여 상기 공정 변수의 하나 이상의 값에 대한 보정(correction)을 결정하는 단계를 더 포함하는, 방법.
  15. 시스템에 있어서,
    타겟 구조의 측정을 수행하도록 구성된 적어도 하나의 검출기 및 적어도 하나의 조명 소스를 포함한 계측 툴(metrology tool); 및
    컴퓨팅 시스템
    을 포함하고,
    상기 컴퓨팅 시스템은,
    하나 이상의 공정 변수의 다양한 값에 대하여 반복적으로 제조된 하나 이상의 실제 소자 구조의 하나 이상의 관심 파라미터의 레퍼런스 값을 수신하고 - 상기 레퍼런스 값은 레퍼런스 계측 시스템에 의해 측정됨 -;
    상기 하나 이상의 실제 소자 구조의 인근에 배치된 하나 이상의 계측 타겟의 측정과 연관된 제1 양의 측정 데이터를 수신하고 - 상기 제1 양의 측정 데이터는 적어도 하나의 광학적 계측 기술에 의해 수행되는 측정으로부터 도출됨 -; 그리고
    상기 하나 이상의 관심 파라미터의 상기 레퍼런스 값과 상기 제1 양의 측정 데이터에 기초하여 측정 모델을 트레이닝시키도록 구성된, 시스템.
  16. 제15항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    하나 이상의 실제 소자 구조의 인근에 배치된 하나 이상의 계측 타겟의 측정과 연관된 제2 양의 측정 데이터를 수신하고 - 상기 제2 양의 측정 데이터는 동일한 상기 적어도 하나의 광학적 계측 기술에 의해 수행되는 측정으로부터 도출됨 -;
    제2 양의 측정 데이터의 트레이닝된 상기 측정 모델로의 피팅에 기초하여 상기 하나 이상의 실제 소자 구조의 관심 파라미터의 측정된 값을 결정하고; 그리고
    상기 관심 파라미터의 값을 메모리에 저장하도록 구성된, 시스템.
  17. 제15항에 있어서,
    상기 제1 양의 광학적 측정 데이터는 동일한 공정 조건에 의해 형성된 복수의 상이한 계측 타겟의 측정치의 조합을 포함하는, 시스템.
  18. 제15항에 있어서,
    상기 제1 양의 광학적 측정 데이터 이미지는 복수의 상이한 계측 기술에 의해 획득된 측정치의 조합을 포함하는, 시스템.
  19. 제15항에 있어서,
    상기 계측 타겟은 실제 소자 구조인, 시스템.
  20. 제15항에 있어서,
    상기 레퍼런스 계측 시스템은 스캐닝 전자 현미경 및 소형 각도 x-선 산란 계측기 중 임의의 하나 또는 조합을 포함하는, 시스템.
  21. 하나 이상의 실제 소자 구조의 인근에 배치된 하나 이상의 계측 타겟의 측정과 연관된 일정량의 측정 데이터를 수신하는 단계로서, 상기 일정량의 측정 데이터는 적어도 하나의 광학적 계측 기술에 의해 수행되는 측정으로부터 도출되는 것인 상기 일정량의 측정 데이터를 수신하는 단계;
    상기 일정량의 측정 데이터의 트레이닝된 측정 모델로의 피팅에 기초하여 상기 하나 이상의 실제 소자 구조의 관심 파라미터의 값을 결정하는 단계; 및
    상기 관심 파라미터의 값을 메모리에 저장하는 단계
    를 포함하는 방법.
  22. 제21항에 있어서,
    상기 하나 이상의 실제 소자 구조의 상기 관심 파라미터의 레퍼런스 값과 하나 이상의 공정 변수의 각각 사이의 매핑과 상기 관심 파라미터의 측정된 값에 기초하여 상기 하나 이상의 공정 변수의 값에 대한 보정(correction)을 결정하는 단계를 더 포함하는 방법.
KR1020177002984A 2014-07-07 2015-07-06 프록시 구조의 측정에 기초한 신호 응답 계측 KR102196370B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462021659P 2014-07-07 2014-07-07
US62/021,659 2014-07-07
US14/790,793 2015-07-02
US14/790,793 US10151986B2 (en) 2014-07-07 2015-07-02 Signal response metrology based on measurements of proxy structures
PCT/US2015/039202 WO2016007413A1 (en) 2014-07-07 2015-07-06 Signal response metrology based on measurements of proxy structures

Publications (2)

Publication Number Publication Date
KR20170030552A true KR20170030552A (ko) 2017-03-17
KR102196370B1 KR102196370B1 (ko) 2020-12-29

Family

ID=55016781

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177002984A KR102196370B1 (ko) 2014-07-07 2015-07-06 프록시 구조의 측정에 기초한 신호 응답 계측

Country Status (6)

Country Link
US (1) US10151986B2 (ko)
KR (1) KR102196370B1 (ko)
CN (1) CN106663646B (ko)
IL (1) IL249256B (ko)
TW (1) TWI669500B (ko)
WO (1) WO2016007413A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106462078B (zh) * 2014-05-13 2018-10-02 Asml荷兰有限公司 衬底和量测用图案形成装置、量测方法及器件制造方法
CN106796900B (zh) * 2014-10-03 2020-11-06 科磊股份有限公司 验证计量目标及其设计
WO2016078861A1 (en) * 2014-11-17 2016-05-26 Asml Netherlands B.V. Process based metrology target design
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US20170337482A1 (en) * 2016-05-20 2017-11-23 Suraj Sindia Predictive system for industrial internet of things
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10490462B2 (en) 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
KR102352673B1 (ko) * 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
US10580673B2 (en) 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
KR102349299B1 (ko) * 2018-06-14 2022-01-11 노바 메주어링 인스트루먼츠 엘티디. 반도체 제조용 측정 및 공정 제어
CN109035409B (zh) * 2018-07-05 2023-06-23 西安电子科技大学 一种基于简化的距离驱动与立体角模型的srm及其构建方法
CN109656103A (zh) * 2018-12-19 2019-04-19 上海华力集成电路制造有限公司 利用scd监控在线产品焦距变化的方法
US11990380B2 (en) * 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
JP7482910B2 (ja) 2019-07-03 2024-05-14 エーエスエムエル ネザーランズ ビー.ブイ. 半導体製造プロセスにおいて堆積モデルを適用する方法
US11308606B2 (en) * 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
WO2021086347A1 (en) * 2019-10-30 2021-05-06 Hewlett-Packard Development Company, L.P. Thermal interactions
WO2021085522A1 (ja) * 2019-10-30 2021-05-06 Alitecs株式会社 処理条件推定装置、方法及びプログラム
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
EP4086703A1 (en) * 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
IL308126A (en) * 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology
CN115951123B (zh) * 2023-02-28 2023-06-30 国网山东省电力公司营销服务中心(计量中心) 一种基于无线通信的电能计量方法及系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040070772A1 (en) * 2001-12-19 2004-04-15 Shchegrov Andrei V. Parametric profiling using optical spectroscopic systems
US20040233443A1 (en) * 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
KR20060033740A (ko) * 2003-06-27 2006-04-19 팀버 테크놀로지스, 인코포레이티드 기계학습시스템을 이용한 반도체 웨이퍼 상에 형성된구조물의 광학적 계측
US20120210289A1 (en) * 2011-02-11 2012-08-16 Hench John J Wide process range library for metrology
US20130144560A1 (en) * 2011-07-06 2013-06-06 Asml Netherlands B.V. Method and Apparatus for Calculating Electromagnetic Scattering Properties of Finite Periodic Structures

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
IL140179A (en) 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7065423B2 (en) 2004-07-08 2006-06-20 Timbre Technologies, Inc. Optical metrology model optimization for process control
US7280229B2 (en) 2004-12-03 2007-10-09 Timbre Technologies, Inc. Examining a structure formed on a semiconductor wafer using machine learning systems
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
WO2007123696A2 (en) 2006-03-30 2007-11-01 Tokyo Electron Limited In-die optical metrology
TWI429896B (zh) * 2006-07-27 2014-03-11 Rudolph Technologies Inc 橢圓偏光測定儀器及監控製程之方法
JP5022648B2 (ja) * 2006-08-11 2012-09-12 東京エレクトロン株式会社 欠陥検査方法および欠陥検査装置
US7912679B2 (en) * 2007-09-20 2011-03-22 Tokyo Electron Limited Determining profile parameters of a structure formed on a semiconductor wafer using a dispersion function relating process parameter to dispersion
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US9240254B2 (en) 2011-09-27 2016-01-19 Revera, Incorporated System and method for characterizing a film by X-ray photoelectron and low-energy X-ray fluorescence spectroscopy
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040070772A1 (en) * 2001-12-19 2004-04-15 Shchegrov Andrei V. Parametric profiling using optical spectroscopic systems
US20040233443A1 (en) * 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
KR20060033740A (ko) * 2003-06-27 2006-04-19 팀버 테크놀로지스, 인코포레이티드 기계학습시스템을 이용한 반도체 웨이퍼 상에 형성된구조물의 광학적 계측
US20120210289A1 (en) * 2011-02-11 2012-08-16 Hench John J Wide process range library for metrology
US20130144560A1 (en) * 2011-07-06 2013-06-06 Asml Netherlands B.V. Method and Apparatus for Calculating Electromagnetic Scattering Properties of Finite Periodic Structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
WO2023274687A1 (en) * 2021-07-01 2023-01-05 Asml Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses

Also Published As

Publication number Publication date
CN106663646B (zh) 2021-07-06
US10151986B2 (en) 2018-12-11
KR102196370B1 (ko) 2020-12-29
CN106663646A (zh) 2017-05-10
WO2016007413A1 (en) 2016-01-14
IL249256A0 (en) 2017-02-28
TWI669500B (zh) 2019-08-21
IL249256B (en) 2020-05-31
TW201606294A (zh) 2016-02-16
US20160003609A1 (en) 2016-01-07

Similar Documents

Publication Publication Date Title
KR102196370B1 (ko) 프록시 구조의 측정에 기초한 신호 응답 계측
US10352876B2 (en) Signal response metrology for scatterometry based overlay measurements
US10030965B2 (en) Model-based hot spot monitoring
US10504759B2 (en) Semiconductor metrology with information from multiple processing steps
US10502549B2 (en) Model-based single parameter measurement
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
US10769320B2 (en) Integrated use of model-based metrology and a process model
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
KR20160124775A (ko) 이미지 기반 오버레이 측정을 위한 신호 응답 계측
US10365225B1 (en) Multi-location metrology
US11313809B1 (en) Process control metrology
US20240186191A1 (en) Measurements Of Semiconductor Structures Based On Spectral Differences At Different Process Steps

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant