JP2019533312A - パターニングされたウェハの特性評価のためのハイブリッド計量 - Google Patents

パターニングされたウェハの特性評価のためのハイブリッド計量 Download PDF

Info

Publication number
JP2019533312A
JP2019533312A JP2019521090A JP2019521090A JP2019533312A JP 2019533312 A JP2019533312 A JP 2019533312A JP 2019521090 A JP2019521090 A JP 2019521090A JP 2019521090 A JP2019521090 A JP 2019521090A JP 2019533312 A JP2019533312 A JP 2019533312A
Authority
JP
Japan
Prior art keywords
value
measurement
parameter
weighing system
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019521090A
Other languages
English (en)
Other versions
JP6924261B2 (ja
Inventor
ボシュー チェン
ボシュー チェン
アンドレイ ヴェルドマン
アンドレイ ヴェルドマン
アレクサンドル クズネツォフ
アレクサンドル クズネツォフ
アンドレイ シェグロフ
アンドレイ シェグロフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019533312A publication Critical patent/JP2019533312A/ja
Application granted granted Critical
Publication of JP6924261B2 publication Critical patent/JP6924261B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

パターニングされた構造の幾何学的特性を評価するための方法およびシステムが提示される。より詳細には、1つまたは複数のパターニングプロセスによって生成された幾何学的構造が、ハイブリッド計量方法論に従って2つ以上の計量システムによって測定される。受信側のシステムの測定性能を増大させるために、1つの計量システムからの測定結果が、少なくとも1つの他の計量システムに通信される。同様に、送信側のシステムの測定性能を増大させるために、受信側の計量システムからの測定結果が送信側の計量システムに戻して通信される。このようにして、各計量システムから得られた測定結果が、他の協働する計量システムから受信された測定結果に基づいて改善される。いくつかの例では、独立して動作する各計量システムによって以前は測定不可能であった対象パラメータを測定するように計量能力が拡張される。他の例では、測定感度が改善され、パラメータ相関が低減される。

Description

記載される実施形態は、計量システムおよび方法に関し、より詳細には、半導体構造の寸法を特性評価するパラメータの改善された測定のための方法およびシステムに関する。
関連出願の相互参照
本特許出願は、2016年10月20日に出願された、「Hybrid Metrology for Patterned Wafer Characterization」と題する米国仮特許出願第62/410,395号の、米国特許法119条に基づく優先権を主張する。当該米国仮特許出願の主題の全体を本願に引用して援用する。
論理デバイスおよびメモリデバイス等の半導体デバイスは、通常、一連の処理ステップを標本に適用することによって製造される。半導体デバイスの様々な特徴および複数の構造階層は、これらの処理ステップにより形成される。例えば、中でもリソグラフィは、半導体ウェハ上でパターンを生成することを伴う1つの半導体製造プロセスである。半導体製造プロセスの更なる例は、限定ではないが、化学機械研磨、エッチング、堆積およびイオンインプランテーションを含む。複数の半導体デバイスを単一の半導体ウェハ上に製造し、次に個別の半導体デバイスへと分離することができる。
所定のリソグラフィシステムについて、半導体ウェハ上にプリントされる特徴の分解能を増大させるために、複数のパターニング技法が広く用いられている。複数のパターニング技法を用いて製造されたウェハを含めて、ウェハ上の欠陥を検出して、より高い歩留まりを促進するために、半導体製造プロセス中に様々なステップにおいて計量プロセスが用いられる。
光学計量技法は、標本破壊のリスクを伴うことなく、高スループットの測定を行う見込みがある。スキャトロメトリおよびリフレクトメトリの実施および関連分析アルゴリズムを含む、多数の光学計量ベースの技法が、ナノスケール構造の限界寸法、膜厚、組成および他のパラメータを特性評価するために広く用いられている。
一般に、計量ターゲットの幾何学的複雑度が増大すると、測定される必要があるパラメータの数が増大する。これにより、測定性能を限定する測定の下で、パラメータ間の相関のリスクが増大する。
加えて、光学計量は、計量ターゲット、特に、複数のパターニングされたターゲットのいくつかのパラメータに対する感度が低いという欠点を有する。通常、物理的なモデルベースの測定を用いる光学計量技法は、パターニングされた構造の、パラメータ化された幾何学的モデルを必要とする。例示的なパラメータは、限界寸法、ピッチウォーク、または他の対象パラメータを含む。加えて、測定中に生成される信号をシミュレートするには、光学システムと、測定下の構造との間の相互作用の正確な電磁モデルが必要とされる。測定信号に対するシミュレートされた信号の非線形回帰が適用され、モデル化された構造のパラメータが特定される。この手法は、構造の正確なモデル化、および材料特性を必要とする。
多くの場合、測定プロセスは、限界パラメータに対する感度が弱いという欠点を有し、場合によっては、物理的なモデルベースの測定技法の結果として、感度が低くなり、精度が不良となる。これらの限界パラメータに対する、測定された光信号の感度の欠如により、パターニングプロセスを監視および制御するのが極端に困難になっている。
いくつかの例において、ターゲットを測定するために光学計量システムが用いられる。通常、限界寸法(CD)、ΔCD、平均CD、側壁角(SWA)、および他の形状パラメータ等のいくつかのパラメータが測定される。例示的なシステムは、KLA−Tencor Corporationに譲渡された米国特許出願公開第2015/0176985号に記載されており、当該米国特許出願公開の内容は、参照によりその全体が本願に援用される。
いくつかの例において、測定下のウェハに関連付けられたプロセス情報は、光学測定を向上させるように、光学計量ツールに通信される。1つの例では、リソ−エッチング−リソ−エッチング(LELE)マルチパターニングプロセスの2つの異なるパターニングステップにおけるリソグラフィ線量が、光学計量ツールに通信される。LELEプロセスにおいて、第1のリソグラフィ線量が、第2の線量よりも大きい場合、1つの限界寸法パラメータ(CD1)が別の限界寸法パラメータ(CD2)よりも小さくなることがわかる。この制約を課すことによって、光学計量測定モデルにおける縮退が解け、より正確な測定結果が可能になる。プロセス情報は、いくつかの特定の例において測定結果を改善することが示されているが、プロセス情報が利用可能でも有用でもない他の例が存在する。
いくつかの他の例において、測定システムは、2つの計量技法を含む。そのようなシステムは、一般に「ハイブリッド」計量システムと呼ばれる。例示的なシステムは、アロック・ヴァイド(Alok Vaid)他による米国特許出願公開第2017/0018069号に記載されており、当該米国特許出願公開の内容は、参照によりその全体が本願に援用される。しかしながら、先行技術のハイブリッド計量システムから得られた測定結果は、通常、2つの異なる測定技法からの測定結果の加重平均に基づいて、対象パラメータの値を特定することを含む。
米国特許出願公開第2003/0071994号 米国特許出願公開第2013/0158948号
パターニングされた構造の測定を伴う計量アプリケーションは、ますます小さくなる分解能要件、マルチパラメータ相関、ますます複雑になる幾何学的構造、および半透明材料がますます使用されるようになることに起因して困難を呈する。このため、改善された測定のための方法およびシステムが望ましい。
本願において、パターニングされた構造の幾何学的特性を評価するための方法およびシステムが提示される。より詳細には、1つまたは複数のパターニングプロセスによって生成された幾何学的構造が、2つ以上の計量システムによって測定される。
1つの態様において、受信側のシステムの測定性能を増大させるために、1つの計量システムからの測定結果が、少なくとも1つの他の計量システムに通信される。同様に、受信側の計量システムからの測定結果が送信側の計量システムに戻して通信される。いくつかの実施形態では、各計量システムは、別の計量システムから受信された測定結果に少なくとも部分的に基づいて、幾何学的誤差を特性評価する、少なくとも1つの対象パラメータの値を特定する。このようにして、各計量システムから得られる測定結果は、他の協働する計量システムから受信される測定結果に基づいて改善される。いくつかの例では、各計量システムを独立して用いると以前は測定不可能であった対象パラメータを測定するように計量能力が拡張される。他の例では、測定性能(例えば、精度および正確度)が改善される。
1つの態様では、コンピューティングシステムは、2つ以上の異なる計量システムによるターゲット構造の測定に基づいて、複数の異なる対象パラメータの値を推定するために用いられるハイブリッド計量測定エンジンとして構成される。1つの計量システムによって得られた測定結果が、別の計量システムによる、対象パラメータの値の推定を向上させるのに用いられ、逆もまた同様である。
更なる態様では、1つの計量技法によって推定されたパラメータ値は、別の計量技法によって利用される前に再パラメータ化される。
別の更なる態様では、1つの計量システムによって推定されるパラメータ値は、別の計量技法によって利用される前に変換される。
別の態様では、本願に記載のハイブリッド計量システムおよび方法は、複数のターゲットに適用することができる。
更に別の態様では、本願に記載の測定技法を用いて、プロセスツール(例えば、リソグラフィツール、エッチングツール、堆積ツール等)にアクティブフィードバックを与えることができる。例えば、本願に記載の方法を用いて特定された構造パラメータの値をリソグラフィツールに通信して、所望の出力を達成するようにリソグラフィシステムを調整することができる。
以上は概要であり、このため、必然的に、単純化、一般化および細部の省略を含む。したがって、当業者は、この概要は専ら例証的なものでありいかなる形においても限定ではないことを理解するであろう。本願に記載のデバイスおよび/またはプロセスの他の態様、発明的特徴および利点については、本願において示される非限定的な詳細の説明において明らかとなろう。
リソ−エッチング−リソ−エッチング(LELE)プロセスと呼ばれるダブルパターニングリソグラフィ(DPL)技法の選択されたステップを示す。 リソ−エッチング−リソ−エッチング(LELE)プロセスと呼ばれるダブルパターニングリソグラフィ(DPL)技法の選択されたステップを示す。 一般にリソ−エッチング−リソ−エッチング(LELE)プロセスと呼ばれるダブルパターニングリソグラフィ(DPL)技法の選択されたステップを示す。 一般にリソ−エッチング−リソ−エッチング(LELE)プロセスと呼ばれるダブルパターニングリソグラフィ(DPL)技法の選択されたステップを示す。 自己整合型クアッドパターニング(SAQP)プロセスを用いて構築された半導体構造20を示す。 1つの実施形態におけるハイブリッド計量システム100を示す。 1つの実施形態における例示的なハイブリッド計量測定エンジン150を示す図である。 別の実施形態におけるハイブリッド計量測定エンジン160を示す。 別の実施形態におけるハイブリッド計量測定エンジン170を示す。 3つの計量システムを含むハイブリッド計量システム105を示す。 別の実施形態における例示的なハイブリッド計量測定エンジン180を示す図である。 別の実施形態における例示的なハイブリッド計量測定エンジン190を示す図である。 フィードフォワードを用いないSEM測定性能を示すプロット200を示す。 フィードフォワードを用いたSEM測定性能を示すプロット201を示す。 異なるターゲットロケーションにおいてSEM画像から推定されたCD値を示すプロット203を示す。 図12に示す対応するCD値ごとに達成される測定精度を示すプロット204を示す。 図10および図11に示す測定値に関連付けられた測定精度の表205を示す。 SEMシステムからのパラメータフィードフォワードに基づく、様々な形状パラメータのための改善されたOCD測定性能を示すプロット206を示す。 複数のパターニングプロセスによって生じる幾何学的誤差の測定の方法300を示すフローチャートである。 本願に提示される例示的な方法による、標本の特性を測定するための光学計量システム103を示す。
ここで、背景技術の例および本発明のいくつかの実施形態を詳細に参照する。それらの例が添付の図面に示されている。
図1A〜図1Dは、一般にリソ−エッチング−リソ−エッチング(LELE)プロセスと呼ばれるダブルパターニングリソグラフィ(DPL)技法を示す。図1Aは、シリコン基層10、二酸化シリコン等の界面層、デバイス層12、ハードマスク層13、犠牲層14、およびリソグラフィパターニングステップの結果として得られるパターニングされたレジスト層15を示す。図1Aに示す構造は、次に露光およびエッチングステップにかけられ、結果として図1Bに示す構造が得られる。この構造において、レジスト層15のパターンは、ハードマスク層13に有効に転写されている。犠牲層14およびパターニングされたレジスト層15の双方が除去されている。複数の堆積およびリソグラフィステップを用いて、図1Cに示す構造に到達する。図1Cは、ハードマスク層13の上に構築された別の犠牲層16およびパターニングされたレジスト層17を示す。パターニングされたレジスト層17は、第1のパターニングされたレジスト層15と同じピッチであり、ハードマスク層13にエッチングされるパターンとも同じピッチであるパターンを含む。しかしながら、パターニングされたレジスト層17は、パターニングされたレジスト層17のピッチの半分だけハードマスク層13のパターンからオフセットされている。次に、図1Cに示す構造は、露光およびエッチングステップにかけられ、結果として、図1Dに示す構造が得られる。この構造において、レジスト層17のパターンは、ハードマスク層13に有効に転写されている。犠牲層16およびパターニングされたレジスト層17の双方が除去されている。図1Dは、リソグラフィシステムのマスクによって生成された、パターニングされたレジスト層15および17のピッチの半分である、ハードマスク13にエッチングされるパターンを示す。
図1Dは、最適化されていないDPLプロセスの効果も示す。理想的には、ダブルパターニングされた構造の公称ピッチは、定数値Pになるはずである。しかしながら、DPLプロセスにおける欠陥に起因して、結果として得られる構造のピッチは、グレーティングの不均一性に起因して、場所に依拠して変動する場合がある。これは一般に、「ピッチウォーク」と呼ばれる。公称ピッチPからの変動は、図1Dにおいて、ΔPとして示される。別の例において、各結果として得られる構造の限界寸法は、同じ公称値、CDNOMとなるはずである。しかしながら、DPLプロセスにおける欠陥に起因して、結果として得られる構造の限界寸法(例えば、中間限界寸法、底部限界寸法等)が、場所(例えば、CD1、CD2、CD3)に依拠して変動する場合がある。限界寸法CDNOMからのCD2の変動は、図1DにおいてΔCDとして示される。
ピッチウォークおよびΔCDは、2つのリソグラフィ層間のずれ、リソグラフィプロセスの焦点および露光の不均一性、マスクパターン誤差等の、DPLプロセスにおける欠陥によって生じる例示的な幾何学的誤差である。ピッチウォークおよびΔCDの双方が、予測よりも大きな単位セルを生じる。ピッチウォークおよびΔCDについて特に記載されているが、他の複数のパターニング誤差が予期され得る。
図1A〜図1Dを参照してLELEプロセスが記載されたが、類似の誤差を生じる多くの他の複数のパターニングプロセスが予期され得る(例えば、リソ−リソ−エッチング、スペーサにより画定されたダブルパターニング等)。同様に、図1A〜図1Dを参照してダブルパターニングプロセスが記述されたが、クアッドパターニング等のより高次のパターニングプロセスにおいて類似の誤差が生じる。通常、より高次のパターニングプロセスの結果として生じる構造では、ピッチウォークおよびΔCD等の誤差がより顕著である。
図2は、自己整合型クアッドパターニングパターニング(SAQP)プロセスを用いて構築された半導体構造20を示す。図2は、最終エッチング前のプロセスステップを示す。半導体構造20は、基板層21、酸化物層22、窒化物層23、酸化物層24、およびいくつかの窒化物スペーサ構造25A〜Eを含む。各スペーサは、高さおよび厚みを有する。これらの構造の間隔および厚みにおける変動の結果として、いくつかの限界パラメータ値に変動が生じる。例えば、図2に示す限界寸法パラメータCD1、CD2およびCD3は、フィン形成プロセス、および究極には最終的な構造の性能に対するその影響に起因して、頻繁に監視される。加えて、ピッチウォークは、測定および制御の双方に重要なパラメータである。ピッチウォークは、式(1)によってCD1およびCD3に関係付けられる。
パターニングされた構造の幾何学的特性を評価するための方法およびシステムが本願に提示される。より詳細には、1つまたは複数のパターニングプロセスによって生成された幾何学的構造が、2つ以上の計量システムによって測定される。受信システムの測定性能を増大させるために、計量システムの各々からの測定結果が、他の計量システムのうちの少なくとも1つに通信される。各受信システムは、本願に記載の方法およびシステムに従って、パターニングプロセスによって生じた幾何学的誤差を特性評価する、少なくとも1つの対象パラメータの値を特定する。このようにして、各計量システムから得られる測定結果は、他の協働する計量システムから受信される測定結果に基づいて改善される。いくつかの例では、計量能力は、各計量システムを独立して用いると従来測定可能でなかった対象パラメータを測定するように拡張される。他の例では、測定性能(例えば、精度および正確度)が改善される。本願に記載の方法およびシステムは、半導体産業において出現するマルチパターニングターゲットおよび3D集積ターゲットの特性評価を可能にする。
図3は、1つの実施形態によるハイブリッド計量システム100を示す。図3に示すように、ハイブリッド計量システム100は、計量システム102および103と、コンピューティングシステム130とを備える。計量システム102および103は、異なる独立した複数の計量技法を用いて、半導体ウェハ上に堆積された同じ計量ターゲット構造を測定する。概して、任意の適切な計量システムが、本特許出願の範囲内にあると予期され得る。非限定的な例として、計量システム102および103のうちの任意のものは、SEMシステム、透過型電子顕微鏡法(TEM)システム、原子力間顕微鏡法(AFM)システム、分光エリプソメータ、分光反射率計、散乱計、小角X線散乱計システム等のX線ベースの計量システム、電子ビームベースの計量システム、光学撮像システム等として構成することができる。
いくつかの実施形態では、計量システム102は走査型電子顕微鏡法(SEM)システムであり、計量システム103は、分光エリプソメトリ(SE)システム等の光学限界寸法(OCD)計量システムである。OCDシステム102は、測定された信号112をコンピューティングシステム130に通信する。測定された信号112は、計量ターゲット構造101の測定スポット110から収集されたSEM画像情報を示す。同様に、OCDシステム103は、測定された信号113をコンピューティングシステム130に通信する。測定された信号113は、計量ターゲット構造101の測定スポット110から収集された、測定されたスペクトルを示す。
1つの態様において、コンピューティングシステム130は、2つ以上の異なる計量システムによるターゲット構造の測定に基づいて、複数の異なる対象パラメータの値を推定するのに用いられるハイブリッド計量測定エンジンとして構成される。1つの計量システムによって得られた測定結果が、別の計量システムによる、対象パラメータの値の推定を向上させるのに用いられ、逆もまた同様である。
図4は、1つの実施形態における例示的なハイブリッド計量測定エンジン150を示す図である。図4に示すように、ハイブリッド計量測定エンジン150は、それぞれ計量システム102および103に関連付けられた、2つの異なる測定モジュール122および123を含む。1つの態様において、測定モジュール122によって得られた測定結果151は、計量システム102による計量構造101の測定と関連付けられた1つ以上の対象パラメータの値154の推定を改善するために、測定モジュール123に通信される。これは、測定モジュール123への値151の「フィードフォワード」通信と呼ぶことができる。同様に、測定モジュール123によって得られた測定結果152は、計量システム103による計量構造101の測定と関連付けられた1つ以上の対象パラメータの値153の推定を改善するために、測定モジュール122に通信される。これは、測定モジュール123への値152の「フィードバック」通信と呼ぶことができる。通例、測定結果152は、測定モジュール123によって特定された、対象パラメータの値154を含むことができる。このようにして、測定モジュール122に通信された値154は、値153の推定を更に改善する。同様に、測定結果151は、測定モジュール122によって特定された、対象パラメータの値153を含むことができる。このようにして、測定モジュール123に通信された値153は、値154の推定を更に改善する。
いくつかの実施形態では、測定結果152が測定モジュール123によって生成され測定モジュール122に通信される前に、測定モジュール122によって測定結果151を生成し、測定モジュール123に通信することができる。いくつかの実施形態では、測定結果151が測定モジュール122によって生成され測定モジュール123に通信される前に、測定モジュール123によって測定結果152を生成し、測定モジュール122に通信することができる。通例、測定結果の連続フィードフォワードおよびフィードバックのプロセスは、双方の計量システムの全体性能を最適化するように数回反復することができる。
図4に示すように、計量システム102に関連付けられた測定モジュール122は、1つ以上の対象パラメータの値153を推定するために用いられ、計量システム103に関連付けられた測定モジュール123は、1つ以上の対象パラメータの異なる組の値154を推定するために用いられる。このため、各計量システムは、異なる対象パラメータを測定するのに用いられる。このようにして、特定の対象パラメータに関して高い測定性能が可能な計量システムが、その目的で用いられる。
いくつかの実施形態では、測定下の計量ターゲット構造101は、パターニングされた構造である。これらの実施形態において、OCDシステム103は、SEMシステム102よりも高い精度で幾何学的パラメータ(例えば、CD、高さ、SWA、FIN_CDおよびピッチ)の平均値を測定することが可能である。これらのパラメータは、別の計量システム、例えばSEMシステム102に関連付けられた測定モジュールにフィードフォワードされる。SEMシステム102は、各CDパラメータ値(例えば、CD1、CD2、CD3)を測定することが可能である。1つの態様では、SEMシステム102によって測定されるパラメータ値の各々は、OCDシステム103から受信された対応する平均値によって正規化される。この正規化の結果として、ΔCD等の値、CDRATIO等の比率値、または双方について改善された測定性能が達成される。
例えば、図1Dに示すCD1およびCD2の平均値CDAVGが、式(2)によって記述される。
しかしながら、OCDシステム103は、図1Dに示すΔCD等のいくつかの他のパラメータを感知しない。好都合なことに、SEMシステム102は、類似の精度でCDAVGおよびΔCDを測定することが可能である。1つの例では、OCDシステム103に関連付けられた測定モジュール123は、CDAVGの値152を特定し、値152を、SEMシステム102に関連付けられた測定モジュール122に転送する。そして、測定モジュール122は、CDAVG152の高精度の値に基づいて、より高い精度を有するΔCD153の値を特定する。OCDシステム103に関連付けられた測定モジュール123によって測定されたCDAVG、ピッチ等の値152に基づいて、SEMシステム102に関連付けられた測定モジュール122は、複数のエッジに対し同時に作用すること等のためにエッジ検出アルゴリズムを調整して、DCDのより高い精度の推定値に到達する。
別の例では、OCDシステム103に関連付けられた測定モジュール123は、側壁角(SWA)および高さ(HT)の値152を特定する。SWAはSEM測定値から確実に測定することができないが、SWAの値は、エッジを広げることによってSEM画像に影響を及ぼす。測定モジュール123によって推定されるSWAおよびHTの値152に基づいて、SEMシステム102に関連付けられた測定モジュール212は、SEM画像を処理するために用いられるエッジ検出アルゴリズムを調整して、より高い精度および正確度でCD、DCD等の推定値に到達する。
この実施形態において、OCDシステム103がCDAVGの高精度値152をSEMシステム102に提供するが、通例、高精度値152は、別の測定源(例えば、シミュレートされたSEM測定、長い集積時間を用いて得られた低ノイズSEM画像を用いた測定等)から生じ得る。同様に、この実施形態では、SEMシステム102が、CDAVGの高精度値152を受信する。しかしながら、通例、高精度値152は、OCDシステム103よりも低い精度を有する任意の測定システムによって受信され得る。非限定的な例として、計量システム102は、AFMシステムまたはTEMシステムとすることができる。
図10は、CDAVGの高精度値の、フィードフォワードを用いないSEM測定性能を示すプロット200を示す。同じSEMシステム設定を有する同じターゲットロケーションにおいて30個の画像が測定される。収集された画像ごとに、3つの異なるアルゴリズムに基づいて限界寸法の推定値CDが特定される。1D勾配アルゴリズムに関連付けられた測定結果が、「x」でマーキングされる。2D勾配アルゴリズムに関連付けられた測定結果が「+」でマーキングされる。2Dキャニー(Canny)アルゴリズムに関連付けられた測定結果が「o」でマーキングされる。
図11は、CDAVGの高精度値のフィードフォワードを用いたSEM測定性能を示すプロット201を示す。図10を参照して説明されたように、測定される画像ごとに3つの異なるアルゴリズムに基づいて限界寸法の推定値CDが特定される。この例において、CD1に関連付けられた比(すなわち、CD1RATIO=(CD1/(CD1+CD2))およびCD2に関連付けられた比(すなわち、CD2RATIO=(CD2/(CD1+CD2))が、測定されたSEM画像から特定される。CD1RATIOおよびCD2RATIOが、OCDシステム102から得られたCDAVGの値、または長い集積時間によって得られた低ノイズSEM画像ベースの推定値によって正規化される。
図10および図11に示すように、CDの推定値は変動し、CDAVGの高精度値のフィードフォワードを用いないCDの推定値において線形傾向(すなわち、ドリフト)が存在する。しかしながら、CDAVGの高精度値のフィードフォワードにより、測定均一性が改善され、CDの推定値における線形傾向(すなわち、ドリフト)が、無視できるレベルまで低減される。
図14は、図10および図11に示す測定値に関連付けられた測定精度の表205を示す。測定精度は、3つのシナリオ、すなわち、図10に示すような、フィードフォワードを用いないCDの推定、フィードフォワードを用いないが、線形傾向除去を用いたCDの推定、および図11に示すような、フィードフォワードを用いたCDの推定についての30回の繰り返しに基づいて計算される。線形傾向除去プロセスを測定データに適用して精度を改善することができる。しかしながら、傾向除去は、多数の繰り返された画像を必要とし、これにより測定スループットが低減するため、好ましくない。
図14に示すように、最も高い精度は、3つ全てのシナリオについて、2Dキャニーアルゴリズムに基づいて得られる。精度は、傾向除去により大幅に改善されるが、CDAVGのフィードフォワードを用いて更に高い精度が得られる。図14に示すように、フィードフォワードが利用されない同一のシナリオと比較して、フィードフォワードを用いると、精度の4倍の改善が得られる。加えて、フィードフォワードは、傾向除去のスループットペナルティがなく、傾向除去よりも良好な性能を提供する。
別の態様において、CDAVGのフィードフォワードに起因した精度改善は、体系的計量オフセットに依拠しない。1つの計量システムに基づく対象パラメータの推定値は、別の計量システムに基づく同じ対象パラメータの推定値から体系的にオフセットされる。従来のハイブリッド計量システムにおいて、この体系的オフセットは、測定結果に対し悪影響を有する場合がある。
図12は、異なるターゲットロケーションにおいてSEM画像から推定されたCD値のプロット203を示す。収集された画像ごとに、限界寸法の推定値CDが、CDAVGのフィードフォワードを用いずに(「o」でマーキングされる)、CDAVGのフィードフォワードを用いて(「+」でマーキングされる)、CDAVGのフィードフォワード、および計量システム102と計量システム103との間の1つのナノメータ体系オフセットを用いて(「x」でマーキングされる)特定される。加えて、限界寸法の値CDは、3つの異なるアルゴリズムに基づく。このため、3つの推定値が、各ターゲットロケーションにおいて各推定方法に関連付けられる。図13は、図12に示す対応するCD値ごとに達成される測定精度のプロット204を示す。
図12および図13に示すように、SEMシステム102とOCDシステム103との間の体系的計量オフセット(例えば、1ナノメートルオフセット)は、全てのSEM測定結果をシフトするが、CDAVGのフィードフォワードにより達成された測定精度改善に影響を及ぼさない。更に、OCDシステム103がSEMシステム102と比較して小さなオフセットを有する場合、SEMシステム102のオフセットは、OCDシステム103にマッチングすることにより改善されることになる。このため、フィードフォワードパラメータ源が受信計量システムと比較して小さなオフセットを有するとき、本願に記載のパラメータフィードフォワードは、測定精度、ツール間整合、および測定正確度を改善する。
いくつかの実施形態では、SEMシステム102は、良好な精度でΔCDを測定することが可能である。しかしながら、OCDシステム103によるΔCD測定の精度、追跡および正確度は、通例、非常に不良である。更に、ΔCDに相関付けされた他の対象パラメータ(例えば、フィン構造の限界寸法)のOCD測定も、悪影響を受ける。
更なる態様では、SEMシステム102に関連付けられた測定モジュール122は、ΔCD、ΔHeight、ΔSWA、ΔFIN_CD、ピッチウォーク、オーバレイ等のような1つ以上のデルタ値、Height1RATIO、CD1RATIO、SWA1RATIOおよびFin_CD1RATIO等のような1つ以上の比率値、または双方を特定する。1つの例において、SEMシステム102は、ΔCDの値151を特定し、値151を、OCDシステム103に関連付けられた測定モジュール123に転送する。そして、測定モジュール123は、測定される光スペクトルの回帰分析における追加の制約または初期値としてフィードフォワード値(すなわち、デルタ値、比率値または双方)を利用することによって、より高い精度を有する1つ以上の対象パラメータの値154を特定する。1つの例において、測定モジュール122からのΔCDの値151は、OCDシステム103に関連付けられた測定モジュール123が、この値がなければ低い感度、他のパラメータとの相関、または双方の欠点を有する、対象パラメータ(例えば、SWA、FIN_CD、FIN_HT、ΔCD1、ΔCD2等)の改善された測定性能を達成することを可能にする。
図15は、SEMシステムからのパラメータフィードフォワードに基づく、様々な形状パラメータのための改善されたOCD測定性能を示すプロット206を示す。図15は、5つの異なる形状パラメータについてOCDシステム103によって達成される測定正確度を示す。斜線のバーは、ΔCDのフィードフォワードを用いることなく達成される測定正確度を示す。実線のバーは、ΔCDのフィードフォワードを用いて達成される測定正確度を示す。この例において、SEMシステム102は、0.25ナノメートルの精度誤差でΔCDを測定する。図15に示すように、ΔCD1およびΔCD2の測定に関連付けられた正確度は、概ね2倍改善する。
1つの例において、CDAVGの値152が、まず、OCDシステム103に関連付けられた測定モジュール123によって推定され、SEMシステム102に関連付けられた測定モジュール122にフィードフォワードされる。CDAVGの値152は、測定モジュール122が、対象パラメータ153(例えば、ΔCD、CDRATIO等)の精度を改善し、CD測定におけるツール間整合を確実にすることを可能にする。その後、ΔCDの値151が、SEMシステム102に関連付けられた測定モジュール122によって推定され、OCDシステム103に関連付けられた測定モジュール123にフィードバックされる。ΔCDの値151は、測定モジュール123が、対象パラメータ154、特に、低い感度、他のパラメータとの相関、または双方を有するパラメータのOCD測定の正確度を改善することを可能にする。ΔCDの値151をフィードバックする前にCDAVGの値152をフィードフォワードすることによって、より良好な測定精度、およびΔCDの値151に対する、より体系的でないオフセットが達成される。ΔCDのより高い精度の値151により、OCD回帰分析時間が低減し、結果として、対象パラメータのより高い精度の推定値154が得られる。加えて、低減された体系的オフセットにより、効率的なOCD回帰分析が可能になった。このようにして、2つの異なる計量システム間のパラメータの連続したフィードフォワードおよびフィードバック交換が、異なる対象パラメータについて、双方の計量システムの測定性能を改善する。
別の例において、ΔCDの値151が、まず、SEMシステム102に関連付けられた測定モジュール122によって推定され、OCDシステム103に関連付けられた測定モジュール123にフィードフォワードされる。ΔCDの値151は、測定モジュール123が対象パラメータ154の精度を改善することを可能にし、CDAVGの値152の改善された推定値を可能にする。その後、CDAVGの値152は、SEM102に関連付けられた測定モジュール122にフィードバックされる。CDAVGの値152は、測定モジュール122が、対象パラメータ153(例えば、ΔCD、CDRATIO等)のSEM測定の正確度を改善することを可能にする。このようにして、2つの異なる計量システム間のパラメータの連続したフィードフォワードおよびフィードバック交換が、異なる対象パラメータについて、双方の計量システムの測定性能を改善する。
図4を参照して説明される例において、パラメータ値は直接フィードフォワードまたはフィードバックされる。しかしながら、別の態様では、1つの計量技法によって推定されたパラメータ値は、第2の計量技法によって利用される前に再パラメータ化される。
図5は、別の実施形態におけるハイブリッド計量測定エンジン160を示す。図4を参照して説明された同じ参照符号を有する要素は類似している。図5に示すように、値151は再パラメータ化モジュール161によって再パラメータ化される。再パラメータ化された値163は、測定モジュール123に通信される。同様に、値152は、再パラメータ化モジュール162によって再パラメータ化される。再パラメータ化された値164は、測定モジュール122に通信される。
1つの例において、図2に示す半導体構造20のCD1、CD2およびCD3は、まず、SEMシステム102によって測定される。次に、式(3)に示すように、CD1、CD2およびCD3は、比率値に再パラメータ化される。
定義により、3つの比率値は、式(4)によって互いに関係付けられる。
ΔCD1RATIO+2ΔCD2RATIO+ΔCD3RATIO=1 (4)
式(3)の3つの比率値は、OCDシステム103に関連付けられた測定モジュール103にフィードフォワードされる。これに応答して、測定モジュール103は、3つの比率値に基づいてパラメータ空間に対する3つの制約を生成するように構成される。3つの制約は、式(5)に示される。
CD1=CDAVG(1+ΔCD1RATIO
CD2=CDAVG(1+ΔCD2RATIO
CD3=CDAVG(1+ΔCD3RATIO) (5)
通例、パラメータ空間に対する追加の制約により、感度の低いパラメータ(例えば、CD1、CD2およびCD3)および相関付けられたパラメータ(例えば、FIN_HT)のためのOCD測定性能が改善する。更に、OCDにおける回帰分析時間が低減される。
別の態様では、1つの計量技法によって推定されるパラメータ値は、第2の計量技法によって利用される前に変換される。
図6は、別の実施形態におけるハイブリッド計量測定エンジン170を示す。図4を参照して説明された同じ参照符号を有する要素は類似している。図6に示すように、値151は、変換モジュール171によって変換される。変換された値173は、測定モジュール123に通信される。同様に、値152は、変換モジュール172によって変換される。変換された値174は、測定モジュール122に通信される。
変換モジュール171および172は、1つ以上のデータ当てはめおよび最適化技法(例えば、高速次数低減モデル;回帰;ニューラルネットワークおよびサポートベクターマシン等の機械学習アルゴリズム;主成分分析および独立成分分析、ならびに局所線形埋め込み等の次元低減アルゴリズム;フーリエ変換、ウェーブレット変換等のスパース表現アルゴリズム;ならびにカルマンフィルタ)を含むことができる。1つの例において、OCD計量システムおよびSEM計量システムを含むハイブリッド計量システムにおける体系的オフセットは、変換モジュール171、172、または双方によって除去される。1つの例において、変換モジュール171、172、または双方は、トレーニングされた変換モデルを含む。変換モデルは、信頼された基準計量システムからの基準測定(例えば、断面SEMまたはTEM)に基づいて、体系的オフセットを除去するようにトレーニングされる。
通常、ハイブリッド計量システムは、任意の数の計量システムを含むことができ、計量システムのうちの任意のものからの測定結果は、他の計量システムのうちの任意のものにフィードフォワードすることができる。同様に、別の計量システムからフィードフォワードされた1つ以上のパラメータ値に基づいて計量システムのうちの任意のものによって得られた測定結果は、元の計量システムにフィードバックすることができる。
図7は、3つの計量システムを含むハイブリッド計量システム105を示す。図3を参照して説明された参照符号を有する要素は類似している。図7に示すように、ハイブリッド計量システム105は第3の計量システム104を含む。計量システム104によって生成された測定信号は、分析のためにコンピューティングシステム130に通信される。図7に示す実施形態では、コンピューティングシステム130は、3つの計量システムによるターゲット構造の測定に基づいて、複数の異なる対象パラメータの値を推定するのに用いられるハイブリッド計量測定エンジンとして構成される。
図8は、別の実施形態における例示的なハイブリッド計量測定エンジン180を示す図である。図8に示すように、ハイブリッド計量測定エンジン180は、それぞれ計量システム102、103および104に関連付けられた3つの異なる測定モジュール122、123および124を含む。図8に示すように、測定モジュール123によって得られた測定結果181は、計量システム104によって計量構造101の測定に関連付けられた1つ以上の対象パラメータの値185の推定を改善するために測定モジュール124に通信される。これは、測定モジュール124への、値181の「フィードフォワード」通信と呼ぶことができる。同様に、計量システム103による計量構造101の測定に関連付けられた1つ以上の対象パラメータの値154の推定を改善するために、測定モジュール124によって得られた測定結果182は、測定モジュール123に通信される。これは、測定モジュール123への値182の「フィードバック」通信と呼ぶことができる。
1つの例において、計量システム102はSEMシステムであり、計量システム103はOCDシステムであり、計量システム104はAFMシステムである。この例において、OCDシステム103に関連付けられた測定モジュール123は、高い精度で構造101のAverageDepthおよびAverageLinewidthの値を推定する。これらのパラメータの双方のこれらの値は、それぞれ測定信号152および181として測定モジュール122および測定モジュール124に通信される。値152および181は、それぞれ測定モジュール122および124によって推定される対象パラメータ153および185の測定精度を改善する。加えて、値152および181は、ツール間オフセット整合を改善する。それぞれ測定モジュール122および124による対象パラメータ153および185の推定後、ΔDepthおよびΔLinewidthの改善された値が、測定モジュール122、124または双方によって推定される。改善された値は、測定モジュール122からの測定信号152および測定モジュール124からの測定信号181として測定モジュール123にフィードバックされる。測定モジュール123は、改善された値に基づいて、対象パラメータ154(例えば、FIN_CD、SWA等)を推定する。改善された値は、この値がなければ、低い感度、他のパラメータとの相関、または双方により制限される、対象パラメータ154についてのOCD測定モジュール123の測定性能を改善する。
別の態様では、本願に記載のハイブリッド計量システムおよび方法を、複数のターゲットに適用することができる。非常に多くの場合に、一定の計量技法は、一定のターゲットを特性評価することのみができる。例えば、光学計量は、通常、周期的なスクライブラインターゲットの測定が可能であるが、ランダムなインダイ(ダイ内)ターゲットの測定は可能でない。しかしながら、SEMは、通常、インダイターゲットの測定が可能である。
1つの例において、ウェハスクライブライン上に位置する計量ターゲットは、まず、OCDシステム103およびSEMシステム102によって別個に測定される。その後、インダイターゲットはSEMシステム103によって測定される。
図9は、別の実施形態における例示的なハイブリッド計量測定エンジン190を示す図である。図9に示すように、ハイブリッド計量測定エンジン190は、OCDシステム102に関連付けられた測定モジュール123と、SEMシステム102に関連付けられた測定モジュール122の2つのインスタンス(122および122’)とを含む。図9に示すように、CDAVG−OCDの値154は、OCDシステム103によるスクライブラインターゲットの測定に基づいて、測定モジュール123によって推定される。同様に、CDAVG−SEM153の値は、SEMシステム102によるスクライブラインターゲットの測定に基づいて、測定モジュール122によって推定される。測定モジュール122’は、これらの値を、SEMシステム102のインダイターゲットの測定に関連付けられたSEM測定データ115とともに受信する。測定モジュール122’は、インダイターゲットに関連付けられたCD値CDIN−DIEを推定する。加えて、測定モジュール122’は、式(6)によって記述されるように、CDAVG−SEM153およびCDAVG−OCD154に基づいて、測定されたCD値の正規化値191、CDCORRECTEDを特定する。
スクライブラインターゲットおよびインダイターゲットが非常に類似したプロファイル(例えば、類似したSWA、トレンチ深さ等)を共有する場合、インダイターゲットに対するSEM測定性能が改善される。
通常、本願に記載のハイブリッド計量技法は、シングルパターニングされたターゲット、全てのタイプのダブルパターニングリソグラフィターゲット(例えば、LELE、LEFEおよびSADP)、およびより複雑なマルチパターニングターゲット(LELELE、SAQPまたはSAOP等)に対する測定性能を向上させることができる。ターゲットは、193ナノメートル液浸リソグラフィ、極端紫外線リソグラフィ(EUV)、またはそれらの組合せを用いて製造することができる。本願に記載のハイブリッド計量技法は、EUVリソグラフィによって製造されるターゲットの特性評価に特に有用であり得る。なぜなら、これらのターゲットは、通例、より薄いレジスト層を有し、光学測定の感度がより低いという欠点を有するためである。
概して、本願に記載のハイブリッド計量技法は、回帰計算のための計算速度を改善し、OCD等のモデルベースの計量のためのライブラリ生成時間を低減することができる。いくつかの例では、より正確な初期値、追加の制約、または双方を用いるとき、回帰収束は、より信頼性があり、より計算集約的でない。これにより、OCDスペクトルのための回帰分析時間全体が低減する。
図16は、本発明の、それぞれ図3および図7に示すハイブリッド計量システム100および105等のハイブリッド計量システムによる実施に適した方法300を示す。1つの態様において、方法300のデータ処理ブロックは、コンピューティングシステム130または任意の他の汎用コンピューティングシステムの1つ以上のプロセッサによって実行される事前にプログラムされたアルゴリズムにより実行することができる。ここで、計量システム100および105の特定の構造的態様は、限定を表すものではなく、例示としてのみ解釈されるべきであることが認識される。
ブロック301において、半導体ウェハ上の第1の測定部位に、ある量の照明放射が与えられる。第1の測定部位は、複数の幾何学的パラメータによって特徴付けられるパターニングされた計量ターゲットを含む。
ブロック302において、照明放射量に応じた、測定部位からの放射量が検出される。
ブロック303において、第1の計量システムによって、検出された放射の量に基づいて、第1の測定データ量が生成される。
ブロック304において、第2の計量システムによって、半導体ウェハ上の第1の測定部位の測定に関連付けられた第2の測定データ量が生成される。
ブロック305において、第1の測定データ量に基づいて、パターニングされた計量ターゲットに関連付けられた第1の幾何学的パラメータの値が特定される。
ブロック306において、第2の測定データ量に基づいて、パターニングされた計量ターゲットに関連付けられた第2の幾何学的パラメータの値が生成される。
ブロック307において、第1の測定データ量、および第2の幾何学的パラメータの値に基づいて、第1の対象パラメータの値が特定される。
ブロック308において、第2の測定データ量、および第1の幾何学的パラメータの値に基づいて、第2の対象パラメータの値が特定される。
図17は、本願に提示される例示的な方法による、標本の特性を測定するための光学計量システム103の実施形態を示す。図17に示すように、システム103を用いて、計量ターゲット101の測定スポット110にわたって分光エリプソメトリ測定を行うことができる。この態様において、システム103は、照明器402および分光計404を備えた分光エリプソメータを含むことができる。システム103の照明器402は、選択された波長範囲(例えば、150nm〜2000nm)の照明を生成し、計量ターゲット101の測定スポット110に向けるように構成される。そして、分光計404は、測定スポット110から反射された照明を受信するように構成される。照明器402から発する光は、偏光状態発生器407を用いて偏光され、偏光された照明ビーム406が生成されることに更に留意されたい。計量ターゲット101の構造によって反射された放射は、偏光状態分析器409を通過し、分光計404に渡される。集光ビーム408における、分光計404によって受信される放射は、分光状態に関して分析され、分析器によって渡される放射を、分光計によってスペクトル分析することが可能になる。これらのスペクトル113は、本願において記載されるように構造を分析するためにコンピューティングシステム130に渡される。
図17に示すように、システム103は、単一の測定技術(すなわち、SE)を含む。しかしながら、通例、システム103は、任意の数の異なる測定技術を含むことができる。非限定的な例として、システム103は、分光エリプソメータ(ミュラー行列エリプソメトリを含む)、分光反射率計、分光散乱計、オーバレイ散乱計、角度分解ビームプロファイル反射率計、偏光分解ビームプロファイル反射率計、ビームプロファイル反射率計、ビームプロファイルエリプソメータ、任意の単一もしくは複数波長エリプソメータ、またはそれらの任意の組合せとして構成することができる。更に、通例、異なる測定技術によって収集され、本願に記載の方法に従って分析される測定データは、複数の技術を統合する1つのツールではなく、複数のツールから収集され得る。
更なる実施形態において、計量システム100および105は、本願に記載の方法による測定を実行するのに用いられる1つ以上のコンピューティングシステム130を含む。1つ以上のコンピューティングシステム130は、各計量システム(例えば、分光計404)に通信可能に結合することができる。1つの態様において、1つ以上のコンピューティングシステム130は、1つ以上の計量ターゲットの測定に関連付けられた測定データ112、113および114を受信するように構成される。
本開示を通じて説明される様々なステップは、単一のコンピュータシステム130または代替的にマルチコンピュータシステム130によって実行することができることが認識されるべきである。更に、分光エリプソメータ404等の、システム100および105の異なるサブシステムは、本願に記載のステップの少なくとも一部を実行するのに適したコンピュータシステムを含むことができる。したがって、上記の説明は、本発明に対する限定として解釈されるべきではなく、単なる例示として解釈されるべきである。更に、1つ以上のコンピューティングシステム130は、本願に記載の方法実施形態のうちの任意のものの任意の他のステップを実行するように構成することができる。
更に、コンピュータシステム130は、当該技術において既知の任意の方式で計量システムに通信可能に結合することができる。例えば、1つ以上のコンピューティングシステム130は、分光計404に関連付けられたコンピューティングシステムに結合することができる。別の例では、分光計404は、コンピュータシステム130に結合された単一のコンピュータシステムによって直接制御することができる。
計量システム100および105のコンピュータシステム130は、有線部分および/または無線部分を含むことができる伝送媒体によって、計量システム(例えば、分光計404等)からデータまたは情報を受信および/または取得するように構成することができる。このようにして、伝送媒体は、コンピュータシステム130と、計量システムの他のサブシステムとの間のデータリンクとして機能することができる。
ハイブリッド計量システム100および105のコンピュータシステム130は、有線部分および/または無線部分を含むことができる伝送媒体によって、他のシステムからデータまたは情報(例えば、測定結果、モデリング入力、モデリング結果等)を受信および/または取得するように構成することができる。このようにして、伝送媒体は、コンピュータシステム130と他のシステム(例えば、オンボードメモリ計量システム100および105、外部メモリ、基準測定源、または他の外部システム)との間のデータリンクとして機能することができる。例えば、コンピューティングシステム130は、データリンクを介してストレージ媒体(すなわち、メモリ132または外部メモリ)から測定データを受信するように構成することができる。例えば、分光計404を用いて得られたスペクトル結果は、永続または半永続メモリデバイス(例えば、メモリ132または外部メモリ)に記憶することができる。これに関して、スペクトル結果は、オンボードメモリからまたは外部メモリシステムからインポートすることができる。更に、コンピュータシステム130は、データを、伝送媒体を介して他のシステムに送信することができる。例えば、コンピュータシステム130によって特定されたハイブリッド測定モデルまたは構造パラメータ値140を通信し、外部メモリに記憶することができる。これに関して、測定結果を別のシステムにエクスポートすることができる。
コンピューティングシステム130は、限定ではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、パラレルプロセッサ、または当該技術分野で既知の任意の他のデバイスを含むことができる。一般に、「コンピューティングシステム」という用語は、メモリ媒体からの命令を実行する1つ以上のプロセッサを有する任意のデバイスを含むように、広く定義することができる。
本願に記載されたもの等の方法を実施するプログラム命令134は、ワイヤ、ケーブルまたは無線送信リンク等の伝送媒体にわたって送信することができる。例えば、図3および図7に示すように、メモリ132に記憶されるプログラム命令134は、バス133を介してプロセッサ131に送信される。プログラム命令134は、コンピュータ可読媒体(例えば、メモリ132)に記憶される。例示的なコンピュータ可読媒体は、リードオンリーメモリ、ランダムアクセスメモリ、磁気もしくは光ディスク、または磁気テープを含む。
通例、任意の測定技法、または2つ以上の測定技法の組合せを本特許文書の範囲内で予期することができる。例示的な測定技法は、限定ではないが、ミュラー行列エリプソメトリを含む分光エリプソメトリ、分光リフレクトメトリ、分光スキャトロメトリ、スキャトロメトリオーバレイ、角度分解および偏光分解双方のビームプロファイルリフレクトメトリ、ビームプロファイルエリプソメトリ、単一もしくは複数離散波長エリプソメトリ、透過型小角X線散乱計(TSAXS)、小角X線散乱(SAXS)、斜入射小角X線散乱(GISAXS)、広角X線散乱(WAXS)、X線反射率(XRR)、X線回折(XRD)、斜入射X線回折(GIXRD)、高分解能X線回折(HRXRD)、X線光電子分光法(XPS)、蛍光X線(XRF)、斜入射蛍光X線(GIXRF)、低エネルギー電子誘起X線放出スキャトロメトリ(LEXES)、X線トモグラフィ、およびX線エリプソメトリを含む。通例、画像ベースの計量技法を含む、半導体構造の特性評価に適用可能な任意の計量技法を予期することができる。追加のセンサオプションは、デバイスにバイアスをかけ、結果として生じるバイアスを、光センサを用いて検出する(またはその逆)、非接触キャパシタンス/電圧または電流/電圧センサ等の電気センサ、またはXRD、XRF、XPS、LEXES、SAXS、およびポンププローブ技法等の、補助光学技法を含む。1つの実施形態では、2次元ビームプロファイル反射率計(瞳孔撮像装置)を用いて、小さなスポットサイズで、角度分解データおよび/またはマルチスペクトルデータの双方を収集することができる。UVリニク干渉計も、ミュラー行列スペクトル瞳孔撮像装置として用いることができる。
いくつかの例において、本願に記載のハイブリッド計量測定方法は、米国カリフォルニア州ミルピタス所在のKLA−Tencor Corporationから入手可能なSpectraShape(登録商標)光学限界寸法計量システムの要素として実施される。このようにして、システムによりウェハスペクトルが収集された直後の使用のために測定モデルが生成および準備される。
いくつかの他の例において、本願に記載のハイブリッド計量測定方法は、例えば、米国カリフォルニア州ミルピタス所在のKLA−Tencor Corporationから入手可能なAcuShape(登録商標)ソフトウェアを実装するコンピューティングシステムによりオフラインで実施される。結果として得られるモデルは、計測を実行するハイブリッド計量システムによるアクセスが可能なAcuShape(登録商標)ライブラリの要素として組み込むことができる。
別の例において、本願に記載の方法およびシステムを、オーバレイ計量に適用することができる。グレーティング測定は、オーバレイの測定に特に関連している。オーバレイ計量の目的は、異なるリソグラフィ露光ステップ間のシフトを特定することである。オンデバイスでオーバレイ計量を行うことは、オンデバイス構造のサイズが小さく、通常、オーバレイ値が小さいことに起因して困難である。
例えば、典型的なスクライブラインオーバレイ計量構造のピッチは、200ナノメートル〜2000ナノメートルで変動する。しかし、オンデバイスのオーバレイ計量構造のピッチは、通常、100ナノメートル以下である。更に、公称製造環境において、デバイスオーバレイは、デバイス構造の周期性の僅かな部分にすぎない。対照的に、スキャトロメトリオーバレイにおいて用いられるプロキシ計量構造は、オーバレイに対する信号感度を向上させるために、頻繁に、より大きな値、例えば、ピッチの4分の1オフセットされる。
これらの条件下で、オーバレイ計量は、小さなオフセット、小さなピッチのオーバレイに対し十分な感度を有するセンサアーキテクチャを用いて行われる。本願に記載の方法およびシステムを用いて、オンデバイス構造、プロキシ構造または双方に基づいてオーバレイに対し感度を有する測定信号を得ることができる。
通常、本願に提示された半導体計量を行うための方法およびシステムは、実際のデバイス構造に、またはインダイ(ダイ内)もしくはスクライブライン内に位置する専用計量ターゲット(例えば、プロキシ構造)に直接適用することができる。
更に別の態様では、本願に記載の測定技法を用いて、プロセスツール(例えば、リソグラフィツール、エッチングツール、堆積ツール等)にアクティブフィードバックを与えることができる。例えば、本願に記載の方法を用いて特定された構造パラメータの値をリソグラフィツールに通信して、所望の出力を達成するようにリソグラフィシステムを調整することができる。同様にして、エッチングパラメータ(例えば、エッチング時間、拡散率等)または堆積パラメータ(例えば、時間、濃度等)を測定モデルに含めて、それぞれエッチングツールまたは堆積ツールへのアクティブフィードバックを提供することができる。
通例、本願に記載のシステムおよび方法は、専用計量ツールの一部として実施することができるか、または代替的に、プロセスツール(例えば、リソグラフィツール、エッチングツール等)の一部として実施することができる。
本願において記載されるとき、「限界寸法」という用語は、構造の任意の限界寸法(例えば、下部限界寸法、中部限界寸法、上部限界寸法、側壁角、格子高さ等)、任意の2つ以上の構造間の限界寸法(例えば、2個の構造間の距離)、および2つ以上の構造間の位置ずれ(例えば、重なり合う格子構造間のオーバレイ位置ずれ等)を含む。構造は、3次元構造、パターニングされた構造、オーバレイ構造等を含むことができる。
本願において記載されるとき、「限界寸法アプリケーション」または「限界寸法測定アプリケーション」という用語は、任意の限界寸法測定を含む。
本願において記載されるとき、「計量システム」という用語は、限界寸法計量、オーバレイ計量、焦点/線量計量および組成計量等の測定アプリケーションを含む、任意の態様での、標本の特性評価に少なくとも部分的に用いられる任意のシステムを含む。しかしながら、そのような専門用語は、「計量システム」という用語の範囲を本願に記載の通りに限定するものではない。加えて、計量システムは、パターニングされたウェハおよび/またはパターニングされていないウェハの測定のために構成することができる。計量システムは、LED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツールまたはマルチモード検査ツール(1つ以上のプラットフォームからのデータを同時に取り込む)、ならびに本願に記載の方法およびシステムから利を受ける任意の他の計量または検査ツールとして構成することができる。
本願において、標本を処理するために用いることができる半導体処理システム(例えば、検査システムまたはリソグラフィシステム)のための様々な実施形態が記載されている。本願において、「標本」という用語は、当該技術分野において既知の手段によって処理(例えば、印刷または欠陥検査)することができるウェハ、レチクル、または任意の他のサンプルを指すために用いられる。
本願において用いられるとき、「ウェハ」という用語は、概して、半導体または非半導体材料で形成された基板を指す。例は、限定ではないが、単結晶シリコン、ヒ化ガリウムおよびリン化インジウムを含む。そうした基板は半導体製造設備において一般的に目にするおよび/または処理することができる。場合によっては、ウェハは基板のみを含む場合がある(いわゆるベアウェハ)。代替的に、ウェハが、基板上に形成された異なる材料の1つ以上の層を含む場合がある。ウェハ上に形成された1つ以上の層は、「パターニング」されている場合もあるし、「パターニングされていない」場合もある。例えば、ウェハは、繰り返し可能なパターン特徴を有する複数のダイを含む場合がある。
「レチクル」は、レチクル製造プロセスの任意の段階にあるレチクルである場合もあるし、または半導体製造設備での使用向けにリリースされている場合もされていない場合もあるレチクルの完成品である場合もある。レチクル、または「マスク」は、概して、その上に実質的に不透明な領域が形成されておりその領域がパターンをなしている実質的に透明な基板として定義される。その基板は、例えば、アモルファスSiO等のガラス材料を含むことができる。リソグラフィプロセスの露光ステップ中にレチクルをレジスト被覆ウェハ上に堆積し、それによってレチクル上のパターンをレジストに転写することができる。
ウェハ上に形成される1つ以上の層は、パターニングされていてもパターニングされていなくてもよい。例えば、ウェハは、各々が繰り返し可能なパターン特徴を有する複数のダイを含むことができる。そのような材料層の形成および処理によって、最終的にはデバイスの完成品を得ることができる。多くの異なるタイプのデバイスをウェハ上に形成することが可能であり、ウェハという用語は、本願において用いられるとき、当該技術分野において既知の任意のタイプのデバイスがその上に作り込まれるウェハを包含することが意図される。
1つ以上の例示的な実施形態において、上述の機能を、ハードウェア、ソフトウェア、ファームウェアまたはそれらの任意の組合せで実施することができる。ソフトウェアで実施される場合、それらの機能を1つ以上の命令またはコードとしてコンピュータ可読媒体上に記憶するかまたはその媒体上で伝送することができる。コンピュータ可読媒体は、コンピュータプログラムをある場所から別の場所へと転送するのに役立つ任意の媒体を含む、コンピュータストレージ媒体および通信媒体の双方を含む。ストレージ媒体は、汎用または専用コンピュータによるアクセスが可能な任意の入手可能媒体とすることができる。限定ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMもしくは他の光ディスクストレージ、磁気ディスクストレージもしくは他の磁気ストレージデバイス、または、命令もしくはデータ構造の形態での所望のプログラムコード手段の搬送または記憶に使用することができ、かつ汎用もしくは専用コンピュータまたは汎用もしくは専用プロセッサがアクセスすることができる任意の他の媒体を含むことができる。また、任意の接続が適切にコンピュータ可読媒体と称される。例えば、ソフトウェアが同軸ケーブル、光ファイバケーブル、ツイストペア、デジタル加入者線(DSL)、または赤外線、電波およびマイクロ波等の無線技術を用いてウェブサイト、サーバまたは他のリモートソースから送信される場合、それらの同軸ケーブル、光ファイバケーブル、ツイストペア、DSL、または赤外線、電波およびマイクロ波等の無線技術は、媒体の定義に含まれる。本願において用いられるとき、ディスク(disk/disc)とは、コンパクトディスク(CD)、レーザディスク、光ディスク、デジタルバーサタイルディスク(DVD)、フロッピーディスクおよびブルーレイディスクを含み、ディスク(disk)は通常、データを磁気的に再生する一方で、ディスク(disc)は、レーザによりデータを光学的に再生する。上記のものの組合せもまたコンピュータ可読媒体の範囲内に含まれるべきである。
説明の目的で、ある特定のいくつかの実施形態を説明してきたが、本明細書の教示は一般的な適用可能性を有するものであり、上述の具体的実施形態に限定されるものではない。したがって、特許請求の範囲に示す本発明の範囲から逸脱することなく、上述の実施形態の様々な特徴の、様々な修正、適合および組合せを実施することができる。

Claims (20)

  1. 半導体ウェハ上の第1の測定部位に関連付けられた第1の測定データ量を生成するように構成された第1の計量システムであって、前記第1の測定部位は、複数の幾何学的パラメータによって特徴付けられるパターニングされた計量ターゲットを含む、第1の計量システムと、
    前記第1の計量システムと異なる第2の計量システムであって、前記第2の計量システムは、前記半導体ウェハ上の前記第1の測定部位の測定に関連付けられた第2の測定データ量を生成する、第2の計量システムと、
    コンピューティングシステムであって、
    前記第1の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第1の幾何学的パラメータの値を特定し、
    前記第2の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第2の幾何学的パラメータの値を特定し、
    前記第1の測定データ量および前記第2の幾何学的パラメータの前記値に基づいて、第1の対象パラメータの値を特定し、
    前記第2の測定データ量および前記第1の幾何学的パラメータの前記値に基づいて、第2の対象パラメータの値を特定し、
    前記第1の対象パラメータの値および前記第2の対象パラメータの値をメモリに記憶する、
    ように構成される、コンピューティングシステムと、
    を備える、ハイブリッド計量システム。
  2. 請求項1に記載のハイブリッド計量システムであって、
    前記第2の計量システムは、走査型電子顕微鏡法(SEM)システム、透過型電子顕微鏡法(TEM)システム、原子間力顕微鏡法(AFM)システムおよびX線ベースの計量システムのうちの任意のものである、ハイブリッド計量システム。
  3. 請求項1に記載のハイブリッド計量システムであって、
    前記第1の計量システムおよび前記第2の計量システムと異なる第3の計量システムを更に備え、
    前記第3の計量システムは、前記半導体ウェハ上の前記第1の測定部位の測定に関連付けられた第3の測定データ量を生成し、
    前記コンピューティングシステムは、
    前記第3の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第3の幾何学的パラメータの値を特定し、前記第1の対象パラメータの前記特定は、前記第3の幾何学的パラメータの値にも基づいており、
    前記第3の測定データ量および前記第1の幾何学的パラメータの値に基づいて、第3の対象パラメータの値を特定し、
    前記第3の対象パラメータの値をメモリに記憶する、
    ように更に構成される、ハイブリッド計量システム。
  4. 請求項1に記載のハイブリッド計量システムであって、
    前記第2の幾何学的パラメータの値の特定は、前記第1の幾何学的パラメータの値の特定の後に行われ、前記第1の幾何学的パラメータの値に少なくとも部分的に基づく、ハイブリッド計量システム。
  5. 請求項1に記載のハイブリッド計量システムであって、
    前記第1の対象パラメータの値の特定は、前記第2の幾何学的パラメータの値の特定の後に行われ、前記第2の幾何学的パラメータの値に少なくとも部分的に基づく、ハイブリッド計量システム。
  6. 請求項1に記載のハイブリッド計量システムであって、
    前記コンピューティングシステムは、前記第2の対象パラメータの値の特定の前に前記第1の幾何学的パラメータを再パラメータ化するように更に構成される、ハイブリッド計量システム。
  7. 請求項1に記載のハイブリッド計量システムであって、
    前記コンピューティングシステムは、前記第2の対象パラメータの値の特定の前に前記第1の幾何学的パラメータの値を変換するように更に構成される、ハイブリッド計量システム。
  8. 請求項1に記載のハイブリッド計量システムであって、
    前記第2の計量システムは、インダイ計量ターゲットを含む前記半導体ウェハ上の第2の測定部位の測定に関連付けられた第3の測定データ量を生成し、
    前記コンピューティングシステムは、前記第3の測定データ量、ならびに前記第1の幾何学的パラメータの値および前記第2の幾何学的パラメータの値に基づいて、第3の対象パラメータの値を特定するように更に構成される、ハイブリッド計量システム。
  9. 半導体ウェハ上の第1の測定部位に関連付けられた第1の測定データ量を生成するように構成された第1の計量システムであって、前記第1の測定部位は、複数の幾何学的パラメータによって特徴付けられるパターニングされた計量ターゲットを含む、第1の計量システムと、
    前記第1の計量システムと異なる第2の計量システムであって、前記第2の計量システムは、前記半導体ウェハ上の前記第1の測定部位に関連付けられた第2の測定データ量を生成する、第2の計量システムと、
    非一時的コンピュータ可読媒体であって、コンピューティングシステムによって実行されると、前記コンピューティングシステムに、
    前記第1の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第1の幾何学的パラメータの値を特定させ、
    前記第2の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第2の幾何学的パラメータの値を特定させ、
    前記第1の測定データ量および前記第2の幾何学的パラメータの前記値に基づいて、第1の対象パラメータの値を特定させ、
    前記第2の測定データ量および前記第1の幾何学的パラメータの前記値に基づいて、第2の対象パラメータの値を特定させる、
    命令を備える、非一時的コンピュータ可読媒体と、
    を備える、ハイブリッド計量システム。
  10. 請求項9に記載のハイブリッド計量システムであって、
    前記第1の計量システムは、光学計量システムであり、
    前記第2の計量システムは、走査型電子顕微鏡法(SEM)システム、透過型電子顕微鏡法(TEM)システム、原子間力顕微鏡法(AFM)システムおよびX線ベースの計量システムのうちの任意のものである、ハイブリッド計量システム。
  11. 請求項9に記載のハイブリッド計量システムであって、
    前記第1の計量システムおよび前記第2の計量システムと異なる第3の計量システムを更に備え、
    前記第3の計量システムは、前記半導体ウェハ上の前記第1の測定部位の測定に関連付けられた第3の測定データ量を生成し、
    前記非一時的コンピュータ可読媒体は、前記コンピューティングシステムによって実行されると、前記コンピューティングシステムに、
    前記第3の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第3の幾何学的パラメータの値を特定させ、前記第1の対象パラメータの特定は、前記第3の幾何学的パラメータの値にも基づいており、
    前記第3の測定データ量および前記第1の幾何学的パラメータの値に基づいて、第3の対象パラメータの値を特定させる、
    命令を更に含む、ハイブリッド計量システム。
  12. 請求項9に記載のハイブリッド計量システムであって、
    前記第2の幾何学的パラメータの値の特定は、前記第1の幾何学的パラメータの値の特定の後に行われ、前記第1の幾何学的パラメータの値に少なくとも部分的に基づく、ハイブリッド計量システム。
  13. 請求項9に記載のハイブリッド計量システムであって、
    前記第1の対象パラメータの値の特定は、前記第2の幾何学的パラメータの値の特定の後に行われ、前記第2の幾何学的パラメータの値に少なくとも部分的に基づく、ハイブリッド計量システム。
  14. 請求項9に記載のハイブリッド計量システムであって、
    前記コンピューティングシステムは、前記第2の対象パラメータの値の特定の前に前記第1の幾何学的パラメータを再パラメータ化するように更に構成される、ハイブリッド計量システム。
  15. 請求項9に記載のハイブリッド計量システムであって、
    前記非一時的コンピュータ可読媒体は、コンピューティングシステムによって実行されると、前記コンピューティングシステムに、前記第2の対象パラメータの値の特定の前に前記第1の幾何学的パラメータの値を変換させる命令を更に含む、ハイブリッド計量システム。
  16. 請求項9に記載のハイブリッド計量システムであって、
    前記第2の計量システムは、インダイパターニングされた計量ターゲットを含む前記半導体ウェハ上の第2の測定部位の測定に関連付けられた第3の測定データ量を生成し、
    前記非一時的コンピュータ可読媒体は、コンピューティングシステムによって実行されると、前記コンピューティングシステムに、前記第3の測定データ量、ならびに前記第1の幾何学的パラメータの値および前記第2の幾何学的パラメータの値に基づいて、第3の対象パラメータの値を特定させる命令を更に含む、ハイブリッド計量システム。
  17. 半導体ウェハ上の第1の測定部位に、ある量の照明放射を与えることであって、前記第1の測定部位は、複数の幾何学的パラメータによって特徴付けられるパターニングされた計量ターゲットを含むことと、
    前記照明放射の量に応じた、前記測定部位からの放射の量を検出することと、
    第1の計量システムによって、前記検出された放射の量に基づいて、第1の測定データ量を生成することと、
    第2の計量システムによって、前記半導体ウェハ上の前記第1の測定部位の測定に関連付けられた第2の測定データ量を生成することと、
    前記第1の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第1の幾何学的パラメータの値を特定することと、
    前記第2の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第2の幾何学的パラメータの値を特定することと、
    前記第1の測定データ量および前記第2の幾何学的パラメータの値に基づいて、第1の対象パラメータの値を特定することと、
    前記第2の測定データ量および前記第1の幾何学的パラメータの値に基づいて、第2の対象パラメータの値を特定することと、
    を含む、方法。
  18. 請求項17に記載の方法であって、
    第3の計量システムによって、前記半導体ウェハ上の前記第1の測定部位の測定に関連付けられた第3の測定データ量を生成することと、
    前記第3の測定データ量に基づいて、前記パターニングされた計量ターゲットに関連付けられた第3の幾何学的パラメータの値を特定することであって、前記第1の対象パラメータの特定は、前記第3の幾何学的パラメータの値にも基づく、特定することと、
    前記第3の測定データ量および前記第1の幾何学的パラメータの値に基づいて、第3の対象パラメータの値を特定することと、
    を更に含む、方法。
  19. 請求項17に記載の方法であって、
    前記第2の対象パラメータの値の特定の前に前記第1の幾何学的パラメータを再パラメータ化することを更に含む、方法。
  20. 請求項17に記載の方法であって、
    インダイパターニングされた計量ターゲットを含む前記半導体ウェハ上の第2の測定部位の測定に関連付けられた第3の測定データ量を生成することと、
    前記第3の測定データ量、ならびに前記第1の幾何学的パラメータの値および前記第2の幾何学的パラメータの値に基づいて、第3の対象パラメータの値を特定することと、
    を更に含む、方法。
JP2019521090A 2016-10-20 2017-10-19 パターニングされたウェハの特性評価のためのハイブリッド計量 Active JP6924261B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662410395P 2016-10-20 2016-10-20
US62/410,395 2016-10-20
US15/787,789 2017-10-19
US15/787,789 US10712145B2 (en) 2016-10-20 2017-10-19 Hybrid metrology for patterned wafer characterization
PCT/US2017/057461 WO2018075808A1 (en) 2016-10-20 2017-10-19 Hybrid metrology for patterned wafer characterization

Publications (2)

Publication Number Publication Date
JP2019533312A true JP2019533312A (ja) 2019-11-14
JP6924261B2 JP6924261B2 (ja) 2021-08-25

Family

ID=61969500

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019521090A Active JP6924261B2 (ja) 2016-10-20 2017-10-19 パターニングされたウェハの特性評価のためのハイブリッド計量

Country Status (6)

Country Link
US (1) US10712145B2 (ja)
JP (1) JP6924261B2 (ja)
CN (1) CN110100174B (ja)
IL (1) IL265797B (ja)
TW (1) TWI731183B (ja)
WO (1) WO2018075808A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11835334B2 (en) 2021-07-13 2023-12-05 Kioxia Corporation Shape measuring method, shape measuring device, and program

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
US11295969B2 (en) 2018-11-27 2022-04-05 International Business Machines Corporation Hybridization for characterization and metrology
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
KR102611986B1 (ko) * 2018-12-19 2023-12-08 삼성전자주식회사 반도체 소자의 형상 예측 방법
US11698251B2 (en) * 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR20220123303A (ko) * 2020-01-07 2022-09-06 노바 엘티디. Ocd 계측 머신 학습에 대한 이상치 및 이상 검출 시스템 및 방법
CN113571437B (zh) * 2020-04-28 2023-09-08 长鑫存储技术有限公司 半导体器件测量方法
US11586794B2 (en) * 2020-07-30 2023-02-21 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models
US20220245307A1 (en) * 2021-02-03 2022-08-04 Applied Materials, Inc. Hybrid physics/machine learning modeling of processes

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030071994A1 (en) * 2001-10-09 2003-04-17 Peter G. Borden Calibration as well as measurement on the same workpiece during fabrication
JP2003148945A (ja) * 2001-11-13 2003-05-21 Hitachi High-Technologies Corp 微細パターンの3次元形状測定システム、及び3次元形状測定方法
JP2005017145A (ja) * 2003-06-27 2005-01-20 Hitachi High-Technologies Corp 試料寸法測定方法及び荷電粒子線装置
JP2011192769A (ja) * 2010-03-15 2011-09-29 Renesas Electronics Corp 半導体デバイス製造方法、及び製造システム
JP2015531056A (ja) * 2012-07-10 2015-10-29 ケーエルエー−テンカー コーポレイション 複合型x線および光学計測のためのモデル構築ならびに解析エンジン

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6411377B1 (en) * 1991-04-02 2002-06-25 Hitachi, Ltd. Optical apparatus for defect and particle size inspection
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6201601B1 (en) * 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US20020158197A1 (en) * 1999-01-12 2002-10-31 Applied Materials, Inc AFM-based lithography metrology tool
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
EP1319244A1 (en) 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US6853873B1 (en) * 2003-02-21 2005-02-08 Nanometrics Incorporated Enhanced throughput of a metrology tool
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP2009210419A (ja) * 2008-03-04 2009-09-17 Olympus Corp 基板検査装置、及び、基板検査方法
JP2011521475A (ja) 2008-05-21 2011-07-21 ケーエルエー−テンカー・コーポレーション ツール及びプロセスの効果を分離する基板マトリクス
US8930156B2 (en) * 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5287178B2 (ja) * 2008-11-27 2013-09-11 富士通セミコンダクター株式会社 欠陥レビュー装置
US8223327B2 (en) * 2009-01-26 2012-07-17 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
CN101551324B (zh) * 2009-05-08 2011-01-05 中国科学院光电技术研究所 一种基于双探测光束的半导体材料特性测量装置及方法
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9127927B2 (en) 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
US20130203188A1 (en) * 2012-02-03 2013-08-08 Globalfoundries Inc. Hybrid metrology for semiconductor devices
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10502694B2 (en) * 2013-08-06 2019-12-10 Kla-Tencor Corporation Methods and apparatus for patterned wafer characterization
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
WO2015125127A1 (en) 2014-02-23 2015-08-27 Global Foundries Hybrid metrology technique
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
WO2016015987A1 (en) 2014-07-28 2016-02-04 Asml Netherlands B.V. Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030071994A1 (en) * 2001-10-09 2003-04-17 Peter G. Borden Calibration as well as measurement on the same workpiece during fabrication
JP2003148945A (ja) * 2001-11-13 2003-05-21 Hitachi High-Technologies Corp 微細パターンの3次元形状測定システム、及び3次元形状測定方法
JP2005017145A (ja) * 2003-06-27 2005-01-20 Hitachi High-Technologies Corp 試料寸法測定方法及び荷電粒子線装置
JP2011192769A (ja) * 2010-03-15 2011-09-29 Renesas Electronics Corp 半導体デバイス製造方法、及び製造システム
JP2015531056A (ja) * 2012-07-10 2015-10-29 ケーエルエー−テンカー コーポレイション 複合型x線および光学計測のためのモデル構築ならびに解析エンジン

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11835334B2 (en) 2021-07-13 2023-12-05 Kioxia Corporation Shape measuring method, shape measuring device, and program

Also Published As

Publication number Publication date
TWI731183B (zh) 2021-06-21
TW201827785A (zh) 2018-08-01
US10712145B2 (en) 2020-07-14
US20180112968A1 (en) 2018-04-26
CN110100174B (zh) 2022-01-18
WO2018075808A1 (en) 2018-04-26
JP6924261B2 (ja) 2021-08-25
IL265797A (en) 2019-06-30
IL265797B (en) 2022-02-01
CN110100174A (zh) 2019-08-06

Similar Documents

Publication Publication Date Title
JP6924261B2 (ja) パターニングされたウェハの特性評価のためのハイブリッド計量
US10504759B2 (en) Semiconductor metrology with information from multiple processing steps
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
US10502549B2 (en) Model-based single parameter measurement
US10030965B2 (en) Model-based hot spot monitoring
US10769320B2 (en) Integrated use of model-based metrology and a process model
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
US9875946B2 (en) On-device metrology
US10152654B2 (en) Signal response metrology for image based overlay measurements
KR20150018535A (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
US10365225B1 (en) Multi-location metrology
US11313809B1 (en) Process control metrology

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201012

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20201012

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20201127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210706

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210730

R150 Certificate of patent or registration of utility model

Ref document number: 6924261

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150