TWI731183B - 用於經圖案化晶圓特性化之混合度量 - Google Patents

用於經圖案化晶圓特性化之混合度量 Download PDF

Info

Publication number
TWI731183B
TWI731183B TW106136163A TW106136163A TWI731183B TW I731183 B TWI731183 B TW I731183B TW 106136163 A TW106136163 A TW 106136163A TW 106136163 A TW106136163 A TW 106136163A TW I731183 B TWI731183 B TW I731183B
Authority
TW
Taiwan
Prior art keywords
measurement
value
parameter
interest
geometric parameter
Prior art date
Application number
TW106136163A
Other languages
English (en)
Other versions
TW201827785A (zh
Inventor
陳博學
安德烈 維德曼
亞歷山大 庫茲尼斯夫
安德烈 V 舒傑葛洛夫
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201827785A publication Critical patent/TW201827785A/zh
Application granted granted Critical
Publication of TWI731183B publication Critical patent/TWI731183B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

本發明呈現用於評估圖案化結構之幾何特性之方法及系統。更特定言之,根據一混合度量方法藉由兩個或兩個以上度量系統量測由一或多個圖案化程序產生之幾何結構。將來自一個度量系統之一量測結果傳送至至少一個其他度量系統以增大接收系統之量測效能。類似地,將來自該接收度量系統之一量測結果傳送回至發送度量系統以增大該發送系統之量測效能。以此方式,基於自其他協作度量系統接收之量測結果來改良自各度量系統獲得之量測結果。在一些實例中,擴展度量能力以量測先前無法藉由獨立操作之各度量系統量測之所關注參數。在其他實例中,改良量測敏感度且減小參數相關性。

Description

用於經圖案化晶圓特性化之混合度量
所描述實施例係關於度量系統及方法,且更特定言之係關於用於特性化半導體結構之尺寸之參數之改良量測之方法及系統。
通常藉由應用至一樣品之一序列處理步驟製造半導體裝置(諸如邏輯及記憶體裝置)。藉由此等處理步驟形成半導體裝置之各種特徵及多個結構層級。例如,微影術尤其係涉及在一半導體晶圓上產生一圖案之一個半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可經製造在一單一半導體晶圓上且接著分離為個別半導體裝置。
針對一給定微影系統,多重圖案化技術常用來增大印刷至半導體晶圓上之特徵之解析度。在一半導體製程期間的各個步驟使用度量程序來偵測晶圓上之缺陷以促進更高良率,包含使用多重圖案化技術製造之晶圓。
光學度量技術提供高處理能力量測之可能而無樣本破壞之風險。包含散射量測及反射量測實施方案以及相關聯分析演算法之數個基於光學度量之技術常用於特性化奈米級結構之臨界尺寸、膜厚度、組合物及其他參數。
一般言之,需經量測之參數數目隨著度量目標之幾何複雜性增大而增大。此增大限制量測效能之被量測參數之間的相關性之風險。
另外,光學度量遭受對度量目標(尤其多重圖案化目標)之一些參數之低敏感度。通常,採用基於實體模型之量測之光學度量技術需要圖案化結構之一參數化、幾何模型。例示性參數包含臨界尺寸、間距偏差(pitch walk)或其他所關注參數。另外,需要光學系統與被量測結構之間的互動之一精確電磁模型來模擬量測期間產生之信號。應用模擬信號對量測信號之非線性迴歸來判定模型化結構之參數。此方法需要結構及材料性質之精確模型化。
通常,量測程序遭受對臨界參數之弱敏感度,且在一些情況中,基於實體模型之量測技術導致低敏感度及不良精度。經量測光學信號缺少對此等臨界參數之敏感度使之極難監測且控制圖案化程序。
在一些實例中,採用一光學度量系統來量測一目標。通常,量測若干參數,諸如臨界尺寸(CD)、△CD、平均CD、側壁角(SWA)及其他形狀參數。在讓渡給KLA-Tencor公司之美國專利公開案第2015/0176985號中描述例示性系統,該案之全部內容以引用的方式併入本文中。
在一些實例中,將與一被量測晶圓相關聯之程序資訊傳送至一光學度量工具以增強光學量測。在一個實例中,將在一微影-蝕刻-微影-蝕刻(LELE)多重圖案化程序之兩個不同圖案化步驟之微影劑量傳送至一光學度量工具。若LELE程序中之第一微影劑量大於第二劑量,則已知一個臨界尺寸參數(CD1)將小於另一臨界尺寸參數(CD2)。藉由施行此約束,破壞光學度量量測模型中之簡併性,從而實現更精確量測結果。儘管已在一些特定實例中展示程序資訊以改良量測結果,但存在其中程序資訊不可用 或不具有幫助之其他實例。
在一些其他實例中,一量測系統包含兩個度量技術。此等系統通常稱為「混合」度量系統。由Alok Vaid等人在美國專利公開案第2017/0018069號中描述例示性系統,該案之全部內容以引用的方式併入本文中。然而,自先前技術混合度量系統獲得之量測結果通常涉及基於來自兩個不同量測技術之量測結果之一加權平均值來判定一所關注參數之一值。
歸因於愈來愈小之解析度需求、多參數相關性、愈來愈複雜之幾何結構及不透明材料之愈來愈多的使用,涉及圖案化結構之量測之度量應用提出挑戰。因此,期望用於改良量測之方法及系統。
在本文中呈現用於評估圖案化結構之幾何特性之方法及系統。更特定言之,藉由兩個或兩個以上度量系統量測由一或多個圖案化程序產生之幾何結構。
在一個態樣中,將來自一個度量系統之一量測結果傳送至至少一個其他度量系統以增大接收系統之量測效能。類似地,將來自接收度量系統之一量測結果傳送回至發送度量系統。在一些實施例中,各度量系統至少部分基於自另一度量系統接收之量測結果來判定特性化幾何誤差之至少一個所關注參數之一值。以此方式,基於自其他協作度量系統接收之量測結果來改良自各度量系統獲得之量測結果。在一些實例中,擴展度量能力以量測先前無法獨立使用各度量系統量測之所關注參數。在其他實例中,改良量測效能(例如,精度及精確性)。
在一個態樣中,一運算系統經組態為一混合度量量測引擎,其用來 基於兩個或兩個以上不同度量系統對目標結構之量測來估計多個不同所關注參數之值。使用由一個度量系統獲得之該等量測結果以增強另一度量系統對一所關注參數之一值之估計,且反之亦然。
在一進一步態樣中,藉由一個度量系統估計之參數值在被另一度量系統利用之前經重新參數化。
在另一進一步態樣中,藉由一個度量系統估計之參數值在被另一度量系統利用之前經變換。
在另一態樣中,本文中描述之混合度量系統及方法可應用於多個目標。
在又另一態樣中,本文中描述之量測技術可用於提供主動回饋至一程序工具(例如,微影工具、蝕刻工具、沈積工具等)。例如,可將使用本文中描述之方法判定之結構參數值傳送至一微影工具以調整微影系統以達成一所要輸出。
前文係發明內容且因此必要地含有細節之簡化、概括及省略;因此,熟習此項技術者將瞭解發明內容僅係闡釋性的且不以任何方式加以限制。本文中描述之裝置及/或程序之其他態樣、發明特徵及優點將在本文中闡述之非限制性實施方式中變得顯而易見。
10:矽基底層
11:介面層
12:裝置層
13:硬遮罩層
14:犧牲層
15:圖案化光阻層
16:犧牲層
17:圖案化光阻層
20:半導體結構
21:基板層
22:氧化物層
23:氮化物層
24:氧化物層
25A至25E:氮化物間隔件結構
100:混合度量系統
101:度量目標結構
102:度量系統/掃描電子顯微鏡(SEM)系統
103:度量系統/光學臨界尺寸(OCD)系統
104:第三度量系統
105:混合度量系統
110:量測點
112:經量測信號/量測資料
113:經量測信號/量測資料
114:量測資料
115:SEM量測資料
122:量測模組
122':量測模組
123:量測模組
124:量測模組
130:運算系統
131:處理器
132:記憶體
133:匯流排
134:程式指令
140:結構參數值
150:混合度量量測引擎
151:量測結果/值/量測信號
152:量測結果/值/量測信號
153:所關注參數/值
154:所關注參數/值
160:混合度量量測引擎
161:重新參數化模組
162:重新參數化模組
163:重新參數化值
164:重新參數化值
170:混合度量量測引擎
171:變換模組
172:變換模組
173:經變換值
174:經變換值
180:混合度量量測引擎
181:量測結果/值/量測信號
182:量測結果/值/量測信號
185:值/所關注參數
190:混合度量量測引擎
191:正規化值
200:標繪圖
201:標繪圖
203:標繪圖
204:標繪圖
205:表
206:標繪圖
300:方法
301:方塊
302:方塊
303:方塊
304:方塊
305:方塊
306:方塊
307:方塊
308:方塊
402:照明器
404:光譜儀
406:偏光照明光束
407:偏光狀態產生器
408:收集光束
409:偏光狀態分析器
CD1:臨界尺寸參數
CD2:臨界尺寸參數
CD3:臨界尺寸參數
CDNOM:標稱值
P:標稱間距
△CD:臨界尺寸之變動
△P:標稱間距之變動
圖1A至圖1D描繪通常稱為一微影-蝕刻-微影-蝕刻(LELE)程序之一雙重圖案化微影(DPL)技術之選定步驟。
圖2描繪使用一自對準四重圖案化(SAQP)程序建構之一半導體結構20。
圖3描繪一項實施例中之一混合度量系統100。
圖4係繪示一項實施例中之一例示性混合度量量測引擎150之一圖。
圖5描繪另一實施例中之一混合度量量測引擎160。
圖6描繪另一實施例中之一混合度量量測引擎170。
圖7描繪包含三個度量系統之一混合度量系統105。
圖8係繪示另一實施例中之一例示性混合度量量測引擎180之一圖。
圖9係繪示另一實施例中之一例示性混合度量量測引擎190之一圖。
圖10描繪繪示在無前饋之情況下SEM量測效能之一標繪圖200。
圖11描繪繪示在前饋之情況下SEM量測效能之一標繪圖201。
圖12描繪繪示自不同目標位置處之SEM影像估計之CD值之一標繪圖203。
圖13描繪繪示針對在圖12中描繪之各對應CD值達成之量測精度之一標繪圖204。
圖14描繪與在圖10及圖11中描繪之量測相關聯之量測精度之一表205。
圖15描繪繪示基於來自一SEM系統之參數前饋之各種形狀參數之改良OCD量測效能之一標繪圖206。
圖16係繪示由一多重圖案化程序引發之幾何誤差之量測之一方法300之一流程圖。
圖17繪示用於根據本文中呈現之例示性方法量測一樣品之特性之一光學度量系統103。
相關申請案之交叉參考
本專利申請案根據35 U.S.C.§119規定主張在2016年10月20日申請 之題為「Hybrid Metrology for Patterned Wafer Characterization」之美國臨時專利申請案第62/410,395號之優先權,該案標的物之全部內容以引用的方式併入本文中。
現將詳細參考本發明之背景實例及一些實施例,其等之實例在隨附圖式中加以繪示。
圖1A至圖1D描繪通常稱為一微影-蝕刻-微影-蝕刻(LELE)程序之一雙重圖案化微影(DPL)技術。圖1A描繪一矽基底層10、一介面層11(諸如二氧化矽)、一裝置層12、一硬遮罩層13、一犧牲層14及源自一微影圖案化步驟之一圖案化光阻層15。接著,使圖1A中描繪之結構經受曝光及蝕刻步驟以導致圖1B中繪示之結構。在此結構中,光阻層15之圖案已有效轉印至硬遮罩層13。犧牲層14及圖案化光阻層15兩者皆已移除。數個沈積及微影步驟用來達成圖1C中繪示之結構。圖1C繪示構建於硬遮罩層13之頂部上之另一犧牲層16及圖案化光阻層17。圖案化光阻層17包含具有與第一圖案化光阻層15相同之間距且與蝕刻至硬遮罩層13中之圖案相同之間距之一圖案。然而,圖案化光阻層17自硬遮罩層13之圖案偏移圖案化光阻層17之間距之一半。接著,使圖1C中描繪之結構經受曝光及蝕刻步驟以導致圖1D中繪示之結構。在此結構中,光阻層17之圖案已有效轉印至硬遮罩層13。犧牲層16及圖案化光阻層17兩者皆已移除。圖1D繪示蝕刻至硬遮罩13中之一圖案,該圖案係藉由微影系統之遮罩產生之圖案化光阻層15及17之間距之一半。
圖1D亦描繪一非最佳化DPL程序之效應。理想地,雙重圖案化結構之標稱間距應為一恆定值P。然而,歸因於DPL程序中之缺陷,所得結構之間距可歸因於光柵非均勻性而取決於位置變化。此通常稱為「間距偏 差」。自標稱間距P之一變動在圖1D中描繪為△P。在另一實例中,各所得結構之一臨界尺寸應為相同標稱值CDNOM。然而,歸因於DPL程序中之缺陷,所得結構之一臨界尺寸(例如,中間臨界尺寸、底部臨界尺寸等)可取決於位置(例如,CD1、CD2、CD3)而變化。CD2自臨界尺寸CDNOM之一變動在圖1D中描繪為△CD。
間距偏差及△CD係由DPL程序中之缺陷(諸如兩個微影層之間的未對準、微影程序之焦點及曝光之非均勻性、遮罩圖案誤差等)引發之例示性幾何誤差。間距偏差及△CD兩者皆引入大於預期之一單元胞。儘管特定描述間距偏差及△CD,但可預期其他多重圖案化誤差。
儘管參考圖1A至圖1D描述LELE程序,但可預期引發類似誤差之許多其他多重圖案化程序(例如,微影-微影-蝕刻、間隔界定之雙重圖案化等)。類似地,儘管參考圖1A至圖1D描述一雙重圖案化程序,但在較高階圖案化程序(諸如四重圖案化)中出現類似誤差。通常,誤差(諸如間距偏差及△CD)在源自較高階圖案化程序之結構中更明顯。
圖2描繪使用一自對準四重圖案化(SAQP)程序建構之一半導體結構20。圖2繪示最終蝕刻之前的一程序步驟。半導體結構20包含基板層21、氧化物層22、氮化物層23、氧化物層24及若干氮化物間隔件結構25A至25E。各間隔件具有一高度及厚度。此等結構之間隔及厚度之變動導致若干臨界參數值之變動。例如,圖2中描繪之臨界尺寸參數CD1、CD2及CD3由於其等對鰭形成程序及最後對最終結構之效能之影響而頻繁受監測。另外,間距偏差係量測及控制兩者之一重要參數。間距偏差藉由方程式(1)而與CD1及CD3相關。
Figure 106136163-A0305-02-0010-1
在本文中呈現用於評估圖案化結構之幾何特性之方法及系統。更特定言之,藉由兩個或兩個以上度量系統量測由一或多個圖案化程序產生之幾何結構。來自度量系統之各者之量測結果經傳送至其他度量系統之至少一者以增大接收系統之量測效能。各接收系統根據本文中描述之方法及系統判定特性化由圖案化程序引發之幾何誤差之至少一個所關注參數之一值。以此方式,基於自其他協作度量系統接收之量測結果來改良自各度量系統獲得之量測結果。在一些實例中,擴展度量能力以量測先前無法獨立使用各度量系統量測之所關注參數。在其他實例中,改良量測效能(例如,精度及精確性)。本文中描述之方法及系統實現半導體產業內出現之多重圖案化目標及3D整合目標之特性化。
圖3描繪一項實施例中之一混合度量系統100。如在圖3中描繪,混合度量系統100包含度量系統102及103以及運算系統130。度量系統102及103採用不同獨立度量技術來量測安置於一半導體晶圓上之相同度量目標結構。一般言之,可預期在此專利文件之範疇內之任何合適度量系統。藉由非限制實例,度量系統102及103之任一者可經組態為一SEM系統、一透射電子顯微鏡(TEM)系統、一原子力顯微鏡(AFM)系統、一光譜橢偏儀、一光譜反射計、一散射計、一基於x射線之度量系統(諸如一小角度X射線散射計系統)、一基於電子束之度量系統、一光學成像系統等。
在一些實施例中,度量系統102係一掃描電子顯微鏡(SEM)系統且度量系統103係一光學臨界尺寸(OCD)度量系統,諸如一光譜橢偏量測(SE)系統。度量系統102將經量測信號112傳送至運算系統130。經量測信號112指示自度量目標結構101之量測點110收集之SEM影像資訊。類似地,OCD系統103將經量測信號113傳送至運算系統130。經量測信號113指示 自度量目標結構101之量測點110收集之經量測光譜。
在一個態樣中,運算系統130經組態為一混合度量量測引擎,其用來基於兩個或兩個以上不同度量系統對目標結構之量測來估計多個不同所關注參數之值。由一個度量系統獲得之量測結果用於增強另一度量系統對一所關注參數之一值之估計,且反之亦然。
圖4係繪示一項實施例中之一例示性混合度量量測引擎150之一圖。如在圖4中描繪,混合度量量測引擎150包含分別與度量系統102及103相關聯之兩個不同量測模組122及123。在一個態樣中,由量測模組122獲得之量測結果151經傳送至量測模組123以改良與度量系統102對度量目標結構101之量測相關聯之一或多個所關注參數之值154之估計。此可稱為值151至量測模組123之一「前饋」傳送。類似地,由量測模組123獲得之量測結果152經傳送至量測模組122以改良與度量系統103對度量目標結構101之量測相關聯之一或多個所關注參數之值153之估計。此可稱為值152至量測模組123之一「回饋」傳送。一般言之,量測結果152可包含藉由量測模組123判定之所關注參數之值154。以此方式,傳送至量測模組122之值154進一步改良值153之估計。類似地,量測結果151可包含藉由量測模組122判定之所關注參數之值153。以此方式,傳送至量測模組123之值153進一步改良值154之估計。
在一些實施例中,在量測結果152由量測模組123產生且傳送至量測模組122之前,量測結果151可由量測模組122產生且傳送至量測模組123。在一些實施例中,在量測結果151由量測模組122產生且傳送至量測模組123之前,量測結果152可由量測模組123產生且傳送至量測模組122。一般言之,量測結果之連續前饋及回饋傳送之程序可反覆若干次以 最佳化兩個度量系統之整體效能。
如在圖4中描繪,採用與度量系統102相關聯之量測模組122來估計一或多個所關注參數之值153且採用與度量系統103相關聯之量測模組123來估計一組不同一或多個所關注參數之值154。因此,採用各度量系統來量測不同所關注參數。以此方式,出於該目的採用能夠具有相對於特定所關注參數之高量測效能之度量系統。
在一些實施例中,被量測度量目標結構101係一圖案化結構。在此等實施例中,OCD系統103能夠憑藉高於SEM系統102之精度來量測幾何參數(例如,CD、高度、SWA、FIN_CD及間距)之平均值。此等參數經前饋至與另一度量系統(例如,SEM系統102)相關聯之一量測模組。SEM系統102能夠量測各CD參數值(例如,CD1、CD2、CD3)。在一個態樣中,藉由SEM系統102量測之參數值之各者被自OCD系統103接收之對應平均值正規化。由於此正規化,諸如△CD之值、諸如CDRATIO之比值或兩者達成改良量測效能。
例如,藉由方程式(2)描述圖1D中描繪之CD1與CD2之平均值CDAVG
Figure 106136163-A0305-02-0013-2
然而,OCD系統103對一些其他參數(諸如圖1D中描繪之△CD)不敏感。幸運地,SEM系統102能夠憑藉類似精度來量測CDAVG及△CD。在一個實例中,與OCD系統103相關聯之量測模組123判定CDAVG之一值152且將值152轉送至與SEM系統102相關聯之量測模組122。繼而,量測模組122基於CDAVG之高精度值152憑藉更高精度來判定△CD之一值153。基於藉由與OCD系統103相關聯之量測模組123量測之CDAVG之值152、間距等,與 SEM系統102相關聯之量測模組122調整邊緣偵測演算法以同時對多個邊緣進行操作以達成DCD之一較高精度估計。
在另一實例中,與OCD系統103相關聯之量測模組123判定側壁角(SWA)及高度(HT)之值152。儘管無法自SEM量測可靠量測SWA,但SWA值藉由放寬邊緣而影響SEM影像。基於藉由量測模組123估計之SWA及HT之值152,與SEM系統102相關聯之量測模組122調整處理SEM影像所採用之邊緣偵測演算法以憑藉較高精度及較大精確性來達成CD、DCD等之一估計。
儘管在此實施例中,OCD系統103將CDAVG之一高精度值152提供至SEM系統102,但一般言之,高精度值152可源自另一量測源(例如,模擬SEM量測、具有使用長積分時間獲得之低雜訊SEM影像之量測等)。類似地,在此實施例中,SEM系統102接收CDAVG之一高精度值152。然而,一般言之,可藉由具有低於OCD系統103之精度之任何量測系統接收一高精度值152。藉由非限制實例,度量系統102可為一AFM系統或一TEM系統。
圖10描繪繪示在無CDAVG之一高精度值之前饋之情況下SEM量測效能之一標繪圖200。使用相同SEM系統設定在相同目標位置處量測三十個影像。對於各收集影像,基於三個不同演算法判定一臨界尺寸CD之一估計值。與一1D梯度演算法相關聯之量測結果標記為「x」。與一2D梯度演算法相關聯之量測結果標記為「+」。與一2D Canny演算法相關聯之量測結果標記為「o」。
圖11描繪繪示在CDAVG之一高精度值之前饋之情況下SEM量測效能之一標繪圖201。如參考圖10描述,基於用於各經量測影像之三個不同演 算法判定一臨界尺寸CD之一估計值。在此實例中,自經量測SEM影像判定與CD1相關聯之一比(即,CD1RATIO=(CD1/(CD1+CD2))及與CD2相關聯之一比(即,CD2RATIO=(CD2/(CD1+CD2))。藉由自度量系統102獲得之CDAVG之值或藉由長積分時間獲得之一基於低雜訊SEM影像之估計來正規化CD1RATIO及CD2RATIO
如在圖10及圖11中描繪,CD之估計值變化且在無CDAVG之一高精度值之前饋之情況下之CD估計中存在一線性趨勢(即,漂移)。然而,在CDAVG之一高精度值之前饋之情況下,改良量測均勻性且CD估計中之線性趨勢(即,漂移)減小至一可忽略位準。
圖14描繪與在圖10及圖11中描繪之量測相關聯之量測精度之一表205。基於三個案例之30次重複來計算量測精度:如在圖10中描繪之在無前饋之情況下之CD估計;在無前饋但具有線性消除趨勢之情況下之CD估計;及如在圖11中描繪之在前饋之情況下之CD估計。一線性消除趨勢程序可應用於經量測資料以改良精度。然而,消除趨勢並非較佳,因為其需要較大數目個重複影像,此減小量測處理能力。
如在圖14中描繪,基於所有三個案例之2D Canny演算法獲得最高精度。使用消除趨勢顯著改良精度,但使用CDAVG之前饋獲得更高精度。如在圖14中繪示,相較於其中未利用前饋之相同案例,使用前饋獲得精度之4倍改良。另外,前饋提供比消除趨勢更好的效能而不具有消除趨勢之處理能力損失。
在另一態樣中,歸因於CDAVG之前饋之精度改良並不取決於系統度量偏移。期望基於一個度量系統之一所關注參數之估計值自基於另一度量系統之相同所關注參數之估計值系統地偏移。在習知混合度量系統中,此 系統偏移對量測結果可具有一有害效應。
圖12描繪自不同目標位置處之SEM影像估計之CD值之一標繪圖203。對於各收集影像,在不具有CDAVG之前饋(標記有一「o」)、具有CDAVG之前饋(標記有一「+」)及具有CDAVG之前饋及度量系統102與度量系統103之間的一奈米系統偏移(標記有一「x」)之情況下判定一臨界尺寸CD之一估計值。另外,臨界尺寸CD之值係基於三個不同演算法。因此,三個估計值與各目標位置處之各估計方法相關聯。圖13描繪針對在圖12中描繪之各對應CD值達成之量測精度之一標繪圖204。
如在圖12及圖13中描繪,SEM系統102與OCD系統103之間的一系統度量偏移(例如,1奈米偏移)使所有SEM量測結果位移,但並不影響使用CDAVG之前饋達成之量測精度改良。再者,若OCD系統103具有小於SEM系統102之一偏移,則將藉由匹配至OCD系統103來改良SEM系統102之偏移。因此,當前饋參數之來源具有小於接收度量系統之一偏移時,如本文中描述之參數前饋改良量測精度、工具間匹配及量測精確性。
在一些實施例中,SEM系統102能夠憑藉良好精度來量測△CD。然而,藉由OCD系統103之△CD量測之精度、追蹤及精確性一般非常差。此外,與△CD相關之其他所關注參數(例如,鰭結構之臨界尺寸)之OCD量測亦受到不利影響。
在一進一步態樣中,與SEM系統102相關聯之量測模組122判定一或多個增量值(諸如△CD、△Height、△SWA、△FIN_CD、間距偏差、疊對等)、一或多個比值(諸如Height1RATIO、CD1RATIO、SWA1RATIO及Fin_CD1RATIO等)或兩者。在一個實例中,SEM系統102判定△CD之一值151且將值151轉送至與OCD系統103相關聯之量測模組123。繼而,量測 模組123藉由利用前饋值(即,增量值、比值或兩者)作為經量測光學光譜之迴歸分析中之額外約束或初始值而憑藉較高精度來判定一或多個所關注參數之一值154。在一個實例中,來自量測模組122之△CD之值151使與OCD系統103相關聯之量測模組123能夠達成所關注參數(例如,SWA、FIN_CD、FIN_HT、△CD1、△CD2等)之改良量測效能,其等原本遭受低敏感度、與其他參數之相關性或兩者。
圖15描繪繪示基於來自一SEM系統之參數前饋之各種形狀參數之改良OCD量測效能之一標繪圖206。圖15描繪藉由OCD系統103針對五個不同形狀參數所達成之量測精確性。陰影條柱指示在無△CD之前饋之情況下所達成的量測精確性。實心條指示在△CD之前饋之情況下所達成之量測精確性。在此實例中,SEM系統102憑藉0.25奈米之一精度誤差來量測△CD。如在圖15中描繪,與△CD1及△CD2之量測相關聯之精確性改良約2倍。
在一個實例中,CDAVG之一值152首先藉由與OCD系統103相關聯之量測模組123進行估計且前饋至與SEM系統102相關聯之量測模組122。CDAVG之值152使量測模組122能夠改良所關注參數153(例如,△CD、CDRATIO等)之精度且確保對CD量測之工具間匹配。隨後,△CD之一值151藉由與SEM系統102相關聯之量測模組122進行估計且回饋至與OCD系統103相關聯之量測模組123。△CD之值151使量測模組123能夠改良所關注參數154(尤其具有低敏感度、與其他參數之相關性或兩者之參數)之OCD量測之精確性。藉由在回饋△CD之一值151之前前饋CDAVG之一值152,達成較佳量測精度及對△CD之值151之一較小系統偏移。△CD之一較高精度值151減少OCD迴歸分析時間且導致所關注參數154之較高精度估計。 另外,減小系統偏移實現有效OCD迴歸分析。以此方式,兩個不同度量系統之間的參數之一循序前饋及回饋交換改良兩個度量系統對於不同所關注參數之量測效能。
在另一實例中,△CD之一值151首先藉由與SEM系統102相關聯之量測模組122進行估計且前饋至與OCD系統103相關聯之量測模組123。△CD之值151使量測模組123能夠改良所關注參數154之精度及CDAVG之一值152之一改良估計。隨後,CDAVG之值152經回饋至與SEM系統102相關聯之量測模組122。CDAVG之值152使量測模組122能夠改良所關注參數153(例如,△CD、CDRATIO等)之SEM量測之精確性。以此方式,兩個不同度量系統之間的參數之一循序前饋及回饋交換改良兩個度量系統對於不同所關注參數之量測效能。
在參考圖4描述之實例中,直接前饋或回饋參數值。然而,在另一態樣中,藉由一個度量技術估計之參數值在被第二度量技術利用之前經重新參數化。
圖5描繪另一實施例中之一混合度量量測引擎160。具有參考圖4描述之相同元件符號之元件係類似的。如在圖5中描繪,藉由重新參數化模組161使值151重新參數化。重新參數化值163經傳送至量測模組123。類似地,藉由重新參數化模組162使值152重新參數化。重新參數化值164經傳送至量測模組122。
在一個實例中,首先藉由SEM系統102量測在圖2中描繪之半導體結構20之CD1、CD2及CD3。接著,將CD1、CD2及CD3重新參數化為如在方程式(3)中繪示之比值。
Figure 106136163-A0305-02-0019-3
藉由定義,三個比值藉由方程式(4)而彼此相關。
CD1 Ratio +2△CD2 Ratio +△CD3 Ratio =1 (4)
方程式(3)之三個比值經前饋至與OCD系統103相關聯之量測模組123。作為回應,量測模組123經組態以基於三個比值產生對參數空間之三個約束。在方程式(5)中繪示三個約束。
CD1=CD AVG (1+△CD1 Ratio ) CD2=CD AVG (1+△CD2 Ratio ) CD3=CD AVG (1+△CD3 Ratio ) (5)
一般言之,對參數空間之額外約束改良非敏感參數(例如,CD1、CD2及CD3)及相關參數(例如,FIN_HT)之OCD量測效能。再者,OCD中之迴歸分析時間減少。
在另一態樣中,藉由一個度量技術估計之參數值在被第二度量技術利用之前經變換。
圖6描繪另一實施例中之一混合度量量測引擎170。具有參考圖4描述之相同元件符號之元件係類似的。如在圖6中描繪,藉由變換模組171變換值151。將經變換值173傳送至量測模組123。類似地,藉由變換模組172變換值152。將經變換值174傳送至量測模組122。
變換模組171及172可包含一或多個資料擬合及最佳化技術(例如,快速降階模型;迴歸;機器學習演算法,諸如神經網路及支援向量機;降維演算法,諸如主成分分析及獨立成分分析及局部線性嵌入;稀疏表示演算法,諸如傅立葉變換、小波變換;及卡爾曼濾波器)。在一個實例中,藉由變換模組171、172或兩者消除包含一OCD度量系統及一SEM度量系統 之一混合度量系統中之一系統偏移。在一個實例中,變換模組171、172或兩者包含一經訓練變換模型。基於來自一可信參考度量系統(例如,一橫截面SEM或TEM)之參考量測來訓練變換模型以消除系統偏移。
一般言之,一混合度量系統可包含任何數目個度量系統且來自度量系統之任一者之量測結果可經前饋至其他度量系統之任一者。類似地,藉由度量系統之任一者基於自另一度量系統前饋之一或多個參數值而獲得之量測結果可經回饋至(若干)原始度量系統。
圖7描繪包含三個度量系統之一混合度量系統105。具有參考圖3描述之元件符號之元件係類似的。如在圖7中描繪,混合度量系統105包含一第三度量系統104。藉由度量系統104產生之量測信號經傳送至運算系統130以進行分析。在圖7中描繪之實施例中,運算系統130經組態為一混合度量量測引擎,其用來基於三個度量系統對目標結構之量測來估計多個不同所關注參數之值。
圖8係繪示另一實施例中之一例示性混合度量量測引擎180之一圖。如在圖8中描繪,混合度量量測引擎180包含分別與度量系統102、103及104相關聯之三個不同量測模組122、123及124。如在圖8中描繪,由量測模組123獲得之量測結果181經傳送至量測模組124以改良與度量系統104對度量目標結構101之量測相關聯之一或多個所關注參數之值185之估計。此可稱為值181至量測模組124之一「前饋」傳送。類似地,由量測模組124獲得之量測結果182經傳送至量測模組123以改良與度量系統103對度量目標結構101之量測相關聯之一或多個所關注參數之值154之估計。此可稱為值182至量測模組123之一「回饋」傳送。
在一個實例中,度量系統102係一SEM系統,度量系統103係一OCD 系統且度量系統104係一AFM系統。在此實例中,與OCD系統103相關聯之量測模組123憑藉高精度來估計度量目標結構101之平均深度及平均線寬之值。此等參數之兩者之此等值分別作為量測信號152及181傳送至量測模組122及量測模組124。值152及181分別改良藉由量測模組122及124估計之所關注參數153及185之量測精度。另外,值152及181改良工具間偏移匹配。在分別藉由量測模組122及124估計所關注參數153及185之後,藉由量測模組122、124或兩者估計△Depth及△Linewidth之改良值。改良值作為來自量測模組122之量測信號151及來自量測模組124之量測信號182回饋至量測模組123。量測模組123基於改良值來估計關注參數154(例如,FIN_CD、SWA等)。改良值改良OCD量測模組123對於所關注參數154之量測效能,所關注參數154原本受限於低敏感度、與其他參數之相關性或兩者。
在另一態樣中,本文中描述之混合度量系統及方法可應用於多個目標。經常,特定度量技術僅能夠特性化特定目標。例如,光學度量通常能夠量測週期性切割道目標而非隨機晶粒中目標。然而,SEM通常能夠量測晶粒中目標。
在一個實例中,首先單獨藉由OCD系統103及SEM系統102量測定位於一晶圓切割道上之一度量目標。隨後,藉由SEM系統102量測晶粒中目標。
圖9係繪示另一實施例中之一例示性混合度量量測引擎190之一圖。如在圖9中描繪,混合度量量測引擎190包含與度量系統102相關聯之量測模組123及與SEM系統102相關聯之量測模組122之兩個例項(122及122')。如在圖9中描繪,藉由量測模組123基於OCD系統103對切割道目標之量測 來估計CDAVG-OCD之一值154。類似地,藉由量測模組122基於SEM系統102對切割道目標之量測來估計CDAVG-SEM之一值153。量測模組122'接收此等值以及與SEM系統102對晶粒中目標之一量測相關聯之SEM量測資料115。量測模組122'估計與晶粒中目標相關聯之一CD值CDIN-DIE。另外,量測模組122'基於CDAVG-SEM 153及CDAVG-OCD 154來判定經量測CD值CDCORRECTED之一正規化值191,如藉由方程式(6)描述。
Figure 106136163-A0305-02-0022-4
若切割道目標及晶粒中目標共用一非常類似輪廓(例如,類似SWA、溝槽深度等),則將改良對晶粒中目標之SEM量測效能。
一般言之,本文中描述之混合度量技術可增強對單一圖案化目標、所有類型之雙重圖案化微影目標(例如,LELE、LEFE及SADP)及更複雜多重圖案化目標(諸如LELELE、SAQP或SAOP)之量測效能。可使用193奈米浸潤微影術、極紫外線微影術(EUV)或其等之一組合來製造目標。本文中描述之混合度量技術可尤其用於特性化藉由EUV微影術製造之目標,如通常具有較薄光阻層且遭受光學量測之較低敏感度之此等目標。
一般言之,如本文中描述之混合度量技術可改良迴歸計算之運算速度且減少基於模型之度量(諸如OCD)之庫產生時間。在一些實例中,當使用更精確初始值、額外約束或兩者時,迴歸收斂更可靠且在運算上較不密集。此減少OCD光譜之整體迴歸分析時間。
圖16繪示適用於藉由一混合度量系統(諸如分別在本發明之圖3及圖7中繪示之混合度量系統100及105)實施之一方法300。在一個態樣中,應認知,可經由藉由運算系統130或任何其他通用運算系統之一或多個處理器執行之一預程式化演算法執行方法300之資料處理方塊。本文中應認 知,度量系統100及105之特定結構態樣並不表示限制且僅應解釋為闡釋性。
在方塊301中,將一定量之照明輻射提供至一半導體晶圓上之一第一量測位點。第一量測位點包含藉由複數個幾何參數特性化之一圖案化度量目標。
在方塊302中,回應於一定量之照明輻射而自量測位點偵測一輻射量。
在方塊303中,藉由一第一度量系統基於所偵測輻射量產生一第一量之量測資料。
在方塊304中,藉由一第二度量系統產生與半導體晶圓上之第一量測位點之一量測相關聯之一第二量之量測資料。
在方塊305中,基於第一量之量測資料判定與圖案化度量目標相關聯之一第一幾何參數之一值。
在方塊306中,基於第二量之量測資料產生與圖案化度量目標相關聯之一第二幾何參數之一值。
在方塊307中,基於第一量之量測資料及第二幾何參數之值判定一第一所關注參數之一值。
在方塊308中,基於第二量之量測資料及第一幾何參數之值判定一第二所關注參數之一值。
圖17繪示用於根據本文中呈現之例示性方法量測一樣品之特性之一光學度量系統103之一實施例。如在圖17中展示,系統103可用於在一度量目標結構101之一量測點110上方執行光譜橢偏量測。在此態樣中,系統103可包含配備有一照明器402及一光譜儀404之一光譜橢偏儀。系統 103之照明器402經組態以產生具有一選定波長範圍(例如,150nm至2000nm)之照明且將其引導至度量目標結構101之量測點110。繼而,光譜儀404經組態以接收自量測點110反射之照明。進一步應注意,使用一偏光狀態產生器407使自照明器402出射之光偏光以產生一偏光照明光束406。藉由度量目標結構101之結構反射之輻射穿過一偏光狀態分析器409且至光譜儀404。就偏光狀態分析藉由光譜儀404以收集光束408接收之輻射,從而容許藉由分析器傳遞之輻射憑藉光譜儀進行光譜分析。此等經量測信號113經傳遞至運算系統130以進行如本文中描述之結構分析。
如在圖17中描繪,系統103包含一單一量測技術(即,SE)。然而,一般言之,系統103可包含任何數目個不同量測技術。藉由非限制實例,系統103可經組態為一光譜橢偏儀(包含Mueller矩陣橢偏量測)、一光譜反射計、一光譜散射計、一疊對散射計、一角度解析光束輪廓反射計、一偏光解析光束輪廓反射計、一光束輪廓反射計、一光束輪廓橢偏儀、任何單一或多個波長橢偏儀或其等之任何組合。此外,一般言之,可自多個工具而非整合多種技術之一個工具收集藉由不同量測技術收集且根據本文中描述之方法分析之量測資料。
在一進一步實施例中,度量系統100及105包含用來根據本文中描述之方法執行量測之一或多個運算系統130。一或多個運算系統130可通信地耦合至各度量系統(例如,光譜儀404)。在一個態樣中,一或多個運算系統130經組態以接收與一或多個度量目標之量測相關聯之量測資料112、113及114。
應認知,可藉由一單一運算系統130或替代性地一多重運算系統130執行貫穿本發明描述之各個步驟。再者,系統100及105之不同子系統(諸 如光譜橢偏儀404)可包含適用於執行本文中描述之步驟之至少一部分之一電腦系統。因此,前述描述不應解釋為對本發明之一限制,而是僅為一圖解。此外,一或多個運算系統130可經組態以執行本文中描述之方法實施例之任一者之任何(若干)其他步驟。
另外,運算系統130可以此項技術中已知之任何方式通信地耦合至度量系統。例如,一或多個運算系統130可耦合至與光譜儀404相關聯之運算系統。在另一實例中,可藉由耦合至運算系統130之一單一電腦系統直接控制光譜儀404。
度量系統100及105之運算系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自度量系統(例如,光譜儀404及類似物)接收及/或獲取資料或資訊。以此方式,傳輸媒體可充當運算系統130與度量系統之其他子系統之間的一資料鏈路。
混合度量系統100及105之運算系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可充當運算系統130與其他系統(例如,記憶體機載度量系統100及105、外部記憶體、一參考量測源或其他外部系統)之間的一資料鏈路。例如,運算系統130可經組態以經由一資料鏈路自一儲存媒體(即,記憶體132或一外部記憶體)接收量測資料。例如,使用光譜儀404獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如,記憶體132或一外部記憶體)中。在此方面,可自板上記憶體或自一外部記憶體系統匯入光譜結果。再者,運算系統130可經由一傳輸媒體將資料發送至其他系統。例如,一混合量測模型或藉由運算系統130判定之一結構參數值140可經傳送且儲存於一外部記 憶體中。在此方面,可將量測結果匯出至另一系統。
運算系統130可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、平行處理器或此項技術中已知之任何其他裝置。一般言之,術語「運算系統」可廣泛定義為涵蓋具有執行來自一記憶媒體之指令之一或多個處理器之任何裝置。
可經由一傳輸媒體(諸如導線、電纜或無線傳輸鏈路)傳輸實施方法(諸如本文中描述之方法)之程式指令134。例如,如在圖3及圖7中繪示,儲存於記憶體132中之程式指令134經由匯流排133傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟,或一磁帶。
一般言之,在此專利文件之範疇內,可預期任何量測技術或兩個或兩個以上量測技術之組合。例示性量測技術包含但不限於光譜橢偏量測(包含Mueller矩陣橢偏量測)、光譜反射量測、光譜散射量測、散射量測疊對、光束輪廓反射量測(角解析及偏光解析兩者)、光束輪廓橢偏量測、單一及多個離散波長橢偏量測、傳輸小角度x射線散射計(TSAXS)、小角度x射線散射(SAXS)、掠入射小角度x射線散射(GISAXS)、廣角度x射線散射(WAXS)、x射線反射率(XRR)、x射線繞射率(XRD)、掠入射x射線繞射(GIXRD)、高解析度x射線繞射(HRXRD)、x射線光電光譜(XPS)、x射線螢光(XRF)、掠入射x射線螢光(GIXRF)、低能量電子引發式x射線發射散射量測(LEXES)、x射線斷層掃描及x射線橢偏量測。一般言之,可預期可應用於半導體結構之特性化之任何度量技術(包含基於影像之度量技術)。額外感測器選項包含電子感測器(諸如非接觸式電容/電壓或電流/電壓感測器),其等對裝置加偏壓且使用一光學感測器(或輔助光學技術,諸 如XRD、XRF、XPS、LEXES、SAXS及泵探測技術)偵測所得偏壓(或相反)。在一項實施例中,一二維光束輪廓反射計(光瞳成像器)可用於收集具有一小光點大小之角度解析式及/或多光譜資料。一UV Linnik干涉儀亦可用作一Mueller矩陣光譜光瞳成像器。
在一些實例中,本文中描述之混合度量量測方法經實施為可購自KLA-Tencor公司(Milpitas,California,USA)之一SpectraShape®光學臨界尺寸度量系統之一元件。以此方式,量測模型經產生且以在藉由系統收集晶圓光譜後立即使用。
在一些其他實例中,(例如)藉由實施可購自KLA-Tencor公司(Milpitas,California,USA)之AcuShape®軟體之一運算系統離線實施本文中描述之混合度量量測方法。所得模型可作為可由執行量測之一混合度量系統存取之一AcuShape®庫之一元件併入。
在另一實例中,本文中描述之方法及系統可應用於疊對度量。光柵量測尤其與疊對量測相關。疊對度量之目標係判定不同微影曝光步驟之間的位移。歸因於裝置上結構之小尺寸(且通常為小疊對值),裝置上執行疊對度量係困難的。
例如,典型切割道疊對度量結構之間距自200奈米變化至2,000奈米。但,裝置上疊對度量結構之間距通常為100奈米或更小。另外,在一標稱生產環境中,裝置疊對僅係裝置結構之週期性之一小分率。相比而言,用於散射量測疊對中之代理度量結構頻繁偏移較大值(例如,四分之一間距)以增強對疊對之信號敏感度。
在此等條件下,使用具有對小偏移、小間距疊對之足夠敏感度之感測器架構執行疊對度量。本文中描述之方法及系統可用來基於裝置上結 構、代理結構或兩者獲得對疊對敏感之一量測信號。
一般言之,可將本文中呈現之用於執行半導體度量之方法及系統直接應用於實際裝置結構或定位於晶粒中或切割道內之專用度量目標(例如,代理結構)。
在又另一態樣中,本文中描述之量測技術可用於提供主動回饋至一程序工具(例如,微影工具、蝕刻工具、沈積工具等)。例如,使用本文中描述之方法判定之結構參數值可經傳送至一微影工具以調整微影系統以達成一所要輸出。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等)或沈積參數(例如,時間、濃度等)可包含於一量測模型中以將主動回饋分別提供至蝕刻工具或沈積工具。
一般言之,本文中描述之系統及方法可經實施為一專用度量工具之部分,或替代性地實施為一程序工具(例如,微影工具、蝕刻工具等)之部分。
如本文中描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等)、在任何兩個或兩個以上結構之間的一臨界尺寸(例如,在兩個結構之間的距離)及在兩個或兩個以上結構之間的一位移(例如,疊對光柵結構之間的疊對位移等)。結構可包含三維結構、圖案化結構、疊對結構等。
如本文中描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文中描述,術語「度量系統」包含至少部分用來特性化在任何態樣中之一樣品之任何系統,包含量測應用,諸如臨界尺寸度量、疊對度量、焦點/劑量度量及組合物度量。然而,此等技術術語並不限制如本文 中描述之術語「度量系統」之範疇。另外,一度量系統可經組態以用於量測圖案化晶圓及/或未圖案化晶圓。度量系統可經組態為一LED檢測工具、邊緣檢測工具、背側檢測工具、巨觀檢測工具或多模式檢測工具(涉及同時來自一或多個平台之資料)及獲益於本文中描述之方法及系統之任何其他度量或檢測工具。
在本文中針對可用於處理一樣品之一半導體處理系統(例如,一檢測系統或一微影系統)描述各種實施例。術語「樣品」在本文中用於指代一晶圓、一倍縮光罩或可藉由此項技術中已知之方法處理(例如,印刷或檢測缺陷)之任何其他樣本。
如本文中使用,術語「晶圓」通常係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。通常可在半導體製造設施中找到及/或處理此等基板。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代性地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「經圖案化」或「未經圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可為在一倍縮光罩製程之任何階段之一倍縮光罩,或可或可不經釋離以在一半導體製造設施中使用之一已完成倍縮光罩。一倍縮光罩或一「遮罩」大體上定義為其上形成有實質上不透明區域且組態為一圖案之一實質上透明基板。基板可包含(例如)一玻璃材料,諸如非晶SiO2。一倍縮光罩可在一微影製程之一曝光步驟期間安置於一光阻劑覆蓋之之晶圓上方,使得倍縮光罩上之圖案可經轉印至光阻劑。
形成於一晶圓上之一或多個層可經圖案化或未經圖案化。例如,一晶圓可包含複數個晶粒,其等各具有可重複圖案特徵。此等材料層之形成 及處理最終可導致已完成裝置。許多不同類型之裝置可形成於一晶圓上,且如本文中使用之術語晶圓意在涵蓋其上製造此項技術中已知之任何類型之裝置之一晶圓。
在一或多項例示性實施例中,所描述功能可實施為硬體、軟體、韌體或其任何組合。若實施為軟體,則功能可作為一或多個指令或程式碼儲存在電腦可讀媒體上或在電腦可讀媒體上傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,該等通信媒體包含促進一電腦程式自一個位置傳送至另一位置之任何媒體。一儲存媒體可為可藉由一通用或專用電腦存取之任何可用媒體。藉由實例且非限制性,此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於攜載或儲存呈指令或資料結構之形式之所要程式碼構件且可藉由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。而且,任何連接可適當地稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纜、雙絞線、數位用戶線(DSL)或諸如紅外線、無線電及微波之無線技術自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纜、雙絞線、DSL或諸如紅外線、無線電及微波之無線技術包含於媒體之定義中。如本文中使用,磁碟及光碟包含緊密光碟(CD)、雷射光碟、光學光碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地重現資料,而光碟使用雷射光學地重現資料。上文之組合亦應包含於電腦可讀媒體之範疇內。
儘管為教學目的在上文描述某些特定實施例,然本專利文件之教示具有一般適用性且不限於上文描述之特定實施例。因此,在不脫離如在發明申請專利範圍中闡述之本發明之範疇的情況下可實踐所描述實施例之各 種特徵之各種修改、調適及組合。
100‧‧‧混合度量系統
101‧‧‧度量目標結構
102‧‧‧度量系統/掃描電子顯微鏡(SEM)系統
103‧‧‧度量系統/光學臨界尺寸(OCD)系統
110‧‧‧量測點
112‧‧‧經量測信號/量測資料
113‧‧‧經量測信號/量測資料
130‧‧‧運算系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
140‧‧‧結構參數值

Claims (20)

  1. 一種混合度量系統,其包括:一第一度量系統,其經組態以產生與一半導體晶圓上之一第一量測位點相關聯之一第一量之量測資料,其中該第一量測位點包含藉由複數個幾何參數特性化之一圖案化度量目標;一第二度量系統,其不同於該第一度量系統,其中該第二度量系統產生與該半導體晶圓上之該第一量測位點之一量測相關聯之一第二量之量測資料;及一運算系統,其經組態以:基於該第一量之量測資料判定與該圖案化度量目標相關聯之一第一幾何參數之一值;基於該第二量之量測資料判定與該圖案化度量目標相關聯之一第二幾何參數之一值;基於該第一量之量測資料及該第二幾何參數之該值判定一第一所關注參數之一值;及基於該第二量之量測資料及該第一幾何參數之該值判定一第二所關注參數之一值;及將該第一所關注參數及該第二所關注參數之該等值儲存於一記憶體中。
  2. 如請求項1之混合度量系統,其中該第二度量系統係以下任一者:一掃描電子顯微鏡(SEM)系統、一透射電子顯微鏡(TEM)系統、一原子力顯 微鏡(AFM)系統及一基於x射線之度量系統。
  3. 如請求項1之混合度量系統,其進一步包括:一第三度量系統,其不同於該第一度量系統及該第二度量系統,其中該第三度量系統產生與該半導體晶圓上之該第一量測位點之一量測相關聯之一第三量之量測資料,其中該運算系統經進一步組態以:基於該第三量之量測資料判定與該圖案化度量目標相關聯之一第三幾何參數之一值,其中該第一所關注參數之該判定亦係基於該第三幾何參數之該值;基於該第三量之量測資料及該第一幾何參數之該值判定一第三所關注參數之一值;及將該第三所關注參數之該值儲存於一記憶體中。
  4. 如請求項1之混合度量系統,其中該第二幾何參數之該值之該判定在該第一幾何參數之該值之該判定之後發生且至少部分基於該第一幾何參數之該值。
  5. 如請求項1之混合度量系統,其中該第一所關注參數之該值之該判定在該第二幾何參數之該值之該判定之後發生且至少部分基於該第二幾何參數之該值。
  6. 如請求項1之混合度量系統,其中該運算系統經進一步組態以在該第二所關注參數之該值之該判定之前使該第一幾何參數重新參數化。
  7. 如請求項1之混合度量系統,其中該運算系統經進一步組態以在該第二所關注參數之該值之該判定之前變換該第一幾何參數之該值。
  8. 如請求項1之混合度量系統,其中該第二度量系統產生與包含一晶粒中(in-die)度量目標之該半導體晶圓上之一第二量測位點之一量測相關聯之一第三量之量測資料,其中該運算系統經進一步組態以基於該第三量之量測資料及該第一幾何參數及該第二幾何參數之該等值判定一第三所關注參數之一值。
  9. 一種混合度量系統,其包括:一第一度量系統,其經組態以產生與一半導體晶圓上之一第一量測位點相關聯之一第一量之量測資料,其中該第一量測位點包含藉由複數個幾何參數特性化之一圖案化度量目標;及一第二度量系統,其不同於該第一度量系統,其中該第二度量系統產生與該半導體晶圓上之該第一量測位點相關聯之一第二量之量測資料;及一非暫時性電腦可讀媒體,其包括多個指令,當藉由一運算系統執行該等指令時導致該運算系統:基於該第一量之量測資料判定與該圖案化度量目標相關聯之一第一幾何參數之一值;基於該第二量之量測資料判定與該圖案化度量目標相關聯之一第二幾何參數之一值; 基於該第一量之量測資料及該第二幾何參數之該值判定一第一所關注參數之一值;及基於該第二量之量測資料及該第一幾何參數之該值判定一第二所關注參數之一值。
  10. 如請求項9之混合度量系統,其中該第一度量系統係一光學度量系統且該第二度量系統係以下任一者:一掃描電子顯微鏡(SEM)系統、一透射電子顯微鏡(TEM)系統、一原子力顯微鏡(AFM)系統及一基於x射線之度量系統。
  11. 如請求項9之混合度量系統,其進一步包括:一第三度量系統,其不同於該第一度量系統及該第二度量系統,其中該第三度量系統產生與該半導體晶圓上之該第一量測位點之一量測相關聯之一第三量之量測資料,該非暫時性電腦可讀媒體進一步包括多個指令,當藉由該運算系統執行該等指令時導致該運算系統:基於該第三量之量測資料判定與該圖案化度量目標相關聯之一第三幾何參數之一值,其中該第一所關注參數之該判定亦係基於該第三幾何參數之該值;基於該第三量之量測資料及該第一幾何參數之該值判定一第三所關注參數之一值。
  12. 如請求項9之混合度量系統,其中該第二幾何參數之該值之該判定在該第一幾何參數之該值之該判定之後發生且至少部分基於該第一幾何參數 之該值。
  13. 如請求項9之混合度量系統,其中該第一所關注參數之該值之該判定在該第二幾何參數之該值之該判定之後發生且至少部分基於該第二幾何參數之該值。
  14. 如請求項9之混合度量系統,其中該運算系統經進一步組態以在該第二所關注參數之該值之該判定之前使該第一幾何參數重新參數化。
  15. 如請求項9之混合度量系統,該非暫時性電腦可讀媒體進一步包括多個指令,當藉由該運算系統執行該等指令時導致該運算系統在該第二所關注參數之該值之該判定之前變換該第一幾何參數之該值。
  16. 如請求項9之混合度量系統,其中該第二度量系統產生與包含一晶粒中度量目標之該半導體晶圓上之一第二量測位點之一量測相關聯之一第三量之量測資料,該非暫時性電腦可讀媒體進一步包括多個指令,當藉由該運算系統執行該等指令時導致該運算系統基於該第三量之量測資料及該第一幾何參數及該第二幾何參數之該等值判定一第三所關注參數之一值。
  17. 一種混合度量方法,其包括:將一定量(amount)之照明輻射提供至一半導體晶圓上之一第一量測位點,其中該第一量測位點包含藉由複數個幾何參數特性化之一圖案化度量目標; 回應於該一定量之照明輻射而自該量測位點偵測一輻射量;藉由一第一度量系統基於所偵測之該輻射量產生一第一量之量測資料;藉由一第二度量系統產生與該半導體晶圓上之該第一量測位點之一量測相關聯之一第二量之量測資料;基於該第一量之量測資料判定與該圖案化度量目標相關聯之一第一幾何參數之一值;基於該第二量之量測資料判定與該圖案化度量目標相關聯之一第二幾何參數之一值;基於該第一量之量測資料及該第二幾何參數之該值判定一第一所關注參數之一值;及基於該第二量之量測資料及該第一幾何參數之該值判定一第二所關注參數之一值。
  18. 如請求項17之混合度量方法,其進一步包括:藉由一第三度量系統產生與該半導體晶圓上之該第一量測位點之一量測相關聯之一第三量之量測資料;基於該第三量之量測資料判定與該圖案化度量目標相關聯之一第三幾何參數之一值,其中該第一所關注參數之該判定亦係基於該第三幾何參數之該值;基於該第三量之量測資料及該第一幾何參數之該值判定一第三所關注參數之一值。
  19. 如請求項17之混合度量方法,其進一步包括:在該第二所關注參數之該值之該判定之前使該第一幾何參數重新參數化。
  20. 如請求項17之混合度量方法,其進一步包括:產生與包含一晶粒中圖案化度量目標之該半導體晶圓上之一第二量測位點之一量測相關聯之一第三量之量測資料;及基於該第三量之量測資料及該第一幾何參數及該第二幾何參數之該等值判定一第三所關注參數之一值。
TW106136163A 2016-10-20 2017-10-20 用於經圖案化晶圓特性化之混合度量 TWI731183B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662410395P 2016-10-20 2016-10-20
US62/410,395 2016-10-20
US15/787,789 2017-10-19
US15/787,789 US10712145B2 (en) 2016-10-20 2017-10-19 Hybrid metrology for patterned wafer characterization

Publications (2)

Publication Number Publication Date
TW201827785A TW201827785A (zh) 2018-08-01
TWI731183B true TWI731183B (zh) 2021-06-21

Family

ID=61969500

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106136163A TWI731183B (zh) 2016-10-20 2017-10-20 用於經圖案化晶圓特性化之混合度量

Country Status (6)

Country Link
US (1) US10712145B2 (zh)
JP (1) JP6924261B2 (zh)
CN (1) CN110100174B (zh)
IL (1) IL265797B (zh)
TW (1) TWI731183B (zh)
WO (1) WO2018075808A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164768B2 (en) * 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
US11295969B2 (en) 2018-11-27 2022-04-05 International Business Machines Corporation Hybridization for characterization and metrology
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
KR102611986B1 (ko) * 2018-12-19 2023-12-08 삼성전자주식회사 반도체 소자의 형상 예측 방법
US11698251B2 (en) * 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR20220123303A (ko) * 2020-01-07 2022-09-06 노바 엘티디. Ocd 계측 머신 학습에 대한 이상치 및 이상 검출 시스템 및 방법
CN113571437B (zh) * 2020-04-28 2023-09-08 长鑫存储技术有限公司 半导体器件测量方法
US11586794B2 (en) * 2020-07-30 2023-02-21 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models
US20220245307A1 (en) * 2021-02-03 2022-08-04 Applied Materials, Inc. Hybrid physics/machine learning modeling of processes
JP2023012227A (ja) 2021-07-13 2023-01-25 キオクシア株式会社 形状計測方法、形状計測装置、及びプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6853873B1 (en) * 2003-02-21 2005-02-08 Nanometrics Incorporated Enhanced throughput of a metrology tool
US20130203188A1 (en) * 2012-02-03 2013-08-08 Globalfoundries Inc. Hybrid metrology for semiconductor devices
US20140019097A1 (en) * 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
WO2015125127A1 (en) * 2014-02-23 2015-08-27 Global Foundries Hybrid metrology technique
US20160141193A1 (en) * 2014-11-19 2016-05-19 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6411377B1 (en) * 1991-04-02 2002-06-25 Hitachi, Ltd. Optical apparatus for defect and particle size inspection
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6201601B1 (en) * 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US20020158197A1 (en) * 1999-01-12 2002-10-31 Applied Materials, Inc AFM-based lithography metrology tool
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
EP1319244A1 (en) 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US6940592B2 (en) * 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
JP3817464B2 (ja) * 2001-11-13 2006-09-06 株式会社日立ハイテクノロジーズ 微細パターンの3次元形状測定システム、及び3次元形状測定方法
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
JP4078257B2 (ja) * 2003-06-27 2008-04-23 株式会社日立ハイテクノロジーズ 試料寸法測定方法及び荷電粒子線装置
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP2009210419A (ja) * 2008-03-04 2009-09-17 Olympus Corp 基板検査装置、及び、基板検査方法
JP2011521475A (ja) 2008-05-21 2011-07-21 ケーエルエー−テンカー・コーポレーション ツール及びプロセスの効果を分離する基板マトリクス
US8930156B2 (en) * 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5287178B2 (ja) * 2008-11-27 2013-09-11 富士通セミコンダクター株式会社 欠陥レビュー装置
US8223327B2 (en) * 2009-01-26 2012-07-17 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
CN101551324B (zh) * 2009-05-08 2011-01-05 中国科学院光电技术研究所 一种基于双探测光束的半导体材料特性测量装置及方法
JP2011192769A (ja) * 2010-03-15 2011-09-29 Renesas Electronics Corp 半導体デバイス製造方法、及び製造システム
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9127927B2 (en) 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10502694B2 (en) * 2013-08-06 2019-12-10 Kla-Tencor Corporation Methods and apparatus for patterned wafer characterization
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
WO2016015987A1 (en) 2014-07-28 2016-02-04 Asml Netherlands B.V. Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6853873B1 (en) * 2003-02-21 2005-02-08 Nanometrics Incorporated Enhanced throughput of a metrology tool
US20130203188A1 (en) * 2012-02-03 2013-08-08 Globalfoundries Inc. Hybrid metrology for semiconductor devices
US20140019097A1 (en) * 2012-07-10 2014-01-16 Kla-Tencor Corporation Model building and analysis engine for combined x-ray and optical metrology
WO2015125127A1 (en) * 2014-02-23 2015-08-27 Global Foundries Hybrid metrology technique
US20160141193A1 (en) * 2014-11-19 2016-05-19 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools

Also Published As

Publication number Publication date
JP2019533312A (ja) 2019-11-14
TW201827785A (zh) 2018-08-01
US10712145B2 (en) 2020-07-14
US20180112968A1 (en) 2018-04-26
CN110100174B (zh) 2022-01-18
WO2018075808A1 (en) 2018-04-26
JP6924261B2 (ja) 2021-08-25
IL265797A (en) 2019-06-30
IL265797B (en) 2022-02-01
CN110100174A (zh) 2019-08-06

Similar Documents

Publication Publication Date Title
TWI731183B (zh) 用於經圖案化晶圓特性化之混合度量
TWI755386B (zh) 計量系統及方法
KR102370339B1 (ko) 전산 계측
US10215559B2 (en) Metrology of multiple patterning processes
CN107430352B (zh) 量测方法、量测设备和器件制造方法
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
TWI668774B (zh) 度量系統及方法
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
US10769320B2 (en) Integrated use of model-based metrology and a process model
TWI470375B (zh) 用於判定疊對誤差之方法及裝置
US10222709B2 (en) Metrology method, metrology apparatus and device manufacturing method
US11429763B2 (en) Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
US11698251B2 (en) Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN117413223A (zh) 量测测量方法和设备