JP2018517177A - 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法 - Google Patents

検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法 Download PDF

Info

Publication number
JP2018517177A
JP2018517177A JP2017561617A JP2017561617A JP2018517177A JP 2018517177 A JP2018517177 A JP 2018517177A JP 2017561617 A JP2017561617 A JP 2017561617A JP 2017561617 A JP2017561617 A JP 2017561617A JP 2018517177 A JP2018517177 A JP 2018517177A
Authority
JP
Japan
Prior art keywords
target
focus
feature
substrate
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017561617A
Other languages
English (en)
Other versions
JP6618551B2 (ja
Inventor
オーステン,アントン,ベルンハルト ヴァン
オーステン,アントン,ベルンハルト ヴァン
ヒンネン,パウル,クリスティアーン
クライフ,ロベルタス,コルネリス,マルチヌス ド
クライフ,ロベルタス,コルネリス,マルチヌス ド
ソハ,ロベルト,ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2018517177A publication Critical patent/JP2018517177A/ja
Application granted granted Critical
Publication of JP6618551B2 publication Critical patent/JP6618551B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Thermistors And Varistors (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

リソグラフィプロセス中にフォーカスパラメータを監視する方法が開示される。方法は、それぞれ第1及び第2のターゲットの第1及び第2の測定値を獲得することを含み、第1及び第2のターゲットは相対的に最良のフォーカスオフセットを用いて露光されている。次いで方法は、第1及び第2の測定値からフォーカスパラメータを決定することを含む。対応する測定及びリソグラフィ装置、コンピュータプログラム、及びデバイスを製造する方法も開示される。【選択図】図7

Description

関連出願の相互参照
[0001] 本願は、2015年6月12日出願の欧州出願第15171970.5号の優先権を主張し、その全体が参照により本明細書に組み込まれる。
[0002] 本発明は、例えば、リソグラフィ技術によってデバイスの製造におけるメトロロジを実行するために使用可能な、検査装置及び方法に関する。更に本発明は、リソグラフィプロセスにおいてフォーカス及び/又はドーズパラメータを監視するためのこうした方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板に、通常は基板のターゲット部分に適用する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。このような場合、代替的にマスク又はレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成すべき回路パターンを生成することができる。このパターンを、基板(例えばシリコンウェーハ)上のターゲット部分(例えば1つ又は幾つかのダイの一部を含む)に転写することができる。パターンの転写は通常、基板に設けた放射感応性材料(レジスト)の層への結像により行われる。一般的に、1枚の基板は、順次パターンが付与される隣接したターゲット部分のネットワークを含んでいる。
[0004] リソグラフィプロセスでは、しばしば、例えばプロセスの制御及び検証のために作成された構造の測定を行うことが望ましい。こうした測定を行うために、クリティカルディメンション(CD)を測定するためにしばしば用いられる走査電子顕微鏡、及び、デバイスにおける2つの層のオーバーレイ、アライメントの精度を測定するための専用ツールを含む、様々なツールが知られている。近年、リソグラフィ分野で使用するために、様々な形のスキャトロメータが開発されている。これらのデバイスは、ターゲット上に放射ビームを誘導し、ターゲットの注目の特性が決定可能な回折「スペクトル」を取得するために、散乱線の1つ以上の特性、例えば、波長の関数としての単一角の反射での強度、反射角の関数としての1つ以上の波長での強度、又は反射角の関数としての偏光を測定する。
[0005] 既知のスキャトロメータは、US2006033921A1及びUS2010201963A1に記載のタイプの角度分解スキャトロメータを含む。こうしたスキャトロメータによって使用されるターゲットは、比較的大きい、例えば、40μm×40μmの格子であり、測定ビームは格子より小さいスポットを生成する(すなわち、格子はアンダーフィルされている)。暗視野イメージングメトロロジの例は、国際特許出願US20100328655A1及びUS2011069292A1に見ることが可能であり、これらの文献はそれらの全体が参照により本明細書に組み込まれる。この技法の更なる発展は、公表された特許公開US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A、及びWO2013178422A1に記載されている。これらのターゲットは照明スポットより小さいことが可能であり、ウェーハ上でプロダクト構造によって取り囲むことができる。複数の格子を、1つのイメージ内で複合格子ターゲットを使用して測定することが可能である。これらすべての出願の内容は、参照により本明細書にも組み込まれる。
[0006] 監視を必要とするリソグラフィプロセスの1つの重要なパラメータは、フォーカスである。ICにおいて数が増え続ける電子コンポーネントを統合することが望ましい。これを実現するためには、コンポーネントのサイズを減少させること、したがって投影システムの解像度を増加させることが必要であるため、結果としてますます小さな細部又はライン幅を基板のターゲット部分に投影することができる。リソグラフィにおいてクリティカルディメンション(CD)が縮小するにつれて、基板全体及び基板間の両方で、フォーカスの一貫性がますます重要となる。CDは、フィーチャ(トランジスタのゲート幅など)の寸法であり、その変動がフィーチャの物理特性に望ましくない変動を生じさせることになる。従来、最適な設定値は「先送りウェーハ」によって決められ、すなわち基板は、生産工程に先立って露光、現像、及び測定される。先送りウェーハにおいて、テスト構造はいわゆるフォーカスエネルギーマトリクス(FEM)において露光され、それらのテスト構造の審査から、最良のフォーカス及びエネルギー設定値が決定された。
[0007] 現在のテスト構造設計及びフォーカス測定方法には、いくつかの欠点がある。多くのテスト構造は、ピッチの大きな副解像度フィーチャ又は格子構造を必要とする。こうした構造は、リソグラフィ装置のユーザの設計規則に反する。フォーカス測定技法は、特殊なフォーカス依存のターゲット構造によって散乱される反高次(例えば、1次)放射における非対称を測定すること、及び、この非対称からフォーカスを決定することを含み得る。EUVリソグラフィの場合、レジスト厚み、したがってターゲット構造の厚みはより小さい(例えば、半分の厚さ)。したがって、フォーカス感度及び信号強度は、こうした非対称方法をEUVリソグラフィで使用するのに不十分な場合がある。加えて、非対称ベース技法は、非対称とフォーカスとの間の望ましい関係(例えば、線形)を保証するために、ターゲットジオメトリの注意深い選択を必要とする場合がある。この選択プロセスは複雑であり得、好適なターゲットジオメトリを見つけるためにかなりの努力を必要とする場合がある。好適なターゲットジオメトリが存在しない場合もあり得る。
[0008] 本発明は、上記で識別された欠点のうちの1つ以上に対処することを目的とする。本発明は、第1の態様において、リソグラフィプロセス中にフォーカスパラメータを監視する方法を提供し、方法は、
第1の測定値を獲得することであって、第1の測定値は第1のターゲットの検査から取得されたものであること、
第2の測定値を獲得することであって、第2の測定値は第2のターゲットの検査から取得されたものであり、第1のターゲット及び第2のターゲットは相対的に最良のフォーカスオフセットを用いて露光されていること、及び
第1の測定値及び第2の測定値からフォーカスパラメータを決定すること、
を含む。
[0009] 本発明は、デバイスパターンがリソグラフィプロセスを使用して一連の基板に印加される、デバイスを製造する方法を更に提供し、方法は、
フォーカスパラメータを監視するために第1の態様の方法を使用すること、及び、
決定されたフォーカスパラメータに従って、その後の基板に対するリソグラフィプロセスを制御すること、
を含む。
[0010] 本発明は、プロセッサに第1の態様の方法を実行させるための機械可読命令を備えるコンピュータプログラム製品を更に提供する。
[0011] 本発明は、所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスを更に提供し、パターニングデバイスは、リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、リソグラフィプロセス中に基板上に第2のターゲットを形成するための第2のフィーチャを備え、第1のターゲット及び第2のターゲットが相対的に最良のフォーカスオフセットを有するように、第2のフィーチャは、ターゲットの平面に対して垂直の方向に第1のフィーチャよりも高い。
[0012] 本発明は、リソグラフィプロセス中にドーズパラメータを監視する方法を更に提供し、方法は、第1の測定値を獲得することであって、第1の測定値は第1のターゲットの検査から取得されたものであること、第2の測定値を獲得することであって、第2の測定値は第2のターゲットの検査から取得されたものであること、及び、第1の測定値及び第2の測定値からドーズパラメータを決定すること、を含み、第1及び第2のターゲットは、同一ピッチ及び逆デューティサイクルを有する対応するラインアンドスペースターゲットを備える。
[0013] 本発明は、リソグラフィプロセスを使用して一連の基板にデバイスパターンが印加されるデバイスを製造する方法を更に提供し、方法は、ドーズパラメータを監視するために直前の態様の方法を使用すること、及び、決定されたドーズパラメータに従ってその後の基板に対するリソグラフィプロセスを制御すること、を含む。
[0014] 本発明は、所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスを更に提供し、パターニングデバイスは、リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、リソグラフィプロセス中に基板上に第2のターゲットを形成するための第2のフィーチャを備え、第1のフィーチャは、実質的にフォーカスに依存しない側壁角を有するラインフィーチャを用いて第1のターゲットを形成するように構成され、第2のフィーチャは、フォーカスに依存する側壁角を有するラインフィーチャを用いて第2のターゲットを形成するように構成される。
[0015] 本発明は、所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスを更に提供し、パターニングデバイスは、リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、リソグラフィプロセス中に基板上に第2のターゲットを形成するための第2のフィーチャを備え、設計規則は、ターゲットフィーチャを、設定されたクリティカルディメンション及び設定されたピッチの格子上に制約し、第1のフィーチャ及び第2のフィーチャの各々が、格子上に形成されたターゲットフィーチャに対応する1つ又は複数の隣接から形成されるように、第1のターゲット及び第2のターゲットは各々格子の列から形成される。
[0016] 本発明の更なる特徴及び利点、並びに本発明の様々な実施形態の構造及び動作を、添付の図面を参照しながら以下で詳細に説明する。本発明は、本明細書で説明する特定の実施形態に限定されないことに留意されない。こうした実施形態は、単なる例示の目的で本明細書に提示される。当業者であれば、本明細書に含まれる教示に基づく追加の実施形態が明らかとなろう。
[0017] 対応する参照符号が対応する部分を示す添付の概略図を参照しながら以下に本発明の実施形態について説明するが、これは単に例示としてのものに過ぎない。
リソグラフィ装置を示す図である。 本発明に従った検査装置が使用可能なリソグラフィセル又はクラスタを示す図である。 検査装置の第1の例として、分光スキャトロメータの動作の原理を示す図である。 検査装置の別の例として、角度分解スキャトロメータの概略形式を示す図である。 角度分解スキャトロメータ及び暗視野イメージング検査方法を実行するように適合された検査装置を、概略的に示す図である。 フォーカスに依存する非対称を有する基板上に格子を形成するために好適なレチクル上に要素を形成するターゲットを示す図である。 (a)は、相対的に最良のフォーカスオフセットを有する2つのターゲットについてのフォーカスに対する、ターゲットパラメータ(y軸)について測定された値のプロットを示す図であり、(b)は、フォーカス(x軸)に対する、第1のターゲット及び第2のターゲットからのターゲットパラメータ(y軸)について測定された値間の差のプロットを示す図である。 (a)〜(d)は、レチクル上に設計を形成する可能なターゲットの断面を概略的に示す図である。 (a)は、実施形態に従ったレチクルブランクの断面を概略的に示す図であり、(b)は、レチクル上に設計を形成する更なる可能なターゲットの断面を概略的に示す図である。 レチクル上に設計を形成する更なる可能なターゲットを概略的に示す平面図である。 本発明の実施形態に従った、フォーカスを監視する方法を示すフローチャートである。 ドーズ測定を実行するためにレチクル上に設計を形成する可能なターゲットを概略的に示す平面図である。 図12に示されたようなターゲットについて、CD(x軸)に対する測定された強度(y軸)のプロットを示す図である。 (a)〜(f)は、例示的な格子ベースの設計規則によって制約された時の、設計を形成する可能なターゲットフィーチャの例を示す図である。
[0018] このような実施形態を詳述する前に、本発明の実施形態を実施することができる例示の環境を提示することが有用であろう。
[0019] 図1は、リソグラフィ装置LAを概略的に示す。この装置は、放射ビームB(例えば、UV放射又はDUV放射)を調整するように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えば、マスク)MAを支持するように構成され、かつ特定のパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第1ポジショナPMに連結されたパターニングデバイス支持体又は支持構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構成され、かつ特定のパラメータに従って基板を正確に位置決めするように構成された第2ポジショナPWに連結された2つの基板テーブル(例えば、ウェーハテーブル)WTa及びWTbと、パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、屈折投影レンズシステム)PSと、を備える。基準フレームRFは、様々なコンポーネントを接続し、パターニングデバイス及び基板の位置及びその上のフィーチャの設定と測定のための基準として働く。
[0020] 照明システムは、放射を誘導し、整形し、又は制御するための、屈折型、反射型、磁気型、電磁型、静電型、又はその他のタイプの光学コンポーネント、或いはそれらの任意の組み合わせなどの様々なタイプの光学コンポーネントを含むことができる。
[0021] パターニングデバイス支持体は、パターニングデバイスの方向、リソグラフィ装置の設計等の条件、例えばパターニングデバイスが真空環境で保持されているか否かに応じた方法で、パターニングデバイスを保持する。パターニングデバイス支持体は、パターニングデバイスを保持するために、機械的、真空、静電気等のクランプ技術を使用することができる。パターニングデバイス支持体は、例えばフレーム又はテーブルでよく、必要に応じて固定式又は可動式でよい。パターニングデバイス支持体は、パターニングデバイスが例えば投影システムに対して確実に所望の位置にくるようにできる。
[0022] 本明細書において使用する「パターニングデバイス」という用語は、基板のターゲット部分にパターンを生成するように、放射ビームの断面にパターンを付与するために使用し得る任意のデバイスを指すものとして広義に解釈されるべきである。ここで、放射ビームに付与されるパターンは、例えばパターンが位相シフトフィーチャ又はいわゆるアシストフィーチャを含む場合、基板のターゲット部分における所望のパターンに正確には対応しないことがある点に留意されたい。一般的に、放射ビームに付与されるパターンは、集積回路などのターゲット部分に生成されるデバイスの特定の機能層に相当する。
[0023] 本明細書で示すように、本装置は、(例えば透過パターニングデバイスを使用する)透過タイプである。或いは、装置は、(例えば上記で言及したようなタイプのプログラマブルミラーアレイを使用する、又は反射マスクを使用する)反射タイプでもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルがある。本明細書において「レチクル」又は「マスク」という用語を使用した場合、その用語は、より一般的な用語である「パターニングデバイス」と同義と見なすことができる。「パターニングデバイス」という用語は、このようなプログラマブルパターニングデバイスを制御する際に使用するためのデジタル形式のパターン情報を記憶するデバイスを指すものとして解釈することもできる。
[0024] 本明細書において使用する「投影システム」という用語は、例えば使用する露光放射、又は液浸液の使用や真空の使用などの他の要因に合わせて適宜、例えば屈折光学システム、反射光学システム、反射屈折光学システム、磁気光学システム、電磁気光学システム及び静電気光学システム、又はその任意の組み合わせを含む任意のタイプの投影システムを網羅するものとして広義に解釈されるべきである。本明細書において「投影レンズ」という用語を使用した場合、これは更に一般的な「投影システム」という用語と同義と見なすことができる。
[0025] リソグラフィ装置は、投影システムと基板との間の空間を充填するように、基板の少なくとも一部を水などの比較的高い屈折率を有する液体で覆えるタイプでもよい。液浸液は、例えばマスクと投影システムの間など、リソグラフィ装置の他の空間に適用することもできる。液浸技術は、投影システムの開口数を増加させるために当技術分野で周知である。
[0026] 動作中、イルミネータILは放射源SOから放射ビームを受ける。放射源とリソグラフィ装置とは、例えば放射源がエキシマレーザである場合に、別々の構成要素であってもよい。このような場合、放射源はリソグラフィ装置の一部を形成すると見なされず、放射ビームは、例えば適切な誘導ミラー及び/又はビームエクスパンダなどを備えるビームデリバリシステムBDの助けにより、放射源SOからイルミネータILへと渡される。他の事例では、例えば放射源が水銀ランプの場合は、放射源がリソグラフィ装置の一体部分であってもよい。放射源SO及びイルミネータILは、必要に応じてビームデリバリシステムBDと共に放射システムと呼ぶことができる。
[0027] イルミネータILは、例えば放射ビーム、インテグレータIN及びコンデンサCOの角度強度分布を調整するアジャスタADを含むことができる。イルミネータILを用いて放射ビームを調節し、その断面にわたって所望の均一性と強度分布とが得られるようにしてもよい。
[0028] 放射ビームBは、パターニングデバイス支持体MT上に保持されたパターニングデバイスMAに入射し、パターニングデバイスによってパターン形成される。パターニングデバイス(例えばマスク)MAを横断した放射ビームBは、投影システムPSを通過し、投影システムPSは、ビームを基板Wのターゲット部分C上に合焦させる。第2のポジショナPW及び位置センサIF(例えば、干渉計デバイス、リニアエンコーダ、2Dエンコーダ又は容量センサ)の助けにより、基板テーブルWTa又はWTbを、例えば様々なターゲット部分Cを放射ビームBの経路に位置決めするように正確に移動できる。同様に、第1のポジショナPMと別の位置センサ(図1には明示されていない)を用いて、マスクライブラリからの機械的な取り出し後又はスキャン中などに放射ビームBの経路に対してパターニングデバイス(例えばレチクル/マスク)MAを正確に位置決めできる。
[0029] パターニングデバイス(例えばレチクル/マスク)MA及び基板Wは、マスクアライメントマークM1、M2と、基板アライメントマークP1、P2と、を用いて位置合わせすることができる。図示の基板アライメントマークは専用のターゲット部分を占めているが、基板アライメントマークをターゲット部分とターゲット部分との間の空間内に置くこともできる(これらはスクライブラインアライメントマークとして公知である)。同様に、複数のダイがマスクMA上に提供されている場合、マスクアライメントマークは、ダイとダイの間に置かれてもよい。小さなアライメントマークをデバイスフィーチャの中でもダイ内に含めることができ、その場合、マーカは可能な限り小さく、隣接したフィーチャと異なる結像又はプロセス条件を必要としないことが望ましい。アライメントマーカを検出するアライメントシステムは、以下で更に説明される。
[0030] 図示された装置は、様々なモードで使用できる。スキャンモードにおいては、パターニングデバイス支持体(例えば、マスクテーブル)MT及び基板テーブルWTを同期的にスキャンする一方で、放射ビームに付与されたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。パターニングデバイス支持体(例えば、マスクテーブル)MTに対する基板テーブルWTの速度及び方向は、投影システムPSの(縮小)拡大率及び像反転特性によって決めることができる。スキャンモードにおいては、露光フィールドの最大サイズによって、単一動的露光時のターゲット部分の幅(非スキャン方向)が限定される一方、スキャン動作の長さによって、ターゲット部分の高さ(スキャン方向)が決まる。当技術分野で周知のように、別のタイプのリソグラフィ装置及び動作モードが考えられる。例えば、ステップモードが既知である。いわゆる「マスクレス」リソグラフィでは、プログラマブルパターニングデバイスを静止状態に保ちながらもパターンを変化させ、基板テーブルWTを動かすか又はスキャンする。
[0031] 上述した使用モードの組み合わせ及び/又は変形、又は全く異なる使用モードも利用できる。
[0032] リソグラフィ装置LAは、2つの基板テーブルWTa、WTbと、それらの間で基板テーブルを交換することが可能な2つのステーション、露光ステーションEXP及び測定ステーションMEAを有する、いわゆるデュアルステージタイプである。1つの基板テーブル上の1つの基板が露光ステーションで露光されている間に、別の基板を測定ステーションの他方の基板テーブル上にロードし、様々な予備ステップを実施することが可能である。これによって、装置のスループットを実質的に増加させることができる。予備ステップは、レベルセンサLSを使用して基板の表面高さ輪郭をマッピングすること、及び、アライメントセンサASを使用して基板上のアライメントマーカの位置を測定することを含み得る。位置センサIFが測定ステーション並びに露光ステーションにある間に、基板テーブルの位置を測定できない場合、第2の位置センサを提供して、基準フレームRFに関して両方のステーションでの基板テーブルの位置を追跡できるようにすることが可能である。他の配列が既知であり、示されたデュアルステージ配列の代わりに使用可能である。例えば、基板テーブル及び測定テーブルが提供された他のリソグラフィ装置が既知である。これらは、予備測定を実行する時にドッキングされ、その後、基板テーブルが露光される間に切り離される。
[0033] 図2に示されるように、リソグラフィ装置LAは、時にはリソセル又はクラスタとも呼ばれるリソグラフィセルLCの一部を形成し、基板上で露光前及び露光後のプロセスを実行するための装置も含む。従来、これらは、レジスト層を堆積するためのスピンコーターSC、露光されたレジストを現像するためのディベロッパDE、冷却プレートCH、及びベークプレートBKを含む。基板ハンドラ、又はロボットROは、入力/出力ポートI/O1、I/O2から基板をピックアップし、それらを異なる処理装置間で移動させた後、リソグラフィ装置のローディングベイLBに送達する。これらのデバイスはしばしば集合的にトラックと呼ばれ、監視制御システムSCSによってそれ自体が制御されるトラック制御ユニットTCUの制御下にあり、監視制御システムSCSはリソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、スループット及び処理効率を最大にするために異なる装置を動作させることができる。
[0034] リソグラフィ装置によって露光される基板が正しく一貫して露光されるために、後続の層間のオーバーレイエラー、ライン厚み、クリティカルディメンション(CD)などの特性を測定するために、露光された基板を検査することが望ましい。したがって、リソセルLCが配置されている製造設備は、リソセル内で処理された基板Wのうちのいくつか又はすべてを受け取るメトロロジシステムMETも含む。メトロロジ結果は、監視制御システムSCSに直接的又は間接的に提供される。エラーが検出された場合、特に検査が十分迅速且つ高速に実行できる場合、同じバッチの他の基板が依然として露光されるように後続の基板の露光に対して調整を行うことが可能である。また、収量を向上させるために既に露光された基板をストリップ及び再加工するか、又は廃棄することが可能であり、それによって、不良と思われる基板上での更なる処理の実行を回避することができる。基板のいくつかのターゲット部分のみが不良である場合、良好なターゲット部分のみで更なる露光を実行することができる。
[0035] メトロロジシステムMET内では、基板の特性、特に、異なる基板又は同じ基板の異なる層の特性が層によってどのように異なるかを決定するために検査装置が使用される。検査装置は、リソグラフィ装置LA又はリソセルLCに統合するか、或いはスタンドアロンデバイスとすることができる。ほとんどの高速測定を実行可能にするために、検査装置は、露光されたレジスト層内における特性を露光直後に測定することが望ましい。しかしながら、レジスト内の潜在イメージが有するコントラストは非常に低く、レジストのうちで放射に露光された部分と露光されていない部分との間の屈折率の差は非常に小さく、すべての検査装置が潜在イメージの測定を有用にするのに十分な感度を有してはいない。したがって、通例、露光された基板上で実施される第1のステップであり、レジストの露光された部分と露光されていない部分との間のコントラストを増加させるポストベークステップ(PEB)の後に、測定を行うことができる。この段階で、レジスト内のイメージは半潜像的と呼ぶことができる。レジストの露光された部分又は露光されていない部分のいずれかが除去された時点で、或いは、エッチングなどのパターン転写ステップの後で、現像されたレジストイメージの測定を行うことも可能である。後者の可能性は、不良基板の再加工の可能性を制限するが、依然として有用な情報を提供することができる。
[0036] 図3は、前述のタイプのメトロロジシステムにおいて検査装置として使用可能な既知の分光スキャトロメータを示す。これは、基板W上に放射を投影する広帯域(白色光)放射プロジェクタ2を備える。反射された放射はスペクトロメータ4に渡され、ここで鏡面反射された放射のスペクトル6(波長の関数としての強度)を測定する。このデータから、処理ユニットPU内での計算によって、検出スペクトルを生じさせる構造又はプロファイル8を再構築することができる。再構築は、例えば厳密結合波分析及び非線形回帰、或いは、事前測定スペクトル又は事前計算シミュレートスペクトルのライブラリとの比較によって、実行可能である。一般に、再構築の場合、構造の一般的な形は既知であり、構造を形成する際に使用されたプロセスの知識からいくつかのパラメータが想定され、スキャトロメトリデータから決定すべき構造のパラメータはわずかしか残されていない。こうしたスキャトロメータは、法線入射スキャトロメータ又は斜め入射スキャトロメータとして構成可能である。
[0037] 図4は、分光スキャトロメータの代わりに、又はこれに加えて使用可能な、既知の角度分解スキャトロメータの基本要素を示す。このタイプの検査装置において、放射源11によって発せられる放射は、照明システム12によって条件付けられる。例えば、照明システム12は、レンズシステム12a、カラーフィルタ12b、ポラライザ12c、及び開口デバイス13を使用する、コリメーティングを含むことができる。条件付き放射は照明経路IPを辿り、その中で、部分反射表面15によって反射され、顕微鏡対物レンズ16を介して基板W上のスポットSにフォーカスされる。メトロロジターゲットTが基板W上に形成され得る。レンズ16は、好ましくは少なくとも0.9であり、より好ましくは少なくとも0.95である、高い開口数(NA)を有する。所望であれば、液浸流体を使用して1を上回る開口数を取得することができる。
[0038] リソグラフィ装置LA内と同様に、測定動作中、基板Wを保持するために1つ以上の基板テーブルを提供することができる。基板テーブルは、図1の基板テーブルWTa、WTbと同様又は同一の形とすることができる。(検査装置がリソグラフィ装置に組み込まれる例では、同じ基板テーブルであってもよい。)測定光学システムとの関連で、基板を正確に位置決めするように粗動及び微動ポジショナを構成することができる。例えば、注目のターゲットの位置を獲得するため、及び、これを対物レンズ16の下の位置に移動させるために、様々なセンサ及びアクチュエータが提供される。典型的には、基板W全体にわたる異なるロケーションで、多くの測定がターゲット上で行われることになる。基板支持体は、異なるターゲットを獲得するためにX及びYの方向に移動可能であり、ターゲット上での光学システムの所望のフォーカスを取得するためにZ方向に移動可能である。実際には、光学システムは実質的に固定されており、基板のみが移動する場合、対物レンズ及び光学システムが基板上の異なるロケーションに移動されているかのように動作を考察及び説明することが好都合である。基板及び光学システムの相対的位置が正しい場合、原則として、現実的にそれらのうちの一方が移動しているか両方が移動しているかは問題ではない。
[0039] 放射ビームがビームスプリッタ16上に入射する場合、その一部がビームスプリッタを介して透過され、参照ミラー14に向かって参照経路RPを辿る。
[0040] 任意のメトロロジターゲットTによって回折された放射を含む、基板によって反射された放射は、レンズ16によって集められ、集光経路CPを辿り、その中で部分的に反射表面15を介して検出器19へと渡る。検出器は、レンズ16の焦点長さFにある後方投影瞳面P内に位置することが可能である。実際には、瞳面自体にはアクセス不可能であり得、代わりに、補助光学系(図示せず)を用いて、いわゆる共役瞳面P’内に位置する検出器上に再イメージングすることができる。検出器は、好ましくは2次元検出器であるため、基板ターゲット30の2次元角散乱スペクトル又は回折スペクトルを測定することが可能となる。瞳面又は共役瞳面において、放射の半径位置は、焦点スポットSの平面における放射の入射/発射の角度を定義し、光軸Oの周囲の角度位置は放射のアジマス角を定義する。検出器19は、例えばCCD又はCMOSセンサのアレイであってよく、例えばフレーム当たり40ミリ秒の積分時間を使用することができる。
[0041] 参照経路RPは同じ検出器19の異なる部分上に、或いは代替として異なる検出器(図示せず)上に投影される。例えば、入射放射の強度を測定するため、散乱スペクトルにおいて測定された強度値の正規化を可能にするために、参照ビームがしばしば使用される。
[0042] 照明システム12の様々なコンポーネントは、同じ装置内で異なるメトロロジ「レシピ」を実装するように調整可能である。カラーフィルタ12bは、例えば、405〜790nm、又はそれ以下の200〜300nmの範囲内で、注目の異なる波長を選択するために、干渉フィルタのセットによって実装可能である。干渉フィルタは、異なるフィルタのセットを備えるよりはむしろ、波長可変とすることができる。干渉フィルタの代わりに格子を使用することができる。ポラライザ12cは、放射スポットSにおいて異なる偏光状態を実装するように、回転可能又はスワップ可能とすることができる。開口デバイス13は、異なる照明プロファイルを実装するために調整可能である。開口デバイス13は、対物レンズ16の瞳面P及び検出器19の平面と共役の平面P’’内に位置する。このようにして、開口デバイスによって定義される照明プロファイルは、開口デバイス13上の異なるロケーションを通過する基板放射上に入射する光の角度分布を定義する。
[0043] 検出器19は、単一波長(又は、狭い波長範囲)で、複数の波長で別々に、又は波長範囲にわたって積分される、散乱光の強度を測定することができる。更に検出器は、TM及びTE偏光の強度、及び/又はTM偏光とTE偏光との間の位相差を、別々に測定することができる。
[0044] メトロロジターゲットTが基板上に提供される場合、これは、現像後に、バーが実線のレジストラインで形成されるようにプリントされた、1D格子であり得る。ターゲットは、現像後に、格子が実線のレジストピラー又はレジスト内のバイアで形成されるようにプリントされた、2D格子であり得る。バー、ピラー、又はバイアは、代替として基板にエッチングすることができる。このパターンは、リソグラフィ投影装置、特に投影システムPSにおいて、色収差に敏感である。照明対称及びこうした収差の存在は、それら自体をプリントされた格子における変動で表すことになる。したがって、プリントされた格子のスキャトロメトリデータは、格子を再構築するために使用される。ラインの幅及び形状などの1D格子のパラメータ、或いはピラー又はバイアの幅又は長さ又は形状などの2D格子のパラメータは、プリントステップ及び/又は他のスキャトロメトリプロセスの知識から処理ユニットPUによって実行される再構築プロセスへの入力とすることができる。
[0045] 再構築によるパラメータの測定に加えて、プロダクト及び/又はレジストパターンにおけるフィーチャの非対称を測定する際に、角度分解スキャトロメトリが有用である。非対称測定の特定の適用例は、フォーカスに依存する非対称を伴ってプリントするターゲットからのフォーカスパラメータ(例えば、ターゲットの露光中のフォーカス設定)の測定に関する。図3又は図4の計器を使用する非対称測定の概念は、例えば、上記の公開された特許出願US2006066855A1に記載されている。端的に言えば、ターゲットの回折スペクトルにおける回折次数の位置がターゲットの周期性によってのみ決定されるのに対して、回折スペクトルにおける強度レベルの非対称は、ターゲットを構成する個々のフィーチャにおける非対称を示す。図4の計器において、検出器19はイメージセンサであってよく、回折次数におけるこうした非対称は、検出器19によって記録される瞳イメージ内の非対称として直接現れる。この非対称は、ユニットPUにおけるデジタルイメージ処理によって測定可能であり、ここからフォーカスが決定可能である。
[0046] 図5(a)は、図4の装置と同じ原理によって、いわゆる暗視野イメージングを実行するための付加的な適合を用いて角度分解スキャトロメトリを実装する検査装置を、より詳細に示す。装置は、スタンドアロンデバイスとするか、或いは、例えば測定ステーションのリソグラフィ装置LA又はリソグラフィセルLCのいずれかに組み込むことができる。装置全体にわたっていくつかの分岐を有する光軸が、点線Oによって表されている。ターゲット格子及び回折光線が、図5(b)により詳細に示されている。
[0047] 図4の装置で既に説明したコンポーネントには、同じ参照番号が使用されている。照明経路は以前と同じくIPとラベル付けされている。わかりやすくするために参照経路RPは省略されている。その装置と比較すると、第2のビームスプリッタ17は集光経路を2つの分岐に分割する。第1の測定分岐において、検出器19は、上記で説明したとおりにターゲットの散乱スペクトル又は回折スペクトルを記録する。この検出器19は瞳イメージ検出器と呼ばれることがある。
[0048] 第2の測定分岐において、イメージング光学システム22は、センサ23(例えば、CCD又はCMOSセンサ)上の基板W上にターゲットのイメージを形成する。瞳面と共役の平面内の集光経路にある平面内に、開口絞り21が提供される(瞳絞りとも呼ばれることがある)。開口絞り21は、照明開口が異なる形を取ることができるのと全く同様に、異なる形を取ることができる。典型的には、開口絞り21は、センサ23上に形成されるターゲットのイメージが1次ビームからのみ形成されるように、ゼロ次回折ビームをブロックするために機能する。これが、暗視野顕微鏡法と等価のいわゆる暗視野イメージである。センサ19及び23によってキャプチャされるイメージは、イメージプロセッサ及びコントローラPUに出力され、その機能は、実行されている特定タイプの測定に依存することになる。
[0049] この例の照明経路において、視野絞り13’をターゲット及びイメージセンサ23の平面と共役の平面内に配置できるように、付加的な光学系が示されている。この平面は、視野面又は共役イメージ面と呼ぶことができ、視野面全体にわたる各スペース位置がターゲット全体にわたる位置に対応するという特性を有する。この視野絞りは、例えば特定の目的のために照明スポットを成形するために、或いは単に、装置の視野内にあるが注目のターゲットの一部ではないフィーチャの照明を避けるために、使用可能である。以下の図面及び考察は、例として、開口デバイス13の機能の実装のための技法に言及するが、本開示は、視野絞り13’の機能を実装するための同じ技法の使用も包含する。
[0050] 図5(b)により詳細に示されるように、ターゲット格子Tは基板Wと共に対物レンズ16の光軸Oに垂直に配置される。オフアクシス照明プロファイルの場合、軸Oを外れた角度から格子T上に衝突する照明の光線Iは、ゼロ次光線(実線0)と2本の1次光線(1点鎖線+1及び2点鎖線−1)を生じさせる。オーバーフィルされた小さなターゲット格子の場合、これらの光線は、メトロロジターゲット格子T及び他のフィーチャを含む基板の領域をカバーする多くの平行光線のうちの1つに過ぎないことに留意されたい。プレート内の開口13は(有用な光の量を収容するために必要な)有限幅を有するため、入射光線Iは実際にはある角度範囲を占有し、回折光線0及び+1/−1は多少広がることになる。小さなターゲットの点像分布関数に従い、各次数+1及び−1は、図に示されるような単一の理想光線ではなく、ある角度範囲にわたって更に広がることになる。
[0051] 異なる開口を使用することによって、異なるモードの照明が可能である。開口13N(「北」)及び13S(「南」)は各々、特定の狭い角度範囲のみからオフアクシス照明を提供する。図5(a)に戻ると、これは環状開口の正反対の部分を北(N)及び南(S)と指定することによって示されている。+1(13N)とラベル付けされた円錐照明の北部分からの+1回折光線は、対物レンズ16に入り、(−1(13S)とラベル付けされた)円錐の南部分からの−1回折光線も同様である。導入部で述べた以前の出願に記載されているように、暗視野イメージングセンサ23を使用して、このタイプの開口13N、13S間で切り替えることは、複数の小さなターゲットから非対称測定を取得する1つの方法である。オフアクシス照明を使用する時に、開口絞り21aを使用してゼロ次放射をブロックすることができる。
[0052] オフアクシス照明が図示されているが、代わりにターゲットのオンアクシス照明を使用することも可能であり、オフアクシス開口を備える開口絞りを使用して、実質的に1つの1次回折光のみがセンサに渡される。一例では、+1次及び−1次をセンサ23上の異なるロケーションに誘導することの効果を有する開口絞り21の代わりに、2つのイメージを作成することなく検出及び比較可能なように、プリズム21bが使用される。この技法は前述の公表された特許出願US2011102753A1に開示されており、その内容は参照により本明細書に組み込まれる。1次ビームの代わりに、又はこれに加えて、2次、3次、及びより高次のビーム(図5には図示せず)を測定で使用することができる。
[0053] リソグラフィプロセスを監視する場合、基板上のリソグラフィビームのフォーカスを監視することが望ましい。プリントされた構造からフォーカス設定を決定する1つの既知の方法は、プリントされた構造のクリティカルディメンション(CD)を測定することによる。CDは最小フィーチャの測度(例えば、要素のライン幅)である。プリントされた構造は、特にフォーカス監視用に形成された、ラインスペース格子などのターゲットとすることができる。CDは通常、フォーカス(x軸)に対するCD(y軸)のプロット上に「Bossung曲線」として知られる曲線を形成する、フォーカスへの2次応答を表示することが既知である。Bossung曲線は、最良のフォーカスを表すピーク周辺で実質的に対称である、実質的に対称な曲線である。Bossung曲線は、実質的に放物線の形状とすることができる。この手法にはいくつかの欠点がある。1つの欠点は、方法が最良のフォーカス付近で(曲線の放物線形状に起因して)低い感度を示すことである。別の欠点は、方法がいずれのデフォーカスの兆候に対しても感度が低いこと(曲線が最良のフォーカス付近で大部分が対称であるため)である。またこの方法は、とりわけドーズ及びプロセス変動(クロストーク)に対する感度が高い。
[0054] これらの問題に対処するために、回折ベースフォーカス(DBF)が考案された。回折ベースフォーカスは、プリント中のフォーカス設定に依存してある程度の非対称を有するターゲットをプリントするレチクル上のフィーチャを形成するターゲットを使用することができる。次いで、この非対称の程度を、例えば、フォーカス設定の測度を取得するために、ターゲットから回折される+1次放射と−1次放射との強度間の強度非対称を測定することによって、スキャトロメトリベースの検査方法を使用して測定することができる。
[0055] 図6は、回折ベースフォーカス測定のために構成されたDBFターゲット形成設計615を示す。これは、複数のDBF構造620を備え、その各々が高解像度の副構造625を備える。ベースピッチの上の高解像度の副構造625は、各DBF構造620について非対称レジストプロファイルを作成し、非対称の程度はフォーカスに依存している。したがって、メトロロジツールは、DBFターゲット形成設計615を使用して形成されるターゲットから非対称の程度を測定すること、及び、これをスキャナフォーカスに変換することが可能である。
[0056] DBFターゲット形成設計615は回折ベースフォーカス測定が実行可能であるが、これはすべての状況での使用に好適ではない。EUVレジストフィルムの厚みは、液浸リソグラフィで使用されるものよりも大幅に薄く、ターゲットの一部を形成する構造の非対称プロファイルから正確な非対称情報を抽出することを困難にしている。加えて、こうした構造は、ある種のプロダクト構造に適用可能な厳密な設計制約に準拠していない場合がある。チップ作成プロセス中、レチクル上のすべてのフィーチャはプリントし、後続の処理ステップに耐えるものでなければならない。半導体製造業者は、プリントされたフィーチャが彼らのプロセス要件を確実に満たすようにフィーチャ設計を制限するための手段として、設計規則を使用する。こうした設計規則の例は、構造又はピッチの許容サイズに関係する。別の例示の設計規則はパターン密度に関係し、これは結果として生じるレジストパターンの密度が特定の範囲内であるように制限することができる。
[0057] したがって、2つのターゲット間に最良のフォーカスオフセットdfを伴って形成される少なくとも第1のターゲット及び第2のターゲットを使用して、フォーカスを監視することが提案される。前述のように、ターゲットパラメータについての測定値(例えば、以下で説明するようなCD又は他の測定)を伴うフォーカス応答は、第1及び第2のターゲットの各々についてBossung曲線の形を取る。フォーカスは、第1のターゲットの測定から取得されるターゲットパラメータの第1の測定値、及び、第2のターゲットの測定から取得されるターゲットパラメータの第2の測定値の、関数である。したがって、第1及び第2のターゲットからパラメータの測定値が取得され、これらの測定された値からフォーカスに関する値が導出されることが提案される。フォーカスがどのように導出可能であるかの特定の例は、図7を参照しながら以下で説明する。しかしながら、当業者であれば、第1及び第2のターゲットから取得される測定値からフォーカスを抽出できるようにする、多くの代替方法が存在することを了解されよう。以下の説明は、2つの測定値(それらが強度値であるか又はそれ以外であるかにかかわらず)の差を使用して具体的に論じるが、フォーカス値を抽出するために他の数学的演算及び方法を使用することも可能である。例えば、(第1及び第2のターゲットのうちの1つからの)測定値のうちの1つを、(第1及び第2のターゲットのうちの他方からの)他の測定値に分割することが可能である。
[0058] 図7(a)は、第1のターゲット及び第2のターゲットの両方について、フォーカスfに対するターゲットパラメータPのプロットを示す。これは、第1のターゲットに対応する第1のBossung曲線700、及び第2のターゲットに対応する第2のBossung曲線710を示す。最良のフォーカスオフセットdf(Bossung曲線700、710の2つのピーク間のフォーカスオフセット)も示されている。ターゲットオーバーラップ720は、それを介して第1のターゲットについてのターゲットパラメータと第2のターゲットについてのターゲットパラメータとの差がフォーカスと本質的に線形関係を有する、フォーカス領域である。これが図7(b)に示されており、フォーカスに対するこの差Pt2−Pt1のプロットである(Pt1は第1のターゲットについてのターゲットパラメータであり、Pt2は第2のターゲットについてのターゲットパラメータである)。図を見るとわかるように、関係740は線形である。Pt2−Pt1メトリックは、例えばドーズ及び/又はプロセスによるクロストークに敏感であり得る。よりロバストなメトリックはPt2−Pt1/PtAVであり得、PtAVはPt2及びPt1の平均である。Pt2−Pt1/PtAVのフォーカスとの関係750(点線)も図7(b)に示されている。この関係は依然として十分に線形である一方で、クロストークに対してよりロバストである。
[0059] 図示された特定の例において、関係740又は関係750の勾配は、2dfaによって記述可能であり、ここでdfは最良のフォーカスオフセットであり、aはBossung曲線である。したがって、フォーカスは以下の数式(式1)から取得可能であり、
Figure 2018517177
上式で、分母内のPtAVは任意選択である。
[0060] フォーカス感度を増加させるために、最良のフォーカスオフセットを増加させることが可能であり、それによって関係740又は関係750の勾配が増加する。
[0061] 上記の考察において、フォーカスに応答するBossung曲線を有するという条件で、任意のターゲットパラメータPが使用可能であることを理解されたい。CDが使用可能である一方で、従来の方法よりも有意な利点を有する新しい回折ベースフォーカス方法が提案される。この方法は、フォーカスを決定するために、第1のターゲット及び第2のターゲットによって散乱される放射の回折次数から取得される強度信号を使用することを含む。特に、フォーカスを決定するために、第1及び第2のターゲットの各々からの単一の回折次数の強度値を使用することが提案される。提案される方法は、第1及び第2のターゲットの対応する回折次数からの強度値を使用することができる。例えば、強度値は、第1及び第2のターゲットからの+1次(−1次)回折次数のものであり得る。代替として、又は組み合わせて、強度値は、第1及び第2のターゲットからのゼロ次回折次数のものであり得る。
[0062] こうした方法の特定の例において、第1のターゲットによって散乱される放射の回折次数の測定される強度と、第2のターゲットによって散乱される放射の対応する回折次数の測定される強度との、差dIを使用することが提案される。この差は、以下でdIメトリックと呼ばれる。しかしながら、dIメトリックは、(例えば、強度値のうちの1つを他方に分割することによって)第1及び第2のターゲットからの回折強度値から導出される任意のメトリックであってよい。
[0063] 実施形態において、dIメトリックは、対応する第1の回折次数(又は、より高次の回折次数)、例えば、第1のターゲットによって散乱される放射の+1次回折次数と、第2のターゲットによって散乱される放射の+1次回折次数とにおける、差であってよい(明らかに、マイナス次数が等しく使用可能である)。別の実施形態において、dIメトリックは、第1及び第2のターゲットからのゼロ次数の強度測定値間の差を含むことができる。
[0064] 前述のように、dIメトリックはクロストークの効果を減少させるために、強度測定値の平均Iavによって除算可能である。しかしながら、dIメトリックについてのBossung曲線は、ドーズに若干依存しているのみであるため、dIメトリックは既に十分低いドーズクロストークを表している可能性がある。
[0065] このようにdIメトリックを使用することで、たとえターゲットが(EUVリソグラフィで使用するための)浅い格子を備える場合であっても、良好な信号強度及び信号対雑音応答を提供する。
[0066] 前述のように、dIメトリックは第1及び第2のターゲットによって散乱される放射のゼロ次数の差を含むことができる。このようにして、より小さなピッチを伴うターゲットを使用することができる。したがって、第1及び第2のターゲットについてのターゲットピッチは、任意の顧客設計規則に一致するように選択可能である。また、より小さなターゲットピッチは、ターゲットサイズ全体を減少させ得ることを意味する。複数のピッチも可能である。ゼロ次数放射を使用することは、回折された放射を必ずしもキャプチャする必要がないことを意味し、dIメトリックは、相対的に最良のフォーカスオフセットを有するターゲットによって吸収される光における差を記述する。ゼロ次数の測定は、信号強度及び信号雑音特徴も増加させることができる。
[0067] 1次回折放射が使用される場合、測定当たり単一の1次数のみが必要であるため、1次放射光を使用するために必要なピッチは、開口数NA=1の制限内で、λ/2(λは検出波長である)まで減少される。現時点でこの制限はλである。これは、線形ターゲット寸法を2分の1に、スペースを4分の1に減少させ得ることを意味することになる。
[0068] 第1のターゲットと第2のターゲットとの間の最良のフォーカスオフセットは、いくつかのやり方で導入することができる。一実施形態において、ターゲットをプリントするために使用されるリソグラフィ装置は、意図的な被制御の非点収差を有し得る。非点収差は、投影光学系内に含まれるいくつかのマニピュレータを介して投影光学系に導入することができる。多くのリソグラフィ装置における投影レンズは、十分に大きな非点収差オフセットが、望ましくない波面効果無しに、最良のフォーカスオフセットを作成できるようにする。実施形態において、非点収差は水平フィーチャと垂直フィーチャとの間に最良のフォーカスオフセットを導入することができる。これを活用するために、第1及び第2のターゲットはそれぞれ、水平格子及び垂直格子(又はその逆)を備えることができる。
[0069] 実施形態において、最良のフォーカスオフセットはレチクル(パターニングデバイス又はマスクとも呼ばれる)によって導入することができる。ターゲットのペア(例えば、ラインスペース格子)をレチクル上に組み込むことが提案される。レチクルは、ほぼターゲットのサイズ(例えば、20×20μm、8×8μm、又は5×5μm)のロケーション、及び、基板が深さdまでエッチングされる境界ゾーンを含むことができる。第1及び第2のターゲットペアのうちの一方は通常のマスクレベルで、他方は(好ましくは隣接する)エッチングされた位置で、堆積される。
[0070] 図8は、こうした配列を達成するためのいくつかの代替のレチクル配列を示す。図8aは、第1のターゲット及び第2のターゲットのうちの1つをプリントするために使用可能な参照ターゲットの断面を示す。これは、透明なレチクル基板810上に放射ブロック構造800を備える、レチクル上の従来のターゲットフィーチャである。レチクルは、任意の構造又は材料であってよい。例えば、透明なレチクル基板810は石英ガラスを含み得、放射ブロック構造800はクロム、ケイ化モリブデン(任意の不透明度)、又はタンタル窒化ホウ素を含むことができる。
[0071] この参照ターゲットは、図8(b)、図8(c)、又は図8(d)のターゲット配列のうちの1つと共に使用されることが提案される。しかしながら、結果として相対的に最良のフォーカスオフセットが生じるという条件で、図8に示されるターゲットのうちのいずれかの2つ(又はそれ以上)の任意の組み合わせが使用可能である。
[0072] 図8(b)は、ターゲットの領域内で、放射ブロック構造800を追加する前に深さdまでエッチングされた、透明なレチクル基板810を示す。こうした配列は、単純なターゲットを提供するが、これが「マスクショップ」内で実行できないことによって製造が複雑になる。図8(c)は図8(a)と同様の配列を示すが、放射ブロック構造800の堆積後、レチクル基板810が深さdまでエッチングされている。図8(d)は、放射ブロック構造800の上に付加的な金属(例えばクロム)キャップ820が被せられた配列を示す。これはTIS(透過イメージセンサ)ターゲットと同様である。こうした配列は、EUVリソグラフィでは不可能である。
[0073] 上記のエッチングされる例において、深さdは例えば0.1μm以上、より具体的には0.1μmから5μmまで、又は0.5μmから5μmまで、及び例えば0.5μmから3μmまでの範囲内とすることができる。実施形態において、深さdは1μmの範囲内とすることができる。
[0074] 図9は、第1の(参照)ターゲット内で最良のフォーカスオフセットを達成するための更なるレチクル配列を示す。この配列は、(例として)OMOG(Opaque MoSi on Glass)及びattPSM(ハーフトーン型位相シフトマスク)のレチクルタイプに適している。特に、attPSMレチクルの傾向はCr厚みの減少である。これは、前述の図8(d)実施形態のCrトッピング効果を減少させることになる。レチクル生成は、1つ(又はそれ以上)の特別なアブソーバスタックをブランク上に堆積することを含み、ブランクは単一のアブソーバスタックが堆積されるレチクル基板を備える。アブソーバスタックは、金属層(例えば、Cr層)でトッピングされた不透明層(例えば、MoSi層)を備えることができる。
[0075] 図9(a)は新しいレチクルブランクを示す。これは、2つのアブソーバスタックでトッピングされたレチクル基板910を備える。第1のアブソーバスタックは、第1の層920a(例えば、MoSi層)及び第2の層920b(例えば、Cr層)を備える。第2のアブソーバ層も2つの層、すなわち第3の層920c(例えば、MoSi層)及び第4の層920d(例えば、Cr層)を備える。
[0076] 図9(b)は最終レチクル配列を示す。これは、第1のターゲット930及び第2のターゲット940を示す。第1のターゲット930は、(例えば、第1の層材料920aから形成される)単一の不透明層のブロック構造900を備えるという点で従来型である。第2のターゲット940は、各々が3つの層、すなわち、それぞれが第1の層材料920a、第2の層材料920b、及び第3の層材料920cから形成される、第1の層950a、第2の層950b、及び第3の層950cを有する、ブロック構造950を備える。第4の層920dは完全に除去される。
[0077] こうしたレチクルを生成するためのプロセスは、以下のステップを含むことができる。
・1つ以上の特別のアブソーバスタックをブランク上に堆積させる(これは、ブランクのプロバイダによって実行可能である)。
・両方のスタックを介して基板910の深さまでターゲット層(ターゲット930、940を含む)をエッチングする。
・第1のターゲット及びその対応する層について特別のスタックを除去する。この層はプロダクト及び参照ターゲット(第1のターゲット)を含むが、第2のターゲットは含まない。レジストはこのステップ中、第2のターゲットをカバーする。
・参照層を従来の様式でエッチングする。レジストはこのステップ中第2のターゲットをカバーする。
[0078] 2つのターゲット間に最良のフォーカスオフセットを伴う2つのターゲットを取得するための更なる方法は、ラインスペースターゲットを備える第1のターゲットにフォーカスに対する感度が低い側壁角度(SWA)を提供することを含むため、第1のターゲットの個々の構造のSWAはフォーカスに対する感度が低く、第2のターゲットはフォーカスに対する感度が高いSWAを伴う。第2のターゲットは区分されたラインを備えることが可能であり、区分はリソグラフィ装置に対するサブレゾリューションである。
[0079] 図10は、こうした第1及び第2のターゲットを生成するためのレチクル配列を示す。第1のターゲット1000(部分的に図示)は、フォーカスに対する感度が低いSWAを用いて対応するターゲット構造を基板上に生成する構造1010を有する、ラインスペースターゲットを備える。実施形態において、SWAは小さい(すなわち、垂直に近い)。第2のターゲット1020(部分的に図示)は、区分されたライン構造1030を有するラインスペースターゲットを備える。区分されたライン構造1030は、図6の高解像度副構造625と同様であり得る、高解像度副構造1040を備える。第2のターゲット1020は、結果として生じる基板上で露光されたターゲットがフォーカスに依存するSWAを有するものである。
[0080] 第1のターゲット1000及び第2のターゲット1020は、各々、図7に示された応答と同様の、最良のフォーカスオフセットを有するBossung曲線を記述するフォーカスとのターゲットパラメータ応答を有する。この最良のフォーカスオフセットは、ターゲットのうちの1つのみのフォーカス依存SWAの結果として生じる。SWAはフォーカスと共に線形に変動し、これによってBossungピークにおけるシフトを発生させる。この方法によって、(図6に示されたような)非対称ターゲットを、同様のパフォーマンスを有する2つの別々の対称ターゲットに分けることができる。これによって、より効率的なターゲットの選択及びパラメータ値に対するフルピッチの使用が可能になる。
[0081] 最良のフォーカスオフセットを(投影光学系内の非点収差を介するのではなく)レチクル内に導入することの利点は、これによって、オンプロダクト及びオフプロダクトの両方のフォーカス監視が可能になることである。投影光学系における非点収差の要件は、こうした方法がオフプロダクト監視にのみ使用可能であることを意味する。
[0082] 図11は、例示的実施形態に従った、リソグラフィプロセス中にフォーカスパラメータを監視するための方法のステップのフローチャートである。ステップは以下の通りであり、その後、より詳細に説明する。
1100−開始
1110−第1及び第2のターゲットを相対的に最良のフォーカスオフセットでプリントする
1120−第1の測定値を取得するために、第1のターゲットの検査から第1の測定を実行する
1130−第2の測定値を取得するために、第2のターゲットの検査から第2の測定を実行する
1140−第1の測定値と第2の測定値との差から、フォーカスを計算する
1150−後続の露光のためのフォーカス設定において、計算されたフォーカス測定を使用する
1160−終了
[0083] ステップ1110で、第1及び第2のターゲットを既に説明したような相対的に最良のフォーカスオフセットでプリントする。相対的に最良のフォーカスオフセットは、例えば、第1及び第2のターゲットを形成するターゲット形成構造間にレチクル上の相対深度オフセットを介して導入することができる。代替として、相対的に最良のフォーカスオフセットは、リソグラフィシステムの投影光学系内の非点収差を介して導入することができる。更なる代替例として、図10に示されるレチクル配列を使用することができる。2つのターゲット間に相対的に最良のフォーカスオフセットを導入する他の方法も可能であり、本開示の範囲内で想定される。
[0084] ステップ1120で、ターゲットパラメータに関する第1の測定値を取得するために、第1のターゲットの検査から第1の測定が実行される。実施形態において、この第1の測定は、第1のターゲットによって散乱される放射の回折次数のうちの1つの強度(又は関係パラメータ)とすることができる。この第1の測定は、例えば、本明細書で説明するスキャトロメータデバイスのうちのいずれかを使用して取得することができる。本開示の範囲内で、第1の測定は、(スキャトロメータ、走査電子顕微鏡、又は他の好適なデバイスのいずれを使用して取得されたかにかかわらず)CD測定であるか、又は、フォーカスとのBossung曲線関係を有するパラメータの任意の他の測定であることも、企図される。
[0085] ステップ1130で、ターゲットパラメータに関する第2の測定値を取得するために、第2のターゲットの検査から第2の測定が実行される。この第2の測定は、第1の測定と同じ方法を使用して実行されるものとする。第1の測定が、第1のターゲットによって散乱される放射の回折次数のうちの1つの強度(又は関係パラメータ)の測定である場合、第2の測定は、第2のターゲットによって散乱される同じ回折次数の放射の測定であるものとする。回折次数は、1次回折次数又はゼロ次回折次数のいずれかとすることができる。しかしながら、より高次の回折次数も使用可能であり、本開示の範囲内である。2つより多くのターゲットがプリントされる場合、更なる測定が実行可能である。これらの付加的ターゲットは、各々が、第1のターゲット及び/又は第2のターゲットのものとは異なる最良のフォーカスオフセットを備えることができる。
[0086] ステップ1120及びステップ1130は、第1の測定値及び第2の測定値が1回の獲得で取得されるように、単一ステップとして実行可能であることを理解されたい。加えて、2つより多くのターゲットが測定される場合、対応する数の測定値を取得するために、すべてのターゲットを1回の獲得で測定することができる。特定の例において、図5に示されるような測定デバイスを使用して、複数の個々のターゲット(個々の周期構造又は格子)を備える複合ターゲットを測定することができる。複合ターゲットの格子は、すべてがメトロロジ装置の照明ビームによって形成されるイメージフィールド又は測定スポット内にあるように、近接して位置決めすることができる。このようにして、格子はすべて同時に照明すること、及び検出器上に同時にイメージングすることが可能である。次いで、格子の別々のイメージを識別するためにこれらのイメージを処理することが可能である。これはパターン整合技法によって実行可能であるため、イメージをセンサフレーム内の特定のロケーションで非常に精密に位置合わせする必要がない。格子の別々のイメージが識別されると、例えば、識別されたエリア内の選択されたピクセル強度値を平均化又は合計することによって、それらの個々のイメージの強度を測定することができる。別の実施形態において、第1及び第2のターゲットは複合ターゲット内に含まれるが、2回の別々の獲得で別々に測定することができる。
[0087] ステップ1140で、フォーカスは、第1及び第2の測定値から、例えば、第1と第2の測定値の差から計算される。この計算は、数式1又は他の好適な数式或いは方法を使用して実行可能である。
[0088] ステップ1150で、次いで、露光中にフォーカスの精度及び一貫性を維持するために、計算されたフォーカスを、後続のリソグラフィプロセス中にフォーカスパラメータを監視する際に使用することができる。
[0089] 上記の考察は、フォーカスを決定するための方法を説明している。しかしながら、ドーズの測定のための方法も開示される。現行の回折ベースのドーズメトロロジは、パラメータ化されたレジストパターンの回折パターンのシミュレーションに基づくものである。次いで、パラメータは、結果として生じるゼロ次回折効率、特にその角度依存性が測定値に一致するように調整される。CD及びピッチが注目の範囲内にあるライン/スペース(LS)ターゲットが使用される。この方法はCD再構築(CDR)と呼ばれ、パラメータ化されるモデルの正確さに依存する。モデルは必然的に、限定数のパラメータを伴うレジストパターンへの概略的近似である。モデルは、スタックのジオメトリ及び光学パラメータの知識を必要とする。これは一般にプロプライエタリ情報であるため取得が困難であり、不正確な可能性がある。
[0090] したがって、ドーズを決定するためのより単純な方法が提案され、この方法は、逆デューティサイクルのレジストパターンを有するか、又は、オフセット及びスケーリングの両方のクロストークが最小化されるような整合特性を備えるレジストパターンのペアで、第1のラインスペースターゲット及び第2のラインスペースターゲットを形成することを含む。実施形態において、第1及び第2のターゲットは各々同じピッチを有するが、第1のターゲットがラインターゲットである場合、第2のターゲットは、第1のターゲットのラインの幅が第2のターゲットのスペースの幅に等しいような対応するスペースターゲットとなる。図12はこうしたターゲット配列を示し、個々のレジストフィーチャ1210がCDaを有する第1のターゲット1200、及び、個々のレジストフィーチャ1230がCDbを有する第2のターゲット1220を有し、各ターゲットは同じピッチを有する。
[0091] 図13は、ピッチ600nmのラインスペースターゲットについて、1次強度Iに対するレジスト(ライン)CDのグラフ1310を示す。対応するラインアンドスペースターゲット(例えば、150nmのレジストCDを備える第1のターゲット及び450nmのレジストCDを備える第2のターゲット)について測定される強度は、ほぼ同じであるはずであることがわかる。しかしながら、レジストCDはドーズに依存しているため、ドーズの増加は結果としてレジストCDの減少を、及びその逆を生じさせる。したがって、(例えば)ドーズの増加は、第1のターゲット及び第2のターゲットの両方について、レジストCDを減少させることになる。これにより、第1のターゲットについては1次強度の減少を、第2のターゲットについては1次強度の増加を生じさせることになる。したがって第1及び第2のターゲットについてのドーズ感度は、相反することがわかる。したがって、第1及び第2のターゲットについての強度測定の差は、ドーズメトリックとして使用されるターゲットパラメータとすることができる。
[0092] ある範囲までの任意のプロセス変動は、ドーズの変動と同じ効果を有し得ることに留意されたい。露光後ベーク(PEB)及び2次電子ボケ(SEB)の変動などのプロセス変動、並びに、下層反射防止コーティング(BARC)及びレジスト厚みの変動は、レジスト薄膜における露光強度を改変させる。しかしながら、BARC及びレジスト厚みの変動は測定される回折強度に影響を与え、BARC及びレジストが厚いほど、結果として測定される1次強度は増加する。この効果はCDに依存しておらず、結果として生じる強度変化はどちらのターゲットについても等しい。ターゲットが整合する公称1次強度応答を有する場合、2つのターゲットからの測定値の差を取る際に任意のクロストーク誘起信号オフセットは取り消しとなる。任意のクロストーク誘起スケーリングは、信号差及び信号平均について等しくなる。したがって、測定される強度の差及び平均の割合は、プロセスクロストークに対してロバストとなるが、プロセス誘起ドーズ効果を含むドーズに対しては感度が高いことが予測される。
[0093] フォーカスの関数としてドーズ較正曲線を測定することが提案される。実際のドーズは、フォーカスが既知であるものと想定すると、ドーズ較正から推測可能である。フォーカスは、前の回折ベースフォーカス方法(例えば、図6に示される形の構造を使用する)、又は任意の他の好適な方法による、本明細書で開示される方法のいずれかを使用して、決定可能である。
[0094] 第1及び第2のターゲットはラインスペースターゲットとして説明しているが、これらは、好適な1次応答を生成する任意のドーズ依存レジストターゲットを含むことができる。実施形態において、ターゲットとプロダクトの間のプロセス誘起ドーズ効果に応答して、任意の差に対処するための補正を決定することができる。
[0095] 提案された方法は、モデルのシミュレーション又は予測の必要なしにドーズを決定するために、1次強度測定値を使用できるようにする。方法は、ターゲット性能に関するプロセス変動に対する感度を抑制する。ターゲット性能に対する照明及びドーズ条件の影響は小さい。方法は、スキャナドーズ及びドーズ様プロセスに対する感度が高い。1次信号の使用は、より良好なショット雑音性能を有するため、ゼロ次信号を使用するよりも正確である。
[0096] ターゲットは、生成及び測定が簡単であるため、上記ではラインスペース格子ターゲットとして説明している。しかしながらターゲットは、測定可能なターゲットパラメータとフォーカスとの間に結果としてBossung曲線応答を生じさせる任意の構造を備えることができる。例えばターゲットは、「コンタクトホール」を形成する、水平及び垂直のラインスペース格子の組み合わせを含むことができる。こうしたターゲットは、より多くの回折次数をキャプチャすることを可能にし得る。ターゲット配列は、2つより多くのターゲットを備えることができる。したがって、本明細書で説明する方法は、2つより多くのターゲット上で測定を実行することを含むことができる。
[0097] いくつかの環境では、結果としてレチクルフィーチャのあるパラメータに対する制約を生じさせる設計規則が課せられる。こうした設計規則の例は、ラインスペースターゲットについて固定のピッチ及び/又はCDを有する(したがって、ターゲットに課す)設計格子の提供である。本明細書で説明するターゲットの多くがこうした設計規則に違反する可能性がある。
[0098] 特定の例として、設計格子ベースの設計規則は、100nmのターゲットピッチ及び40nmのCDを課すことが可能であり、すなわち、ラインは40nmのCDを伴い、ラインスペース格子の方向に100nmのピッチを有する格子上でのみ、形成可能である。しかしながら、ターゲットは、1次信号が検出及び測定可能なように、実際には600nmのピッチを有することが望ましい場合がある。こうしたラインタイプのターゲットは、1本又は2本のこうしたラインを、こうした格子の列内の対応する格子ロケーション上に提供することによって取得可能であることが提案される。したがって、各格子は単一のターゲットフィーチャを画定することになる。類似のスペースタイプのターゲットは、4本又は5本のこうしたラインを、こうした格子の列内の対応する格子ロケーション上に提供することによって取得可能である。
[0099] これらのパターンが、設計された寸法及び十分に大きなフォーカス深度を基板上で有するように、これらのパターンをイメージングすることが望ましい。したがってラインは(例えば、光近接効果補正方法と同様に)バイアスされ、(例えば、20nmの)アシストフィーチャを各格子上の空きロケーションに任意選択で配置することができる。このようにして、ターゲットをより安定させ、(例えば、SWAに関して)より対称にすることができる。
[00100] 図14(a)から図14(f)は、各々、格子に基づく可能なターゲットフィーチャの例を含む。特に、以前に与えられた例のように、CDが40nmであり、格子ピッチが100nmの場合、図14(e)の2ライン例及び図14(c)の4ライン例は、それぞれ、約100〜150nmのCD及び600nmのピッチを有するラインターゲット及びスペースターゲットについて、ターゲットフィーチャをエミュレートする。
[00101] リソグラフィプロセスを使用してデバイスを製造する方法は、本明細書で開示されるような検査装置を提供すること、それを使用してリソグラフィプロセスの性能のパラメータを測定するために処理された基板を測定すること、及び、後続の基板を処理するためにリソグラフィプロセスの性能を向上又は維持するように、プロセスのパラメータ(特にフォーカス)を調整することによって、改良することが可能である。
[00102] 上記の例で使用される特定のパラメータのみが定義可能なパラメータでないことを理解されたい。メトロロジに使用されるリソグラフィ装置及び検査装置の制限に従い、実際の設計プロセスにおいて追加及び/又は代替のパラメータが使用可能である。前述のターゲット構造は、測定の目的で特別に設計及び形成されたメトロロジターゲットであるが、他の実施形態では、基板上に形成されるデバイスの機能部分であるターゲットに関する特性を測定することができる。多くのデバイスは規則的な格子状構造を有する。本明細書で使用される「ターゲット格子」及び「ターゲット構造」という用語は、実行される測定用に構造が特別に提供されていることを必要としない。
[00103] 基板及びパターニングデバイス上で実現されるターゲットの物理的格子構造に関連して、実施形態は、メトロロジレシピを設計し、照明モード及びそれらのメトロロジレシピの他の態様を実装するために検査装置を制御する方法を記述する、機械可読命令の1つ以上のシーケンスを含む、コンピュータプログラムを含むことができる。このコンピュータプログラムは、例えば、設計/制御プロセスに採用される別のコンピュータシステム内で実行可能である。代替として、設計プロセスは、図3、図4、又は図5の装置におけるユニットPU及び/又は図2の制御ユニットLACU内で、全体的又は部分的に実行可能である。こうしたコンピュータプログラムが内部に記憶されたデータ記憶媒体(例えば、半導体メモリ、磁気又は光ディスク)も提供可能である。
[00104] 本発明に従った更なる実施形態が、以下の番号付けされた項目に提供される。
1.リソグラフィプロセス中にフォーカスパラメータを監視する方法であって、
第1の測定値を獲得することであって、前記第1の測定値は第1のターゲットの検査から取得されたものであること、
第2の測定値を獲得することであって、前記第2の測定値は第2のターゲットの検査から取得されたものであり、前記第1のターゲット及び前記第2のターゲットは相対的に最良のフォーカスオフセットを用いて露光されていること、及び、
前記第1の測定値及び前記第2の測定値からフォーカスパラメータを決定すること、
を含む、方法。
2.前記第1の測定値は前記第1のターゲットから散乱される放射の第1の測定から取得されたものであり、前記第2の測定値は前記第2のターゲットから散乱される放射の第2の測定から取得されたものである、条項1に記載の方法。
3.前記第1の測定は、前記第1のターゲットから散乱される放射の回折次数の強度測定であり、前記第2の測定は、前記第2のターゲットから散乱される放射の対応する回折次数の強度測定である、条項2に記載の方法。
4.前記回折次数はゼロ次回折次数である、条項3に記載の方法。
5.前記回折次数は非ゼロ次回折次数である、条項3に記載の方法。
6.前記第1の測定値を取得するために前記第1の測定を実行するステップと、前記第2の測定値を取得するために前記第2の測定を実行するステップと、を含む、条項1から5のいずれかに記載の方法。
7.前記第1の測定値及び前記第2の測定値を取得するために、少なくとも前記第1のターゲット及び前記第2のターゲットを単一の測定において検査することを含む、条項1から5のいずれかに記載の方法。
8.前記フォーカスパラメータを決定する前記ステップが、前記第1の測定値と前記第2の測定値との差から前記フォーカスパラメータを決定することを含む、条項1から7のいずれかに記載の方法。
9.前記第1の測定値と前記第2の測定値との前記差が、前記第1の測定値及び前記第2の測定値の平均によって除算される、条項8に記載の方法。
10.フォーカスに伴う前記第1の測定値の変動及びフォーカスに伴う前記第2の測定値の変動は、各々、相対的なフォーカスオフセットを有する対応するBossung曲線を定義し、フォーカスに伴う前記第1の測定値と前記第2の測定値の前記差の前記変動は、実質的に線形である、条項8又は9に記載の方法。
11.フォーカスに伴う前記第1の測定値と前記第2の測定値との前記差の前記変動は、前記Bossung曲線の湾曲及び相対的に最良のフォーカスオフセットに依存する勾配を有するラインによって定義される、条項10に記載の方法。
12.前記相対的に最良のフォーカスオフセットは、前記第1のターゲット及び第2のターゲットの形成中に、リソグラフィ装置における非点収差の結果として生じる、条項1から11のいずれかに記載の方法。
13.前記非点収差は、結果として水平構造と垂直構造との間に相対的に最良のフォーカスオフセットを生じさせ、前記第1のターゲットは実質的に水平の構造を備え、前記第2のターゲットは実質的に垂直の構造を備える、条項12に記載の方法。
14.前記相対的に最良のフォーカスオフセットは、前記第1のターゲット及び第2のターゲットを定義するパターニングデバイスの結果である、条項1から12のいずれかに記載の方法。
15.前記第1のターゲット及び第2のターゲットを定義する前記パターニングデバイス内に、パターンの深さにおけるオフセットが存在する、条項14に記載の方法。
16.前記第1のターゲット又は前記第2のターゲットのうちの一方を定義するための前記パターンのうちの1つは、前記第1のターゲット又は前記第2のターゲットのうちの他方と比較した場合、前記ターゲットの平面に対して垂直な方向により高いラインフィーチャを備える、条項14又は15に記載の方法。
17.アブソーバ材料の少なくとも1つの追加の層を有する、条項16に記載の方法。
18.前記追加の層は、金属、ケイ化モリブデン、又はタンタル窒化ホウ素のうちの1つを含む、条項17に記載の方法。
19.前記追加の層はアブソーバ材料の追加のスタックを備える、条項17に記載の方法。
20.前記第1のターゲットは、実質的にフォーカスに依存しない側壁角を有するラインフィーチャを備え、前記第2のターゲットはフォーカスに依存する側壁角を有するラインフィーチャを備える、条項14に記載の方法。
21.前記第1のターゲット及び前記第2のターゲットの各々はラインスペース格子構造を備え、前記第2のターゲットを定義する前記パターニングデバイス上の前記パターンは区分されたラインフィーチャを備え、各区分されたラインフィーチャは、前記リソグラフィプロセスのイメージング解像度を下回るピッチを有する、条項20に記載の方法。
22.前記第1のターゲット及び前記第2のターゲットを、前記相対的に最良のフォーカスオフセットを伴う基板上に形成することを含む、条項1から21のいずれかに記載の方法。
23.前記第1のターゲット及び前記第2のターゲットは、各々ラインスペース格子構造を備える、条項1から22のいずれかに記載の方法。
24.設計規則は、ターゲットフィーチャを、設定されたクリティカルディメンション及び設定されたピッチの格子上に制約し、前記第1のターゲット及び前記第2のターゲットは各々、前記第1のターゲット及び前記第2のターゲットの各ラインフィーチャが、前記格子上に形成されたターゲットフィーチャに対応する1つ又は複数の隣接から形成されるように、前記格子の列から形成される、条項1から23のいずれかに記載の方法。
25.前記第1のターゲット及び前記第2のターゲットを定義するパターンは、前記第1のターゲット及び前記第2のターゲットにおいてフォーカスに依存する非対称を意図的に導入するフィーチャを含まない、条項1から24のいずれかに記載の方法。
26.追加のターゲットの追加の測定を行うことであり、前記追加のターゲットは前記第1のターゲット及び前記第2のターゲットに追加され、前記追加のターゲットの各々は、前記第1のターゲット及び/又は前記第2のターゲットのものとは異なる最良のフォーカスを有すること、及び、
前記フォーカスパラメータを決定する前記ステップにおいて、前記追加の測定を使用すること、
を含む、条項1から25のいずれかに記載の方法。
27.リソグラフィプロセス中にドーズパラメータを監視する方法であって、
第1の測定値を獲得することであって、前記第1の測定値は第1のターゲットの検査から取得されたものであること、
第2の測定値を獲得することであって、前記第2の測定値は第2のターゲットの検査から取得されたものであること、及び、
前記第1の測定値及び前記第2の測定値から前記ドーズパラメータを決定すること、
を含み、
前記第1及び第2のターゲットは、同一ピッチ及び逆デューティサイクルを有する対応するラインアンドスペースターゲットを備える、
方法。
28.前記ドーズパラメータを決定する前記ステップは、前記第1の測定値と前記第2の測定値との差から前記ドーズパラメータを決定することを含む、条項26又は27に記載の方法。
29.前記ドーズパラメータを決定する前記ステップは、
前記リソグラフィプロセスのフォーカスパラメータを決定すること、及び、
前記決定されたフォーカスパラメータに対応するドーズ較正曲線を参照すること、
を含む、条項26から28のいずれかに記載の方法。
30.前記ドーズパラメータを決定する前記ステップは、条項1から26のいずれかの方法を実行することを含む、条項29に記載の方法。
31.リソグラフィプロセスのパラメータを測定するためのメトロロジ装置であって、条項1から30のいずれかの方法を実行するように動作可能である、メトロロジ装置。
32.複数のターゲットをその上に有する前記基板のための支持体と、
各ターゲットを測定するための光学システムと、
プロセッサと、
を備える、条項31に記載のメトロロジ装置。
33.リソグラフィ装置を備えるリソグラフィシステムであって、
前記リソグラフィ装置は、
パターンを照明するように配列された照明光学システムと、
前記パターンのイメージを基板上に投影するように配列された投影光学システムと、
条項31又は32に記載のメトロロジ装置と、
を備え、
前記リソグラフィ装置は、前記パターンを更なる基板に印加する際に、前記メトロロジ装置によって計算された前記決定されたフォーカスパラメータ及び/又はドーズパラメータを使用するように配列される、
リソグラフィシステム。
34.好適なプロセッサ制御装置上で実行される時に、前記プロセッサ制御装置に条項1から26のいずれか一項の方法を実行させるプロセッサ可読命令を備える、コンピュータプログラム。
35.条項34の前記コンピュータプログラムを備える、コンピュータプログラムキャリア。
36.デバイスパターンがリソグラフィプロセスを使用して一連の基板に印加される、デバイスを製造する方法であって、
前記フォーカスパラメータを監視するために条項1から26のいずれかの方法を使用すること、及び、
前記決定されたフォーカスパラメータに従って、その後の基板に対する前記リソグラフィプロセスを制御すること、
を含む、方法。
37.デバイスパターンがリソグラフィプロセスを使用して一連の基板に印加される、デバイスを製造する方法であって、
前記ドーズパラメータを監視するために条項27から30のいずれかの方法を使用すること、及び、
前記決定されたドーズパラメータに従って、その後の基板に対する前記リソグラフィプロセスを制御すること、
を含む、方法。
38.所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスであって、前記パターニングデバイスは、前記リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、前記リソグラフィプロセス中に前記基板上に第2のターゲットを形成するための第2のフィーチャを備え、前記第1のターゲット及び前記第2のターゲットが相対的に最良のフォーカスオフセットを有するように、前記第2のフィーチャは、前記ターゲットの平面に対して垂直の方向に前記第1のフィーチャよりも高い、パターニングデバイス。
39.前記第1のフィーチャ及び第2のフィーチャはレチクル基板上に堆積され、前記第2のフィーチャは、前記ターゲットの前記平面に対して垂直の方向に、前記第1のフィーチャが堆積された前記レチクル基板のセクションと比較して異なるレベルまでエッチングされた前記レチクル基板のセクション上に堆積される、条項38に記載のパターニングデバイス。
40.前記第2のフィーチャは前記第1のフィーチャよりも多い1つ以上の追加の層を備える、条項38又は39に記載のパターニングデバイス。
41.前記1つ以上の追加の層はアブソーバ材料の層を備える、条項40に記載のパターニングデバイス。
42.前記アブソーバ材料の追加の層は、金属、ケイ化モリブデン、又はタンタル窒化ホウ素のうちの1つを含む、条項41に記載のパターニングデバイス。
43.前記1つ以上の追加の層は前記アブソーバ材料の追加のスタックを備え、前記アブソーバ材料の追加のスタックは、アブソーバ材料の第1の層及びアブソーバ材料の第2の層を備える、条項40に記載のパターニングデバイス。
44.前記アブソーバ材料の第1の層はケイ化モリブデン又はタンタル窒化ホウ素を含み、前記アブソーバ材料の第2の層は金属を含む、条項43に記載のパターニングデバイス。
45.所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスであって、前記パターニングデバイスは、前記リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、前記リソグラフィプロセス中に前記基板上に第2のターゲットを形成するための第2のフィーチャを備え、前記第1のフィーチャは、実質的にフォーカスに依存しない側壁角を有するラインフィーチャを用いて前記第1のターゲットを形成するように構成され、前記第2のフィーチャは、フォーカスに依存する側壁角を有するラインフィーチャを用いて前記第2のターゲットを形成するように構成される、パターニングデバイス。
46.前記第1のターゲット及び前記第2のターゲットの各々はラインスペース格子構造を備え、前記第2のフィーチャは区分されたラインフィーチャを備え、各区分されたラインフィーチャは前記リソグラフィプロセスの前記イメージング解像度を下回るピッチを有する、条項45に記載のパターニングデバイス。
47.所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスであって、前記パターニングデバイスは、前記リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、前記リソグラフィプロセス中に前記基板上に第2のターゲットを形成するための第2のフィーチャを備え、設計規則は、ターゲットフィーチャを、設定されたクリティカルディメンション及び設定されたピッチの格子上に制約し、前記第1のフィーチャ及び前記第2のフィーチャの各々が、前記格子上に形成されたターゲットフィーチャに対応する1つ又は複数の隣接から形成されるように、前記第1のターゲット及び前記第2のターゲットは各々前記格子の列から形成される、パターニングデバイス。
[00105] 本明細書で使用する「放射」及び「ビーム」という用語は、イオンビーム又は電子ビームなどの粒子ビームのみならず、紫外線(UV)放射(例えば、365nm、355nm、248nm、193nm、157nm若しくは126nm、又はこれら辺りの波長を有する)及び極端紫外光(EUV)放射(例えば、5nm〜20nmの範囲の波長を有する)を含むあらゆるタイプの電磁放射を網羅する。
[00106] 「レンズ」という用語は、状況が許せば、屈折、反射、磁気、電磁気及び静電気光学コンポーネントを含む様々なタイプの光学コンポーネントのいずれか一つ、又はその組み合わせを指すことができる。
[00107] 特定の実施形態に関する以上の説明は、本発明の全体的性質を十分に明らかにしているので、当技術分野の知識を適用することにより、過度の実験をせず、本発明の全体的概念から逸脱することなく、このような特定の実施形態を容易に修正する、及び/又はこれらを様々な用途に適応させることができる。したがって、このような適応及び修正は、本明細書に提示された教示及び案内に基づき、開示された実施形態の同等物の意味及び範囲内に入るものとする。本明細書の言葉遣い又は用語は説明のためのもので、限定するものではなく、したがって本明細書の用語又は言葉遣いは、当業者には教示及び案内の観点から解釈されるべきことを理解されたい。
[00108] 本発明の幅及び範囲は、上記の例示的な実施形態のいずれかによって限定されるべきではなく、添付の特許請求の範囲及びそれらの等価物に従ってのみ規定されるべきである。

Claims (15)

  1. リソグラフィプロセス中にフォーカスパラメータを監視する方法であって、
    第1の測定値を獲得することであって、前記第1の測定値は第1のターゲットの検査から取得されたものであること、
    第2の測定値を獲得することであって、前記第2の測定値は第2のターゲットの検査から取得されたものであり、前記第1のターゲット及び前記第2のターゲットは相対的に最良のフォーカスオフセットを用いて露光されていること、及び
    前記第1の測定値及び前記第2の測定値からフォーカスパラメータを決定すること、
    を含む、方法。
  2. 前記フォーカスパラメータを決定する前記ステップが、前記第1の測定値と前記第2の測定値との差から前記フォーカスパラメータを決定することを含む、請求項1に記載の方法。
  3. 前記相対的に最良のフォーカスオフセットは、前記第1のターゲット及び第2のターゲットの形成中に、リソグラフィ装置における非点収差の結果として生じる、請求項1又は2に記載の方法。
  4. 前記第1のターゲット及び前記第2のターゲットを、前記相対的に最良のフォーカスオフセットを伴う基板上に形成することを含む、請求項1から3のいずれか一項に記載の方法。
  5. 前記第1のターゲット及び前記第2のターゲットは、各々ラインスペース格子構造を備える、請求項1から4のいずれか一項に記載の方法。
  6. 追加のターゲットの追加の測定を行うことであって、前記追加のターゲットは前記第1のターゲット及び前記第2のターゲットに追加され、前記追加のターゲットの各々は、前記第1のターゲット及び/又は前記第2のターゲットのものとは異なる最良のフォーカスを有すること、及び、
    前記フォーカスパラメータを決定する前記ステップにおいて、前記追加の測定を使用すること、
    を含む、請求項1から5のいずれか一項に記載の方法。
  7. リソグラフィプロセス中にドーズパラメータを監視する方法であって、
    第1の測定値を獲得することであって、前記第1の測定値は第1のターゲットの検査から取得されたものであること、
    第2の測定値を獲得することであって、前記第2の測定値は第2のターゲットの検査から取得されたものであること、及び
    前記第1の測定値及び前記第2の測定値から前記ドーズパラメータを決定すること、を含み、
    前記第1及び第2のターゲットは、同一ピッチ及び逆デューティサイクルを有する対応するラインアンドスペースターゲットを備える、方法。
  8. リソグラフィプロセスのパラメータを測定するためのメトロロジ装置であって、
    請求項1から7のいずれか一項の方法を実行するように動作可能である、メトロロジ装置。
  9. 複数のターゲットをその上に有する前記基板のための支持体と、
    各ターゲットを測定するための光学システムと、
    プロセッサと、
    を備える、請求項8に記載のメトロロジ装置。
  10. リソグラフィ装置を備えるリソグラフィシステムであって、
    前記リソグラフィ装置は、
    パターンを照明するように配列された照明光学システムと、
    前記パターンのイメージを基板上に投影するように配列された投影光学システムと、
    請求項8又は9に記載のメトロロジ装置と、を備え、
    前記リソグラフィ装置は、前記パターンを更なる基板に印加する際に、前記メトロロジ装置によって計算された前記決定されたフォーカスパラメータ及び/又はドーズパラメータを使用するように配列される、リソグラフィシステム。
  11. 好適なプロセッサ制御装置上で実行される時に、前記プロセッサ制御装置に請求項1から6のいずれか一項の方法を実行させるプロセッサ可読命令を備える、コンピュータプログラム。
  12. デバイスパターンがリソグラフィプロセスを使用して一連の基板に印加される、デバイスを製造する方法であって、
    前記フォーカスパラメータを監視するために請求項1から6のいずれか一項の方法を使用すること、及び/又は、前記ドーズパラメータを監視するために請求項7に記載の方法を使用すること、及び、
    前記決定されたフォーカスパラメータに従って、その後の基板に対する前記リソグラフィプロセスを制御すること、
    を含む、方法。
  13. 所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスであって、
    前記リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、前記リソグラフィプロセス中に前記基板上に第2のターゲットを形成するための第2のフィーチャを備え、
    前記第1のターゲット及び前記第2のターゲットが相対的に最良のフォーカスオフセットを有するように、前記第2のフィーチャは、前記ターゲットの平面に対して垂直の方向に前記第1のフィーチャよりも高い、パターニングデバイス。
  14. 所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスであって、
    前記リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、前記リソグラフィプロセス中に前記基板上に第2のターゲットを形成するための第2のフィーチャを備え、
    前記第1のフィーチャは、実質的にフォーカスに依存しない側壁角を有するラインフィーチャを用いて前記第1のターゲットを形成するように構成され、
    前記第2のフィーチャは、フォーカスに依存する側壁角を有するラインフィーチャを用いて前記第2のターゲットを形成するように構成される、パターニングデバイス。
  15. 所望のパターンに従ってリソグラフィプロセスにおいて放射のビームにパターン付与するように構成されたパターニングデバイスであって、
    前記リソグラフィプロセス中に基板上に第1のターゲットを形成するための第1のフィーチャ、及び、前記リソグラフィプロセス中に前記基板上に第2のターゲットを形成するための第2のフィーチャを備え、
    設計規則は、ターゲットフィーチャを、設定されたクリティカルディメンション及び設定されたピッチの格子上に制約し、
    前記第1のフィーチャ及び前記第2のフィーチャの各々が、前記格子上に形成されたターゲットフィーチャに対応する1つ又は複数の隣接から形成されるように、前記第1のターゲット及び前記第2のターゲットは各々前記格子の列から形成される、パターニングデバイス。
JP2017561617A 2015-06-12 2016-05-31 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法 Active JP6618551B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP15171970 2015-06-12
EP15171970.5 2015-06-12
PCT/EP2016/062259 WO2016198283A1 (en) 2015-06-12 2016-05-31 Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method

Publications (2)

Publication Number Publication Date
JP2018517177A true JP2018517177A (ja) 2018-06-28
JP6618551B2 JP6618551B2 (ja) 2019-12-11

Family

ID=53397926

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017561617A Active JP6618551B2 (ja) 2015-06-12 2016-05-31 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法

Country Status (8)

Country Link
US (2) US10054862B2 (ja)
JP (1) JP6618551B2 (ja)
KR (1) KR102066588B1 (ja)
CN (1) CN107710073B (ja)
IL (2) IL256114B2 (ja)
NL (1) NL2016864A (ja)
TW (1) TWI635369B (ja)
WO (1) WO2016198283A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
CN106662823B (zh) 2014-06-30 2018-10-19 Asml荷兰有限公司 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
US10649342B2 (en) 2016-07-11 2020-05-12 Asml Netherlands B.V. Method and apparatus for determining a fingerprint of a performance parameter
EP3336606A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
NL2020323B1 (en) * 2017-02-20 2018-12-21 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
EP3376290A1 (en) 2017-03-14 2018-09-19 ASML Netherlands B.V. Metrology method and method of device manufacture
WO2018206199A1 (en) * 2017-05-09 2018-11-15 Asml Netherlands B.V. Method of determining a focus of a projection system, device manufacturing method, and apparatus for determining a focus of a projection system
EP3447580A1 (en) 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
IL275045B2 (en) * 2017-12-04 2024-03-01 Asml Netherlands Bv A measuring method, a patterning device and a method for producing a device
EP3492984A1 (en) * 2017-12-04 2019-06-05 ASML Netherlands B.V. Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
EP3598235A1 (en) 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
DE102019009170B3 (de) 2018-09-28 2023-06-22 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum durchführen eines lithografieprozesses und lithografieprozess-überwachungsverfahren
US10962892B2 (en) * 2018-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process monitoring method
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
US11733615B2 (en) 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US10761032B1 (en) * 2019-02-26 2020-09-01 Bwxt Nuclear Operations Group, Inc. Apparatus and method for inspection of a film on a substrate
KR20220149259A (ko) 2021-04-30 2022-11-08 황수미 공공시설용 점자입력기
JP2023091485A (ja) * 2021-12-20 2023-06-30 キヤノン株式会社 検出装置、リソグラフィ装置、および物品の製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243291A (ja) * 2002-02-18 2003-08-29 Hitachi High-Technologies Corp 露光条件監視方法およびその装置並びに半導体デバイスの製造方法
US20060024850A1 (en) * 2004-07-22 2006-02-02 Kevin Monahan Test structures and methods for monitoring or controlling a semiconductor fabrication process
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
JP2009260344A (ja) * 2008-04-16 2009-11-05 Asml Netherlands Bv リソグラフィ投影装置を測定する方法
US20090284722A1 (en) * 2008-05-19 2009-11-19 International Business Machines Corporation Method for monitoring focus on an integrated wafer
WO2014082938A1 (en) * 2012-11-30 2014-06-05 Asml Netherlands B.V. Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method
JP2014529903A (ja) * 2011-08-31 2014-11-13 エーエスエムエル ネザーランズ ビー.ブイ. 焦点補正を決定する方法、リソグラフィ処理セル及びデバイス製造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6414326B1 (en) * 1999-08-31 2002-07-02 Advanced Micro Devices, Inc. Technique to separate dose-induced vs. focus-induced CD or linewidth variation
US6440616B1 (en) 1999-09-28 2002-08-27 Kabushiki Kaisha Toshiba Mask and method for focus monitoring
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
US6429930B1 (en) 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
JP3906035B2 (ja) * 2001-03-29 2007-04-18 株式会社東芝 半導体製造装置の制御方法
US7119893B2 (en) 2003-04-10 2006-10-10 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
JP3848332B2 (ja) * 2003-08-29 2006-11-22 キヤノン株式会社 露光方法及びデバイス製造方法
JP4588368B2 (ja) * 2004-06-15 2010-12-01 富士通セミコンダクター株式会社 露光計測方法及び装置、並びに半導体装置の製造方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
DE102005009554B4 (de) 2005-03-02 2010-04-01 Qimonda Ag Verfahren zur Fokuskorrektur eines Belichtungsgeräts bei der lithographischen Projektion und Verfahren zur Auswertung von Messergebnissen eines Messgeräts für die Fokuskorrektur eines Belichtungsgeräts in einer Halbleiterfertigungsanlage
US20060234137A1 (en) 2005-04-15 2006-10-19 Samsung Electronics Co., Ltd. Photomask structures providing improved photolithographic process windows and methods of manufacturing same
CN101258442B (zh) 2005-09-06 2012-02-15 富士通半导体股份有限公司 图案复制掩模、焦距变动测定方法及装置、半导体器件的制造方法
EP2005222A4 (en) * 2006-04-03 2010-07-28 COMPARED TO IMMERSION LIQUIDS SOLVOPHOBE INSERTION SURFACES AND OPTICAL WINDOWS
US8198118B2 (en) * 2006-10-31 2012-06-12 Taiwan Semiconductor Manufacturing Co. Method for forming a robust mask with reduced light scattering
KR100945921B1 (ko) * 2007-05-11 2010-03-05 주식회사 하이닉스반도체 반도체 소자의 포토마스크 형성방법
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US7820458B2 (en) 2008-02-13 2010-10-26 Infineon Technologies Ag Test structures and methods
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US20130189724A1 (en) 2009-09-01 2013-07-25 C-Tech Llc Use of an adaptive chemically reactive plasma for production of microbial derived materials
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
JP6033890B2 (ja) * 2012-02-21 2016-11-30 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
JP6077647B2 (ja) 2012-05-29 2017-02-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
US9454072B2 (en) 2012-11-09 2016-09-27 Kla-Tencor Corporation Method and system for providing a target design displaying high sensitivity to scanner focus change
WO2015090839A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243291A (ja) * 2002-02-18 2003-08-29 Hitachi High-Technologies Corp 露光条件監視方法およびその装置並びに半導体デバイスの製造方法
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
US20060024850A1 (en) * 2004-07-22 2006-02-02 Kevin Monahan Test structures and methods for monitoring or controlling a semiconductor fabrication process
JP2009260344A (ja) * 2008-04-16 2009-11-05 Asml Netherlands Bv リソグラフィ投影装置を測定する方法
US20090284722A1 (en) * 2008-05-19 2009-11-19 International Business Machines Corporation Method for monitoring focus on an integrated wafer
JP2014529903A (ja) * 2011-08-31 2014-11-13 エーエスエムエル ネザーランズ ビー.ブイ. 焦点補正を決定する方法、リソグラフィ処理セル及びデバイス製造方法
WO2014082938A1 (en) * 2012-11-30 2014-06-05 Asml Netherlands B.V. Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
T. YAMAMOTO: "In-line-focus monitoring technique using lens aberration effect", PROC. SPIE, METROLOGY, INSPECTION, AND PROCESS CONTROL FOR MICROLITHOGRAPHY XIX, vol. 5752, JPN7018004132, 10 May 2005 (2005-05-10), pages 1 - 8, ISSN: 0003933228 *

Also Published As

Publication number Publication date
US20180046091A1 (en) 2018-02-15
IL256114B2 (en) 2023-10-01
NL2016864A (en) 2016-12-12
US10054862B2 (en) 2018-08-21
IL302339B1 (en) 2024-03-01
TWI635369B (zh) 2018-09-11
US20160363871A1 (en) 2016-12-15
JP6618551B2 (ja) 2019-12-11
WO2016198283A1 (en) 2016-12-15
CN107710073A (zh) 2018-02-16
KR102066588B1 (ko) 2020-01-15
TW201708972A (zh) 2017-03-01
IL256114A (en) 2018-02-28
KR20180016589A (ko) 2018-02-14
IL302339A (en) 2023-06-01
CN107710073B (zh) 2021-04-30
IL256114B1 (en) 2023-06-01

Similar Documents

Publication Publication Date Title
JP6618551B2 (ja) 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
US10379445B2 (en) Metrology method, target and substrate
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US20170206649A1 (en) Method of Measuring a Property of a Target Structure, Inspection Apparatus, Lithographic System and Device Manufacturing Method
US10001710B2 (en) Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
JP6626208B2 (ja) リソグラフィ装置の焦点性能を測定するための方法、パターニングデバイス、計測装置、リソグラフィシステム、コンピュータプログラムおよびデバイス製造方法
KR102438502B1 (ko) 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
TW201819889A (zh) 檢測基板之方法、度量衡設備及微影系統
US12007697B2 (en) Method for process metrology
TWI752647B (zh) 用於推斷例如聚焦之處理參數之方法與相關聯之設備及製造方法
NL2020323B1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
US11733615B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP4187321A1 (en) Metrology method and associated metrology tool
TW202221412A (zh) 用於測量微影裝置之聚焦性能的方法、圖案化器件及裝置以及器件製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180118

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180118

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190925

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191016

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191112

R150 Certificate of patent or registration of utility model

Ref document number: 6618551

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250