KR20180016589A - 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법 - Google Patents

검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법 Download PDF

Info

Publication number
KR20180016589A
KR20180016589A KR1020187001052A KR20187001052A KR20180016589A KR 20180016589 A KR20180016589 A KR 20180016589A KR 1020187001052 A KR1020187001052 A KR 1020187001052A KR 20187001052 A KR20187001052 A KR 20187001052A KR 20180016589 A KR20180016589 A KR 20180016589A
Authority
KR
South Korea
Prior art keywords
target
focus
features
targets
measurement value
Prior art date
Application number
KR1020187001052A
Other languages
English (en)
Other versions
KR102066588B1 (ko
Inventor
안톤 베른하르트 반 오스텐
폴 크리스티안 힌넨
로베르투스 코르넬리스 마르티너스 데 그루이프
로버트 존 소샤
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180016589A publication Critical patent/KR20180016589A/ko
Application granted granted Critical
Publication of KR102066588B1 publication Critical patent/KR102066588B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Thermistors And Varistors (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

리소그래피 공정 동안 포커스 파라미터를 모니터링하는 방법이 개시된다. 상기 방법은 제 1 및 제 2 타겟들의 각각 제 1 및 제 2 측정들을 획득하는 단계를 포함하고, 제 1 및 제 2 타겟들은 상대적인 최적 포커스 오프셋으로 노광된다. 그 후, 상기 방법은 제 1 및 제 2 측정들로부터 포커스 파라미터를 결정하는 단계를 포함한다. 또한, 대응하는 측정 및 리소그래피 장치, 컴퓨터 프로그램 및 디바이스들을 제조하는 방법이 개시된다.

Description

검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
본 출원은 2015년 6월 12일에 출원된 EP 출원 15171970.5의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은, 예를 들어 리소그래피 기술들에 의한 디바이스들의 제조 시에 메트롤로지를 수행하는 데 이용가능한 검사 장치 및 방법들에 관한 것이다. 또한, 본 발명은 리소그래피 공정 시 포커스 및/또는 도즈 파라미터를 모니터링하는 방법들에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증(verification)을 위해, 흔히 생성된 구조체들의 측정들을 수행하는 것이 바람직하다. 이러한 측정들을 수행하는 다양한 툴들이 알려져 있으며, 임계 치수(CD)를 측정하는 데 흔히 사용되는 스캐닝 전자 현미경 및 오버레이, 즉 디바이스 내의 두 층들의 정렬 정확성을 측정하는 특수 툴들을 포함한다. 최근에는, 리소그래피 분야에서의 사용을 위해 다양한 형태의 스케터로미터(scatterometer)들이 개발되었다. 이 디바이스들은 타겟 상으로 방사선 빔을 지향하고, 산란된 방사선의 1 이상의 속성 - 예를 들어, 파장의 함수로서 단일 반사 각도에서의 세기; 반사된 각도의 함수로서 1 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서 편광(polarization) - 을 측정하여, 타겟의 관심 속성(property of interest)이 결정될 수 있는 회절 "스펙트럼"을 얻는다.
알려진 스케터로미터들의 예시들은 US2006033921A1 및 US2010201963A1에서 설명된 타입의 각도-분해 스케터로미터(angle-resolved scatterometer)들을 포함한다. 이러한 스케터로미터들에 의해 사용되는 타겟들은 비교적 큰, 예를 들어 40㎛×40㎛ 격자들이며, 측정 빔은 격자보다 작은 스폿을 발생시킨다[즉, 격자가 언더필링(underfill)됨]. 다크 필드 이미징 메트롤로지(dark field imaging metrology)의 예시들은 국제 특허 출원 US20100328655A1 및 US2011069292A1에서 찾아볼 수 있으며, 이 문서들은 본 명세서에서 그 전문이 인용참조된다. 기술의 추가 개발들이 특허 공개공보 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A 및 WO2013178422A1에서 설명되었다. 이 타겟들은 조명 스폿보다 작을 수 있고, 웨이퍼 상의 제품 구조체(product structure)들에 의해 둘러싸일 수 있다. 다수 격자들이 복합(composite) 격자 타겟을 이용하여 하나의 이미지에서 측정될 수 있다. 또한, 이 모든 출원들의 내용들은 본 명세서에서 인용참조된다.
모니터링을 필요로 하는 리소그래피 공정의 한가지 중요한 파라미터는 포커스이다. IC 내에 계속 증가하는 많은 전자 구성요소들을 집적하려는 바람이 존재한다. 이를 실현하기 위해, 구성요소들의 크기를 감소시키고, 이에 따라 점점 더 작은 세부사항들 또는 라인 폭들이 기판의 타겟부 상에 투영될 수 있도록 투영 시스템의 분해능(resolution)을 증가시킬 필요가 있다. 리소그래피에서의 임계 치수(CD)가 줄어듦에 따라, 기판에 걸친 포커스 및 기판들 간의 포커스의 일관성(consistency)이 점점 중요해진다. CD는 피처 또는 피처들의 치수(예컨대, 트랜지스터의 게이트 폭)이며, 이에 대한 변동들이 피처의 물리적 속성들에 있어서 바람직하지 않은 변동을 야기할 것이다. 통상적으로, "센드-어헤드 웨이퍼들(send-ahead wafers)", 즉 생산 가동에 앞서 노광, 현상 및 측정되는 기판들에 의해 최적 세팅들이 결정되었다. 센드-어헤드 웨이퍼들에서는, 테스트 구조체들이 소위 포커스-에너지 매트릭스(FEM)에서 노광되었고, 그 테스트 구조체들의 검사로부터 최적 포커스 및 에너지 세팅들이 결정되었다.
현재의 테스트 구조체 디자인들 및 포커스 측정 방법들은 많은 단점들을 갖는다. 많은 테스트 구조체들이 분해능 이하(subresolution) 피처들 또는 큰 피치들을 갖는 격자 구조체들을 필요로 한다. 이러한 구조체들은 리소그래피 장치들의 사용자들의 디자인 규칙들을 위반할 수 있다. 포커스 측정 기술들은 특수한, 포커스 의존적 타겟 구조체들에 의해 산란되는 반대의 고차(예를 들어, 1차) 방사선에서의 비대칭을 측정하는 것, 및 이 비대칭으로부터 포커스를 결정하는 것을 포함할 수 있다. EUV 리소그래피에 대해서는, 레지스트 두께 및 이에 따른 타겟 구조체들의 두께가 더 얇다(예를 들어, 절반 두께). 그러므로, 포커스 민감도 및 신호 강도가 EUV 리소그래피에서 이러한 비대칭 방법들을 이용하기에 불충분할 수 있다. 또한, 비대칭 기반 기술들은 비대칭과 포커스 간의 원하는 관계(예를 들어, 선형)를 보장하기 위해 타겟 지오메트리들의 신중한 선택을 필요로 할 수 있다. 이 선택 과정은 복잡할 수 있고, 적절한 타겟 지오메트리를 발견하는 데 상당한 노력을 필요로 할 수 있다. 적절한 타겟 지오메트리가 존재하지 않는 경우가 있을 수도 있다.
본 발명은 앞서 식별된 단점들 중 1 이상에 대처하는 것을 목표로 한다.
제 1 실시형태에서, 본 발명은 리소그래피 공정 동안 포커스 파라미터를 모니터링하는 방법을 제공하고, 상기 방법은:
제 1 측정 값을 획득하는 단계 -상기 제 1 측정 값은 제 1 타겟의 검사로부터 얻어짐- ;
제 2 측정 값을 획득하는 단계 -상기 제 2 측정 값은 제 2 타겟의 검사로부터 얻어지고, 상기 제 1 타겟 및 제 2 타겟은 상대적인 최적 포커스 오프셋(relative best focus offset)으로 노광되었음- ; 및
상기 제 1 측정 값 및 상기 제 2 측정 값으로부터 포커스 파라미터를 결정하는 단계를 포함한다.
또한, 본 발명은 디바이스들을 제조하는 방법을 제공하고, 디바이스 패턴이 리소그래피 공정을 이용하여 일련의 기판들에 적용되며, 상기 방법은:
상기 포커스 파라미터를 모니터링하기 위해 제 1 실시형태의 방법을 이용하는 단계, 및
결정된 포커스 파라미터에 따라 추후 기판들에 대한 리소그래피 공정을 제어하는 단계를 포함한다.
또한, 본 발명은 프로세서가 제 1 실시형태의 방법을 수행하게 하는 기계-판독가능한 명령어들을 포함한 컴퓨터 프로그램 제품을 제공한다.
또한, 본 발명은 원하는 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스를 제공하고, 상기 패터닝 디바이스는 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 리소그래피 공정 동안 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며, 상기 제 2 피처들은 상기 제 1 타겟 및 상기 제 2 타겟이 상대적인 최적 포커스 오프셋을 갖도록 타겟의 평면을 가로지르는 방향으로 상기 제 1 피처들보다 길다(tall).
또한, 본 발명은 리소그래피 공정 동안 도즈 파라미터를 모니터링하는 방법을 제공하고, 상기 방법은: 제 1 측정 값을 획득하는 단계 -상기 제 1 측정 값은 제 1 타겟의 검사로부터 얻어졌음- ; 제 2 측정 값을 획득하는 단계 -상기 제 2 측정 값은 제 2 타겟의 검사로부터 얻어졌음- ; 및 상기 제 1 측정 값 및 상기 제 2 측정 값으로부터 도즈 파라미터를 결정하는 단계를 포함하며, 상기 제 1 및 제 2 타겟들은 동일한 피치 및 역 듀티 사이클(inverse duty cycle)들을 갖는 대응하는 라인 및 공간 타겟들을 포함한다.
또한, 본 발명은 디바이스들을 제조하는 방법을 제공하고, 디바이스 패턴이 리소그래피 공정을 이용하여 일련의 기판들에 적용되며, 상기 방법은: 상기 도즈 파라미터를 모니터링하기 위해 바로 위의 실시형태의 방법을 이용하는 단계, 및 결정된 도즈 파라미터에 따라 추후 기판들에 대한 리소그래피 공정을 제어하는 단계를 포함한다.
또한, 본 발명은 원하는 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스를 제공하고, 상기 패터닝 디바이스는 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 리소그래피 공정 동안 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 상기 제 1 피처들은 실질적으로 포커스 독립적인 측벽 각도를 갖는 라인 피처들로 상기 제 1 타겟을 형성하도록 구성되고, 상기 제 2 피처들은 포커스 의존적인 측벽 각도를 갖는 라인 피처들로 상기 제 2 타겟을 형성하도록 구성된다.
또한, 본 발명은 원하는 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스를 제공하고, 상기 패터닝 디바이스는 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 리소그래피 공정 동안 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 디자인 규칙들은 설정된 피치의 그리드에서, 및 설정된 임계 치수에 타겟 피처들을 제한하고, 상기 제 1 타겟 및 상기 제 2 타겟은 각각 상기 그리드들의 열(row)들로부터 형성되어, 상기 제 1 피처들 및 상기 제 2 피처들이 각각 상기 그리드들에 형성된 하나, 또는 복수의 인접한 대응하는 타겟 피처들로부터 형성되도록 한다.
첨부된 도면들을 참조하여, 본 발명의 다양한 실시예들의 구조 및 작동뿐만 아니라, 본 발명의 또 다른 특징들 및 장점들이 아래에서 상세하게 설명된다. 본 발명은 본 명세서에서 설명되는 특정한 실시예들에 제한되지 않는다는 것을 유의한다. 본 명세서에서, 이러한 실시예들은 단지 예시적인 목적으로만 제시된다. 당업자라면, 본 명세서에 포함되는 교시에 기초하여 추가적인 실시예들을 명백히 알 것이다.
이제 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 리소그래피 장치를 도시하는 도면;
도 2는 본 발명에 따른 검사 장치가 사용될 수 있는 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)를 도시하는 도면;
도 3은 검사 장치의 제 1 예시로서 분광 스케터로미터(spectroscopic scatterometer)의 작동 원리를 예시하는 도면;
도 4는 검사 장치의 또 다른 예시로서 각도-분해 스케터로미터를 개략적으로 예시하는 도면;
도 5는 각도-분해 스케터로메트리 및 다크-필드 이미징 검사 방법들을 수행하는 데 적합한 검사 장치를 개략적으로 예시하는 도면;
도 6은 포커스 의존적 비대칭을 갖는 기판 상의 격자를 형성하는 데 적절한 레티클 상의 타겟 형성 요소(target forming element)들을 예시하는 도면;
도 7은 (a) 상대적인 최적 포커스 오프셋을 갖는 2 개의 타겟들의 포커스에 대한 타겟 파라미터의 측정된 값(y-축)의 플롯; 및 (b) 포커스(x-축)에 대한 제 1 타겟 및 제 2 타겟으로부터의 타겟 파라미터의 측정된 값들 간의 차(y-축)의 플롯;
도 8(a) 내지 도 8(d)는 레티클 상의 가능한 타겟 형성 디자인들의 개략적인 단면도;
도 9는 (a) 일 실시예에 따른 레티클 블랭크(reticle blank), 및 (b) 레티클 상의 또 다른 가능한 타겟 형성 디자인의 개략적인 단면도;
도 10은 레티클 상의 또 다른 가능한 타겟 형성 디자인들의 개략적인 평면도;
도 11은 본 발명의 일 실시예에 따른 포커스를 모니터링하는 방법의 흐름도;
도 12는 도즈 측정들을 수행하기 위한 레티클 상의 가능한 타겟 형성 디자인들의 개략적인 평면도;
도 13은 도 12에 예시된 바와 같은 타겟들의 CD(x-축)에 대한 측정된 세기(y-축)의 플롯; 및
도 14(a) 내지 도 14(f)는 각각 전형적인 그리드-기반 디자인 규칙에 의해 제한되는 경우의 가능한 타겟 피처 형성 디자인의 일 예시를 나타내는 도면이다.
본 발명의 실시예들을 상세히 설명하기에 앞서, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시한다. 상기 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 패터닝 디바이스 지지체 또는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 각각 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 각각 연결되는 2 개의 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다. 기준 프레임(reference frame: RF)이 다양한 구성요소들을 연결하며, 패터닝 디바이스 및 기판 및 이들 상의 피처들의 위치들을 설정하고 측정하는 기준의 역할을 한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
패터닝 디바이스 지지체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 패터닝 디바이스 지지체는 많은 형태를 취할 수 있다. 패터닝 디바이스 지지체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 패터닝 디바이스를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널을 포함한다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 또한, "패터닝 디바이스"라는 용어는 이러한 프로그램가능한 패터닝 디바이스를 제어하는 데 사용하는 패턴 정보를 디지털 형태로 저장하는 디바이스를 언급하는 것으로 해석될 수도 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다.
작동 시, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는, 예를 들어 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD), 인티그레이터(IN) 및 콘덴서(CO)를 포함할 수 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(MT) 상에 유지되는 패터닝 디바이스(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WTa 또는 WTb)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 레티클/마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다.
패터닝 디바이스(예를 들어, 레티클/마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마커가 포함될 수도 있으며, 이 경우 마커들은 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다. 정렬 마커들을 검출하는 정렬 시스템은 아래에서 더 설명된다.
도시된 장치는 다양한 모드들에서 사용될 수 있다. 스캔 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다. 당업계에 잘 알려져 있는 바와 같이, 다른 타입들의 리소그래피 장치 및 작동 모드들이 가능하다. 예를 들어, 스텝 모드가 알려져 있다. 소위 "마스크없는(maskless)" 리소그래피에서는, 프로그램가능한 패터닝 디바이스가 정지된 상태로 유지되지만 변화하는 패턴을 가지며, 기판 테이블(WT)은 이동되거나 스캐닝된다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
리소그래피 장치(LA)는 2 개의 기판 테이블들(WTa, WTb), 및 기판 테이블들이 교환될 수 있는 2 개의 스테이션들 - 노광 스테이션(EXP) 및 측정 스테이션(MEA) - 을 갖는 소위 듀얼 스테이지 타입으로 이루어진다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션에서 노광되고 있는 동안, 또 다른 기판이 측정 스테이션에서 다른 기판 테이블 상으로 로딩(load)되고 다양한 준비작업 단계들이 수행될 수 있다. 이는 상기 장치의 스루풋을 상당히 증가시킬 수 있다. 준비작업 단계들은 레벨 센서(LS)를 이용하여 기판의 표면 높이 윤곽들을 매핑(map)하는 단계, 및 정렬 센서(AS)를 이용하여 기판 상의 정렬 마커들의 위치를 측정하는 단계를 포함할 수 있다. 위치 센서(IF)가 노광 스테이션뿐 아니라 측정 스테이션에 있는 동안 기판 테이블의 위치를 측정할 수 없는 경우, 기준 프레임(RF)에 대해 두 스테이션들에서 기판 테이블의 위치들이 추적될 수 있도록 제 2 위치 센서가 제공될 수 있다. 다른 구성들이 알려져 있고, 나타낸 듀얼-스테이지 구성 대신에 이용가능하다. 예를 들어, 기판 테이블 및 측정 테이블이 제공되는 다른 리소그래피 장치들이 알려져 있다. 이들은 준비작업 측정들을 수행하는 경우에 함께 도킹(dock)되며, 그 후 기판 테이블이 노광을 거치는 동안에 도킹해제(undock)된다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성하며, 이는 기판 상에 전-노광(pre-exposure) 및 후-노광(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판들을 집어올리고, 상기 기판들을 상이한 공정 장치 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판들이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 속성들을 측정하도록 노광된 기판들을 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 시설은 리소셀에서 처리된 기판(W)들 중 일부 또는 전체를 수용하는 메트롤로지 시스템(MET)을 포함한다. 메트롤로지 결과들은 감독 제어 시스템(SCS)에 간접적으로 또는 직접적으로 제공된다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch)의 다른 기판들이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면, 후속한 기판들의 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판들은 수율을 개선하도록 벗겨져서(strip) 재가공(rework)되거나, 버려져서 결점이 있다고 알려진 기판들에 또 다른 처리를 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 양호한 타겟부들 상에만 또 다른 노광들이 수행될 수 있다.
메트롤로지 시스템(MET) 내에서, 검사 장치는 기판의 속성들을 결정하는 데 사용되며, 특히 상이한 기판들 또는 동일한 기판의 상이한 층들의 속성들이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다. 가장 신속한 측정들을 가능하게 하기 위해, 검사 장치는 노광 직후에 노광된 레지스트 층에서 속성들을 측정하는 것이 바람직하다. 하지만, 레지스트 내의 잠상(latent image)은 매우 낮은 콘트라스트(contrast)를 갖고 - 방사선에 노광된 레지스트의 부분들과 노광되지 않은 레지스트의 부분들 사이에 굴절률에 있어서 매우 작은 차이만 존재하고 - 모든 검사 장치가 잠상의 유용한 측정들을 수행하기에 충분한 감도를 갖는 것은 아니다. 그러므로, 측정들은 통상적으로 노광된 기판들 상에서 수행되는 제 1 단계이고 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 노광후 베이크 단계(PEB) 이후에 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠상(semi-latent)이라고 칭해질 수 있다. 또한, 현상된 레지스트 이미지 - 이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분 중 하나는 제거되었음 - 의 측정들을 수행하는 것이 가능하고, 또는 에칭과 같은 패턴 전사 단계 이후에 수행하는 것이 가능하다. 후자의 가능성은 결점이 있는 기판들의 재가공에 대한 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3은 앞서 설명된 타입의 메트롤로지 시스템에서 검사 장치로서 사용될 수 있는 알려진 분광 스케터로미터를 도시한다. 이는 기판(W) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 반사된 방사선은 정반사된 방사선(specular reflected radiation)의 스펙트럼(6)(파장의 함수로서 세기)을 측정하는 분광계(spectrometer: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 야기하는 구조체 또는 프로파일(8)이 처리 유닛(PU) 내에서의 계산에 의해 재구성될 수 있다. 재구성은, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 사전-측정된 스펙트럼들 또는 사전-연산된(pre-computed) 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써 수행될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려지며, 구조체가 만들어진 공정의 정보로부터 일부 파라미터들이 가정되어, 스케터로메트리 데이터로부터 결정될 구조체의 몇몇 파라미터들만이 남게 된다. 이러한 스케터로미터는 수직-입사(normal-incidence) 스케터로미터 또는 경사-입사(oblique-incidence) 스케터로미터로서 구성될 수 있다.
도 4는 분광 스케터로미터에 추가하여, 또는 이 대신에 사용될 수 있는 알려진 각도-분해 스케터로미터의 기본 요소들을 나타낸다. 이 타입의 검사 장치에서, 방사선 소스(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 컨디셔닝된다. 예를 들어, 조명 시스템(12)은 시준 이용 렌즈 시스템(collimating using lens system: 12a), 컬러 필터(color filter: 12b), 편광기(polarizer: 12c), 및 어퍼처 디바이스(13)를 포함할 수 있다. 컨디셔닝된 방사선은 조명 경로(IP)를 따르며, 여기에서 이는 부분 반사면(partially reflecting surface: 15)에 의해 반사되고, 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S)으로 포커스된다. 렌즈(16)는 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95인 높은 개구수(NA)를 갖는다. 침지 유체는 필요에 따라 1이 넘는 개구수를 얻는 데 사용될 수 있다.
리소그래피 장치(LA)에서와 같이, 측정 작업 동안 기판(W)을 유지하기 위해 1 이상의 기판 테이블이 제공될 수 있다. 기판 테이블은 도 1의 기판 테이블들(WTa, WTb)의 형태와 유사하거나 동일할 수 있다. (검사 장치가 리소그래피 장치와 통합되는 예시에서, 이들은 심지어 동일한 기판 테이블들일 수도 있음.) 개략 및 미세 위치설정기들이 측정 광학 시스템에 대해 기판을 정확히 위치시키도록 구성될 수 있다. 예를 들어, 관심 타겟의 위치를 획득하고 이를 대물 렌즈(16) 아래의 위치로 가져오기 위해 다양한 센서들 및 액추에이터들이 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치들의 타겟들 상에서 많은 측정들이 수행될 것이다. 기판 지지체는 상이한 타겟들을 획득하도록 X 및 Y 방향들로, 및 타겟 상에서 광학 시스템의 원하는 포커싱을 얻도록 Z 방향으로 이동될 수 있다. 실제로는 광학 시스템이 실질적으로 정지상태로 유지되고 기판만이 이동하는 경우, 대물 렌즈 및 광학 시스템이 기판 상의 상이한 위치들에 오는 것처럼 작동들을 생각하고 설명하는 것이 편리하다. 기판 및 광학 시스템의 상대 위치가 올바르다면, 원칙적으로 현실 세계에서 이들 중 하나 또는 둘 모두가 이동하고 있는지의 여부는 중요하지 않다.
방사선 빔이 빔 스플리터(15)에 입사하는 경우, 이 중 일부가 빔 스플리터를 통해 투과되고, 기준 거울(14)을 향해 기준 경로(RP)를 따른다.
여하한의 메트롤로지 타겟(T)에 의해 회절된 방사선을 포함한 기판에 의해 반사된 방사선은 렌즈(16)에 의해 수집되고 수집 경로(CP)를 따르며, 여기에서 이는 부분 반사면(15)을 통해 검출기(19)로 전달된다. 검출기는 렌즈(16)의 초점 길이(F)에 존재하는 배면-영사(back-projected)된 퓨필 평면(P) 내에 위치될 수 있다. 실제로, 퓨필 평면 자체는 접근가능하지 않을 수 있고, 그 대신에 보조 광학기(도시되지 않음)를 이용하여 소위 켤레 퓨필 평면(conjugate pupil plane: P')에 위치된 검출기 상에 재-이미징(re-image)될 수 있다. 검출기는, 바람직하게는 기판 타겟(30)의 2-차원 각도 산란 스펙트럼 또는 회절 스펙트럼이 측정될 수 있도록 2-차원 검출기이다. 퓨필 평면 또는 켤레 퓨필 평면에서, 방사선의 반경방향 위치(radial position)는 포커스된 스폿(S)의 평면에서의 방사선의 출발(departure)/입사 각도를 정의하고, 광학 축선(O) 주위의 각도 위치는 방사선의 방위각(azimuth angle)을 정의한다. 검출기(19)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초(millisecond)의 통합 시간(integration time)을 사용할 수 있다.
기준 경로(RP)에서의 방사선은 동일한 검출기(19)의 상이한 부분 상으로, 또는 대안적으로 상이한 검출기(도시되지 않음) 상으로 투영된다. 예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 흔히 사용되어, 산란 스펙트럼에서 측정된 세기 값들의 정규화를 허용한다.
조명 시스템(12)의 다양한 구성요소들은 동일한 장치 내에서 상이한 메트롤로지 '레시피(recipes)'를 구현하도록 조정가능할 수 있다. 가령 405 내지 790 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위에서 상이한 관심 파장들을 선택하기 위해, 예를 들어 간섭 필터들의 일 세트에 의해 컬러 필터(12b)가 구현될 수 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함하기보다는 튜닝가능(tunable)할 수 있다. 간섭 필터들 대신에 격자가 사용될 수 있다. 편광기(12c)는 방사선 스폿(S)에서 상이한 편광 상태들을 구현하기 위해 회전가능하거나 교환가능(swappable)할 수 있다. 어퍼처 디바이스(13)는 상이한 조명 프로파일들을 구현하도록 조정될 수 있다. 어퍼처 디바이스(13)는 검출기(19)의 평면 및 대물 렌즈(16)의 퓨필 평면(P)과 켤레인 평면(P")에 위치된다. 이 방식으로, 어퍼처 디바이스에 의해 정의되는 조명 프로파일이 어퍼처 디바이스(13) 상의 상이한 위치들을 통과하는 방사선의 기판 상에 입사하는 광의 각도 분포를 정의한다.
검출기(19)는 단파장(또는 협파장 범위)에서의 산란 광의 세기, 다수 파장들에서의 별도 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic)- 및 횡전기(transverse electric)-편광된 광의 세기, 및/또는 횡자기- 및 횡전기-편광된 광 간의 위상차를 별도로 측정할 수 있다.
메트롤로지 타겟(T)이 기판(W) 상에 제공되는 경우, 이는 현상 이후에 바아(bar)들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1-D 격자일 수 있다. 타겟은, 현상 이후에 격자가 솔리드 레지스트 필러(pillar)들 또는 레지스트 내의 비아(via)들로 형성되도록 프린트되는 2-D 격자일 수 있다. 대안적으로, 바아들, 필러들 또는 비아들은 기판 안으로 에칭될 수 있다. 이 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PS) 내의 색수차에 민감하다. 조명 대칭성 및 이러한 수차의 존재는 프린트된 격자 내의 변동에서 드러날 것이다. 따라서, 프린트된 격자들의 스케터로메트리 데이터가 격자들을 재구성하는 데 사용된다. 프린팅 단계 및/또는 다른 스케터로메트리 공정들의 정보로부터, 라인 폭 및 형상과 같은 1-D 격자의 파라미터들, 또는 필러 또는 비아 폭 또는 길이 또는 형상과 같은 2-D 격자의 파라미터들이 처리 유닛(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.
재구성에 의한 파라미터들의 측정 이외에, 각도 분해 스케터로메트리가 제품 및/또는 레지스트 패턴들 내의 피처들의 비대칭 측정에 유용하다. 비대칭 측정의 특정 적용은 포커스 의존적 비대칭으로 프린트되는 타겟들로부터의 포커스 파라미터(예를 들어, 타겟의 노광 동안 설정되는 포커스)의 측정을 위한 것이다. 도 3 또는 도 4의 기구를 이용한 비대칭 측정의 개념들은, 예를 들어 앞서 언급된 특허 출원 공개공보 US2006066855A1에서 설명된다. 간단히 말하면, 타겟의 회절 스펙트럼에서의 회절 차수들의 위치들은 타겟의 주기성에 의해서만 결정되는 한편, 회절 스펙트럼에서의 세기 레벨들의 비대칭이 타겟을 구성하는 개별적인 피처들에서의 비대칭을 나타낸다. 도 4의 기구에서 -이때 검출기(19)는 이미지 센서일 수 있음- , 회절 차수들에서의 이러한 비대칭은 검출기(19)에 의해 기록되는 퓨필 이미지에서의 비대칭으로서 직접 나타난다. 이 비대칭은 유닛(PU)에서의 디지털 이미지 처리에 의해 측정될 수 있고, 이로부터 포커스가 결정될 수 있다.
도 5(a)는 도 4의 장치와 동일한 원리들에 의해 각도-분해 스케터로메트리를 구현하는 검사 장치를 상세히 나타내며, 소위 다크 필드 이미징을 수행하는 추가적인 적응들을 갖는다. 상기 장치는 독립형 디바이스이거나, 예를 들어 측정 스테이션에서 리소그래피 장치(LA)에, 또는 리소그래피 셀(LC)에 통합될 수 있다. 장치 전체에 걸쳐 수 개의 브랜치들을 갖는 광학 축선이 점선(O)으로 나타내어진다. 타겟 격자(T) 및 회절된 광선(diffracted ray)들은 도 5(b)에 더 상세히 예시된다.
도 4의 장치에서 이미 설명된 구성요소들에 대해서는 동일한 참조 번호들이 사용된다. 조명 경로는 앞서와 같이 IP로 표시된다. 기준 경로(RP)는 간명함을 위해 생략된다. 그 장치와 비교하여, 제 2 빔 스플리터(17)가 수집 경로를 2 개의 브랜치로 나눈다. 제 1 측정 브랜치에서, 검출기(19)가 앞서 설명된 바와 같이 정확히 타겟의 산란 스펙트럼 또는 회절 스펙트럼을 기록한다. 이 검출기(19)는 퓨필 이미지 검출기라고 칭해질 수 있다.
제 2 측정 브랜치에서는, 이미징 광학 시스템(22)이 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 기판(W) 상의 타겟의 이미지를 형성한다. 퓨필-평면에 대해 켤레인 평면에서 수집 경로 내에 있는 평면에 어퍼처 스톱(aperture stop: 21)이 제공된다(이는 퓨필 스톱이라 칭할 수도 있음). 어퍼처 스톱(21)은 조명 어퍼처가 상이한 형태들을 취할 수 있는 것처럼 상이한 형태들을 취할 수 있다. 통상적으로, 어퍼처 스톱(21)은, 센서(23) 상에 형성되는 타겟의 이미지가 1차 빔(들)으로부터만 형성되도록 0차 회절 빔을 차단하는 기능을 한다. 이는 소위 다크 필드 이미지이며, 다크 필드 현미경법과 균등하다. 센서들(19 및 23)에 의해 포착된 이미지들은 이미지 프로세서 및 제어기(PU)로 출력되며, 이들의 기능은 수행되는 측정들의 특정 타입에 의존할 것이다.
이 예시에서의 조명 경로에서는, 필드 스톱(field stop: 13')이 이미지 센서(23) 및 타겟의 평면과 켤레인 평면에 배치될 수 있도록 추가 광학기가 나타내어진다. 이 평면은 필드 평면 또는 켤레 이미지 평면이라고 칭해질 수 있으며, 필드 평면에 걸친 각각의 공간 위치가 타겟에 걸친 위치에 대응한다는 속성을 갖는다. 이 필드 스톱은 예를 들어 특정 목적을 위해 조명 스폿을 성형하거나, 단순히 관심 타겟의 부분이 아닌 장치의 사야 내에 있는 피처들의 조명을 회피하는 데 사용될 수 있다. 다음 도면들 및 설명은, 예시의 방식으로 어퍼처 디바이스(13)의 기능의 구현을 위한 기술들을 언급하지만, 본 기재내용은 필드 스톱(13')의 기능을 구현하기 위한 동일한 기술들의 사용도 포괄한다.
도 5(b)에 더 상세히 나타낸 바와 같이, 타겟 격자(T)는 대물 렌즈(16)의 광학 축선(O)에 수직인 기판(W)과 배치된다. 오프-액시스(off-axis) 조명 프로파일의 경우, 축선(O)을 벗어난 각도로부터 격자(T)에 입사하는 조명 광선(I)은 0차 광선[직선(0)] 및 2 개의 1차 광선들[1점쇄선(+1) 및 2점쇄선(-1)]을 발생시킨다. 오버필링(overfill)되는 작은 타겟 격자를 이용하면, 이 광선들은 메트롤로지 타겟 격자(T) 및 다른 피처들을 포함한 기판의 영역을 덮는 많은 평행 광선들 중 하나에 불과하다는 것을 기억하여야 한다. 플레이트(13) 내의 어퍼처가 (유용한 양의 광을 수용하는 데 필요한) 유한 폭을 갖기 때문에, 입사 광선들(I)은 사실상 각도들의 범위를 차지할 것이고, 회절된 광선들(0 및 +1/-1)은 어느 정도 확산(spread out)될 것이다. 작은 타겟의 점확산 함수(point spread function)에 따르면, 각각의 차수 +1 및 -1은 나타낸 바와 같은 단일의 이상적인 광선이 아니라, 각도들의 범위에 걸쳐 더 확산될 것이다.
상이한 어퍼처들을 이용함으로써 상이한 조명 모드들이 가능하다. 어퍼처들[13N('북') 및 13S('남')]이 각각 특정한 좁은 범위의 각도들로부터의 오프-액시스 조명을 제공한다. 도 5(a)로 되돌아가면, 이는 환형 어퍼처의 정반대의 부분들을 북(N) 및 남(S)으로 지정함으로써 예시된다. +1(13N)으로 표시되는 조명 원뿔의 북쪽 부분으로부터의 +1 회절된 광선들이 대물 렌즈(16)에 들어가며, 원뿔의 남쪽 부분으로부터는 -1 회절된 광선들[-1(13S)로 표시됨]이 그러하다. 도입부에서 언급된 종래의 출원들에서 설명되는 바와 같이, 이 타입의 어퍼처들(13N, 13S) 사이에서 스위칭하면서 다크-필드 이미징 센서(23)를 이용하는 것이 다수의 작은 타겟들로부터 비대칭 측정들을 얻는 한가지 방식이다. 어퍼처 스톱(21a)이 오프-액시스 조명을 이용하는 경우에 0차 방사선을 차단하는 데 사용될 수 있다.
오프-액시스 조명을 나타내지만, 그 대신 타겟들의 온-액시스(on-axis) 조명이 사용될 수 있으며, 오프-액시스 어퍼처를 갖는 어퍼처 스톱이 사용되어 실질적으로 회절된 광의 하나의 1차만을 센서로 통과시킨다. 일 예시에서는, 어퍼처 스톱(21a) 대신에 프리즘(21b)이 사용되며, 이는 +1차 및 -1차를 센서(23) 상의 상이한 위치들로 향하게 하는 효과가 있어 이들이 2 개의 이미지들을 만들지 않고 검출 및 비교될 수 있도록 한다. 이 기술은 앞서 언급된 특허 출원 공개공보 US2011102753A1에서 설명되며, 이 내용은 본 명세서에서 인용참조된다. 1차 빔 대신에, 또는 이에 추가하여 2차, 3차 및 더 높은 차수의 빔들(도 5에 도시되지 않음)이 측정에 사용될 수 있다.
리소그래피 공정을 모니터링하는 경우, 기판 상의 리소그래피 빔의 포커스를 모니터링하는 것이 바람직하다. 프린트된 구조체로부터 포커스 설정을 결정하는 한가지 알려진 방법은 프린트된 구조체의 임계 치수(CD)를 측정하는 것이다. CD는 최소 피처(예를 들어, 요소의 라인 폭)의 측정값이다. 프린트된 구조체는 특히 포커스 모니터링을 위해 형성되는 라인-공간 격자와 같은 타겟일 수 있다. CD는 통상적으로 포커스에 대해 2차 응답을 보여주며, 포커스(x-축)에 대한 CD(y-축)의 플롯에서 "보썽 곡선(Bossung curve)"이라고 알려진 것을 형성한다고 알려져 있다. 보썽 곡선은 실질적으로 대칭인 곡선이며, 최적 포커스를 나타내는 피크 주위에서 실질적으로 대칭이다. 보썽 곡선은 실질적으로 포물선 형상일 수 있다. 이 접근법에 대해 수 개의 단점들이 존재한다. 한가지 단점은 상기 방법이 (곡선의 포물선 형상으로 인해) 최적 포커스 부근에서 낮은 민감도를 나타낸다는 것이다. 또 다른 단점은, 상기 방법이 (곡선이 최적 포커스 주위에서 대체로 대칭일 때) 여하한의 디포커스의 징후(sign)에 둔감하다는 것이다. 또한, 이 방법은 그 중에서도 도즈 및 공정 변동(크로스토크)에 민감하다.
이 문제에 대처하기 위해, 회절 기반 포커스(DBF)가 고안되었다. 회절 기반 포커스는 프린팅 동안 포커스 설정에 의존적인 비대칭도(a degree of asymmetry)를 갖는 타겟들을 프린트하는 레티클 상의 타겟 형성 피처들을 사용할 수 있다. 그 후, 이 비대칭도는 포커스 설정의 측정값을 얻기 위해 스케터로메트리 기반 검사 방법을 이용하여, 예를 들어 타겟으로부터 회절되는 +1차 및 -1차 방사선의 세기들 간의 세기 비대칭을 측정함으로써 측정될 수 있다.
도 6은 회절 기반 포커스 측정들을 위해 구성된 DBF 타겟 형성 디자인(615)을 예시한다. 이는 복수의 DBF 구조체들(620)을 포함하며, 이 각각은 고분해 서브구조체들(high resolution substructures: 625)을 포함한다. 기본 피치 위의(on top of a base pitch) 고분해 서브구조체들(625)은 각각의 DBF 구조체(620)에 대한 비대칭 레지스트 프로파일을 생성하고, 비대칭도는 포커스에 의존적이다. 결과적으로, 메트롤로지 툴이 DBF 타겟 형성 디자인(615)을 이용하여 형성된 타겟으로부터 비대칭도를 측정하고, 이를 스캐너 포커스로 옮길 수 있다.
DBF 타겟 형성 디자인(615)은 회절 기반 포커스 측정들을 가능하게 하지만, 이는 모든 상황들에서 사용하기에 적절하지는 않다. EUV 레지스트 필름 두께들이 침지 리소그래피에서 사용되는 것들보다 훨씬 더 얇고, 이는 타겟의 부분을 형성하는 구조체들의 비대칭 프로파일들로부터 정확한 비대칭 정보를 추출하는 것을 어렵게 만든다. 또한, 이러한 구조체들은 소정 제품 구조체들에 적용가능한 엄격한 디자인 제약들에 따르지 않을 수 있다. 칩을 만드는 공정 동안, 레티클 상의 모든 피처들이 프린트되어야 하고 후속 처리 단계들을 견뎌야 한다. 반도체 제조자들은 프린트된 피처들이 그 공정 요건들에 따를 것을 보장하도록 피처 디자인들을 제한하는 수단으로서 디자인 규칙들을 이용한다. 이러한 디자인 규칙의 일 예시는 피치들 또는 구조체들의 허용가능한 크기와 관련된다. 또 다른 예시적인 디자인 규칙은 패턴 밀도와 관련되며, 이는 결과적인 레지스트 패턴의 밀도를 특정 범위 내에 있도록 제한할 수 있다.
그러므로, 적어도 제 1 타겟 및 제 2 타겟을 이용하여 포커스를 모니터링하는 것이 제안되며, 이들은 2 개의 타겟들 간의 최적 포커스 오프셋(df)을 갖도록 형성되었다. 앞서와 같이, 타겟 파라미터(예를 들어, 아래에서 설명되는 바와 같은 CD 또는 다른 측정들)에 대한 측정 값을 갖는 포커스 응답은 제 1 및 제 2 타겟들 각각에 대해 보썽 곡선의 형태를 취한다. 포커스는 제 1 타겟의 측정으로부터 얻어진 타겟 파라미터의 제 1 측정 값 및 제 2 타겟의 측정으로부터 얻어진 타겟 파라미터의 제 2 측정 값의 함수이다. 그러므로, 제 1 및 제 2 타겟들로부터의 파라미터의 측정된 값들이 얻어지고, 이 측정된 값들로부터 포커스에 대한 값이 도출될 것이 제안된다. 포커스가 도출될 수 있는 방식의 특정한 예시가 도 7을 참조하여 아래에서 설명된다. 하지만, 당업자라면, 제 1 및 제 2 타겟들로부터 얻어지는 측정된 값들로부터 포커스가 추출되게 하는 많은 대안적인 방법들이 존재한다는 것을 알 것이다. 아래의 설명은 두 측정 값들(이들이 세기 값들이든지 다른 경우이든지)의 차이를 이용하여 구체적으로 설명하지만, 다른 수학적 연산들 및 방법들이 포커스 값을 추출하는 데 사용될 수 있다. 예를 들어, (제 1 및 제 2 타겟들 중 하나로부터의) 측정 값들 중 하나를 (제 1 및 제 2 타겟들 중 다른 하나로부터의) 다른 측정 값으로 나누는 것이 가능하다.
도 7(a)는 제 1 타겟 및 제 2 타겟 둘 모두의 포커스(f)에 대한 타겟 파라미터(Pt)의 플롯을 나타낸다. 이는 제 1 타겟에 대응하는 제 1 보썽 곡선(700) 및 제 2 타겟에 대응하는 제 2 보썽 곡선(710)을 나타낸다. 또한, 최적 포커스 오프셋(df)[보썽 곡선들(700, 710)의 두 피크들 간의 포커스 오프셋]이 나타내어진다. 타겟들의 오버랩(720)은 제 1 타겟에 대한 타겟 파라미터와 제 2 타겟에 대한 타겟 파라미터의 차이가 포커스와 본질적으로 선형 관계를 갖는 포커스 범위이다. 이는 도 7(b)에 예시되며, 이는 포커스에 대한 이 차이(Pt2-Pt1)(이때, Pt1은 제 1 타겟에 대한 타겟 파라미터이고, Pt2는 제 2 타겟에 대한 타겟 파라미터임)의 플롯이다. 알 수 있는 바와 같이, 관계(740)는 선형이다. Pt2-Pt1 메트릭은, 예를 들어 도즈 및/또는 공정에 의한 크로스토크에 민감할 수 있다. 더 견고한 메트릭은 Pt2-Pt1/PtAV일 수 있으며, 이때 PtAV는 Pt2 및 Pt1의 평균이다. 포커스와 Pt2-Pt1/PtAV의 관계(750; 점선)도 도 7(b)에 나타내어진다. 이 관계는 여전히 충분히 선형인 한편, 크로스토크에 대해 더 견고하다.
나타낸 특정한 예시에서, 관계(740) 또는 관계(750)의 기울기는 2*df*a에 의해 설명될 수 있으며, 이때 df는 최적 포커스 오프셋이고, a는 보썽 곡률이다. 따라서, 포커스는 다음 수학식(수학식 1)으로부터 얻어질 수 있다:
Figure pct00001
이때, 분모에서의 PtAV는 선택적이다.
포커스 민감도를 증가시키기 위해, 최적 포커스 오프셋을 증가시키고, 이로 인해 관계(740) 또는 관계(750)의 기울기를 증가시키는 것이 가능하다.
앞선 설명에서, 포커스와 보썽 곡선 응답을 갖는다면 여하한의 타겟 파라미터(Pt)가 사용될 수 있다는 것을 이해하여야 한다. CD가 사용될 수 있지만, 종래의 방법들보다 큰 장점들을 갖는 새로운 회절 기반 포커스 방법론이 제안된다. 이 방법은 포커스를 결정하기 위해 제 1 타겟 및 제 2 타겟에 의해 산란된 방사선의 회절 차수들로부터 얻어진 세기 신호들을 이용하는 단계를 포함한다. 특히, 포커스를 결정하기 위해 제 1 및 제 2 타겟들 각각으로부터의 단일 회절 차수의 세기 값들을 이용하는 것이 제안된다. 제안된 방법은 제 1 및 제 2 타겟들의 대응하는 회절 차수들로부터의 세기 값들을 이용할 수 있다. 예를 들어, 세기 값들은 제 1 및 제 2 타겟들로부터의 +1차(또는 -1차) 회절 차수의 값들일 수 있다. 대안적으로, 또는 조합하여, 세기 값들은 제 1 및 제 2 타겟들로부터의 0차 회절 차수의 값들일 수 있다.
이러한 방법의 특정한 예시에서, 제 1 타겟에 의해 산란된 방사선의 회절 차수의 측정된 세기 및 제 2 타겟에 의해 산란된 방사선의 대응하는 회절 차수의 측정된 세기의 차(dI)를 이용하는 것이 제안된다. 이 차는 이후 dI 메트릭이라고 칭해진다. 하지만, dI 메트릭은 (예를 들어, 세기 값들 중 하나를 다른 하나로 나눔으로써) 제 1 및 제 2 타겟들로부터의 회절 세기 값들로부터 도출되는 여하한의 메트릭일 수 있다.
일 실시예에서, dI 메트릭은 대응하는 1차 회절 차수들(또는 더 높은 회절 차수들), 예를 들어 제 1 타겟에 의해 산란된 방사선의 +1차 회절 차수 및 제 2 타겟에 의해 산란된 방사선의 +1차 회절 차수에서의 차일 수 있다(분명히 마이너스 차수들이 균등하게 사용될 수 있음). 또 다른 실시예에서, dI 메트릭은 제 1 및 제 2 타겟들로부터의 0차들의 세기 측정들 간의 차를 포함할 수 있다.
앞서와 같이, dI 메트릭은 크로스토크의 효과를 감소시키기 위해 세기 측정들의 평균(Iav)으로 나누어질 수 있다. 하지만, dI 메트릭에 대한 보썽 곡률은 도즈에 약하게만 의존하며, dI 메트릭이 이미 충분히 낮은 도즈 크로스토크를 보일 수 있다.
이 방식으로 dI 메트릭을 이용하는 것은, 타겟들이 (예를 들어, EUV 리소그래피에서 사용하는) 얕은 격자들을 포함하는 경우에도 우수한 신호 강도 및 신호-대 잡음 응답을 제공한다.
앞서 언급된 바와 같이, dI 메트릭은 제 1 및 제 2 타겟들에 의해 산란된 방사선의 0차들의 차를 포함할 수 있다. 이 방식으로, 더 작은 피치들을 갖는 타겟들이 사용될 수 있다. 결과적으로, 제 1 및 제 2 타겟들에 대한 타겟 피치가 여하한의 고객 디자인 규칙들에 동의하도록 선택될 수 있다. 또한, 더 작은 타겟 피치들은 전체 타겟 크기가 감소될 수 있다는 것을 의미한다. 또한, 다수 피치들이 가능하다. 0차 방사선을 이용하는 것은, 회절된 방사선이 포착될 필요가 없고 dI 메트릭이 상대적인 최적 포커스 오프셋을 갖는 타겟들에 의해 흡수된 광의 차들을 설명한다는 것을 의미한다. 또한, 0차들의 측정이 신호 강도 및 신호-잡음 특성들을 증가시킬 수도 있다.
1차 회절된 방사선이 사용되는 경우, 그리고 측정마다 단 하나의 1차만이 필요하기 때문에, 1차 방사선 광을 사용하는 데 필요한 피치는 개구수 NA=1의 한계에서 λ/2(이때 λ는 검출 파장임)로 감소된다. 현재는 이 한계가 λ이다. 이는 선형 타겟 치수가 2 배만큼, 그리고 실제 구역(real estate)이 4 배만큼 감소될 수 있음을 의미할 것이다.
제 1 타겟 및 제 2 타겟 간의 최적 포커스 오프셋은 많은 방식으로 도입될 수 있다. 일 실시예에서, 타겟들을 프린트하는 데 사용되는 리소그래피 장치는 의도적인, 제어된 비점수차(deliberate, controlled astigmatism)를 가질 수 있다. 비점수차는 투영 광학기 내에 포함되는 다수의 매니퓰레이터(manipulator)들을 통해 투영 광학기로 도입될 수 있다. 많은 리소그래피 장치들 내의 투영 렌즈들은 원치 않는 파면 효과들 없이 최적 포커스 오프셋을 생성하는 충분히 큰 비점수차 오프셋을 가능하게 한다. 일 실시예에서, 비점수차는 수평 및 수직 피처들 간의 최적 포커스 오프셋을 도입할 수 있다. 이를 활용하기 위해, 제 1 및 제 2 타겟들은 각각 수평 격자 및 수직 격자(또는 그 역)를 포함할 수 있다.
일 실시예에서, 최적 포커스 오프셋은 레티클(패터닝 디바이스 또는 마스크라고도 함)에 의해 도입될 수 있다. 레티클 상에 통합되는 타겟들(라인-공간 격자들)의 쌍들을 갖는 것이 제안된다. 레티클은 위치들, 대략적으로 깊이 d로 기판이 에칭되는 경계 구역 및 타겟의 크기(예를 들어, 20x20㎛, 8x8㎛, 또는 5x5㎛)를 포함할 수 있다. 제 1 및 제 2 타겟들의 쌍 중 하나가 정상 마스크 레벨에 증착되고, 다른 하나가 (바람직하게는 인접한) 에칭 위치에 증착된다.
도 8은 이러한 구성을 달성하기 위한 다수의 대안적인 레티클 구성들을 예시한다. 도 8a는 상기 제 1 타겟 및 상기 제 2 타겟 중 하나를 프린트하는 데 사용될 수 있는 기준 타겟의 단면을 나타낸다. 이는 레티클 상의 종래의 타겟 피처이고, 투명한 레티클 기판(810) 상에 방사선 차단 구조체들(800)을 포함한다. 레티클은 여하한의 구조체 또는 재료로 이루어질 수 있다. 예를 들어, 투명한 레티클 기판(810)은 석영 유리를 포함할 수 있고, 방사선 차단 구조체들(800)은 크롬, 몰리브덴 규화물(다소 불투명함) 또는 탄탈 붕소 질화물을 포함할 수 있다.
이 기준 타겟이 도 8(b), 도 8(c) 또는 도 8(d)의 타겟 구성들 중 하나와 사용되는 것이 제안된다. 하지만, 이들이 상대적인 최적 포커스 오프셋을 유도한다면, 도 8에 나타낸 여하한의 타겟들 중 2 개(또는 그 이상)의 여하한의 조합이 사용될 수 있다.
도 8(b)는 타겟의 구역에서, 방사선 차단 구조체들(800)의 추가에 앞서 깊이 d로 에칭된 투명한 레티클 기판(810)을 나타낸다. 이러한 일 구성은 단순한 타겟을 제공하지만, "마스크 숍(mask shop)"에서 이를 행할 수 없음으로써 제조가 복잡하다. 도 8(c)는 도 8(a)와 유사한 구성을 나타내지만, 여기에서 레티클 기판(810)은 방사선 차단 구조체들(800)의 증착 후 깊이 d로 에칭되었다. 도 8(d)는 방사선 차단 구조체들(800)이 추가적인 금속(예를 들어, 크롬) 캡들(820)로 토핑(top)되는 구성을 나타낸다. 이는 TIS(투과 이미지 센서) 타겟과 유사하다. 이러한 일 구성은 EUV 리소그래피에서 가능하지 않다.
앞선 에칭된 예시들에서, 깊이(d)는 예를 들어 0.1 ㎛ 이상일 수 있으며, 더 명확하게는 0.1 ㎛ 내지 5 ㎛, 또는 0.5 ㎛ 내지 5 ㎛, 및 예를 들어 0.5 ㎛ 내지 3 ㎛의 구역 내에 있을 수 있다. 이 실시예에서, 깊이(d)는 1 ㎛의 구역 내에 있을 수 있다.
도 9는 제 1(기준) 타겟에서의 최적 포커스 오프셋을 달성하기 위한 또 다른 레티클 구성을 예시한다. 이 구성은 (예시의 방식으로) OMOG(Opaque MoSi on Glass) 및 attPSM(감쇠 위상-시프팅 마스크) 레티클 타입들에 적합하다. 특히, attPSM 레티클들에 대한 추세는 Cr 두께의 감소를 위한 것이다. 이는 앞서 설명된 도 8(d) 실시예의 Cr 토핑 효과를 감소시킬 것이다. 레티클 생산은 블랭크 상에 하나(또는 그 이상)의 여분 흡수재 스택들을 증착하는 것을 포함하고; 블랭크는 단일 흡수재 스택이 증착되는 레티클 기판을 포함한다. 흡수재 스택은 금속 층(예를 들어, Cr 층)으로 토핑된 불투명한 층(예를 들어, MoSi 층)을 포함할 수 있다.
도 9(a)는 새로운 레티클 블랭크를 나타낸다. 이는 2 개의 흡수재 스택들로 토핑된 레티클 기판(910)을 포함한다. 제 1 흡수재 스택이 제 1 층(920a)(예를 들어, MoSi 층) 및 제 2 층(920b)(예를 들어, Cr 층)을 포함한다. 또한, 제 2 흡수재 층이 2 개의 층들: 제 3 층(920c)(예를 들어, MoSi 층) 및 제 4 층(920d)(예를 들어, Cr 층)을 포함한다.
도 9(b)는 마지막 레티클 구성을 나타낸다. 이는 제 1 타겟(930) 및 제 2 타겟(940)을 나타낸다. 제 1 타겟(930)은, 이것이 차단 구조체들(900)의 [예를 들어, 제 1 층 재료(920a)로부터 형성된] 단일 불투명 층을 포함한다는 점에서 통상적이다. 제 2 타겟(940)은 각각 3 개의 층들: 제 1 층 재료(920a), 제 2 층 재료(920b) 및 제 3 층 재료(920c)로부터 각각 형성된 제 1 층(950a), 제 2 층(950b) 및 제 3 층(950c)을 갖는 차단 구조체들(950)을 포함한다. 제 4 층(920d)은 완전히 제거된다.
이러한 레티클을 생성하는 공정은 다음 단계들을 포함할 수 있다:
· 블랭크 상에 1 이상의 여분 흡수재 스택들을 증착함(이는 블랭크의 제공자에 의해 수행될 수 있음);
· 기판(910)의 깊이까지 두 스택들을 통해 [타겟들(930, 940)을 포함한] 타겟 층을 에칭함;
· 제 1 타겟 및 그 대응하는 층에 대한 여분의 스택을 제거함 -이 층은 기준 타겟들(제 1 타겟들) 및 제품을 포함하며, 제 2 타겟들은 포함하지 않고, 이 단계 동안 레지스트가 제 2 타겟들을 덮음- ;
· 종래의 방식으로 기준 층을 에칭함 -이 단계 동안 레지스트가 제 2 타겟들을 덮음- .
최적 포커스 오프셋을 갖는 2 개의 타겟들을 얻는 또 다른 방법은, 제 1 타겟의 개별적인 구조체들의 SWA가 포커스에 둔감하도록 라인-공간 타겟을 포함한 제 1 타겟에 포커스에 둔감한 측벽 각도(SWA)를 제공하고, 제 2 타겟에 포커스에 민감한 SWA를 제공하는 단계를 포함한다. 제 2 타겟은 세분화된 라인(segmented line)을 포함할 수 있고, 세분화는 리소그래피 장치에 대한 분해능-이하이다.
도 10은 이러한 제 1 및 제 2 타겟들을 생성하는 레티클 구성을 예시한다. 제 1 타겟(1000)(부분적으로 나타냄)은 포커스에 둔감한 SWA를 갖는 기판 상의 대응하는 타겟 구조체들을 생성하는 구조체들(1010)을 갖는 라인-공간 타겟을 포함한다. 일 실시예에서, SWA는 작다(즉, 수직에 가까움). 제 2 타겟(1020)(부분적으로 나타냄)은 세분화된 라인 구조체들(1030)을 갖는 라인-공간 타겟을 포함한다. 세분화된 라인 구조체들(1030)은 고분해 서브구조체들(1040)을 포함하고, 이는 도 6의 고분해 서브구조체들(625)과 유사할 수 있다. 제 2 타겟(1020)은 기판에 노광되는 결과적인 타겟이 포커스 의존적 SWA를 갖도록 이루어진다.
제 1 타겟(1000) 및 제 2 타겟(1020)은 각각 포커스와의 타겟 파라미터 응답을 갖고, 이는 도 7에 예시된 응답과 유사한 최적 포커스 오프셋을 갖는 보썽 곡선들을 묘사한다. 이 최적 포커스 오프셋은 타겟들 중 단 하나의 포커스 의존적 SWA의 결과이다. SWA는 포커스에 따라 선형으로 변동하며, 이는 보썽 피크의 시프트를 야기한다. 이 방법에 의해, (도 6에 예시된 바와 같은) 비대칭 타겟이 유사한 성능을 갖는 2 개의 별개인 대칭 타겟들로 분리될 수 있다. 이는 파라미터 값들에 대한 전체 피치의 사용 및 더 효율적인 타겟 선택을 가능하게 한다.
(투영 광학기의 비점수차를 통하기보다는) 레티클에 최적 포커스 오프셋을 도입하는 것의 장점은, 이것이 제품-내(on-product) 및 제품-외(off-product) 포커스 모니터링을 둘 다 허용한다는 것이다. 투영 광학기 내의 비점수차의 요건은 이러한 방법들이 제품-외 모니터링에만 사용될 수 있다는 것을 의미한다.
도 11은 대표적인 실시예에 따른 리소그래피 공정 동안 포커스 파라미터를 모니터링하는 방법의 단계들의 흐름도이다. 단계들은 다음과 같고, 그 후 더 상세히 설명된다:
1100- 시작;
1110- 상대적인 최적 포커스 오프셋을 갖는 제 1 및 제 2 타겟들을 프린트함;
1120- 제 1 타겟의 검사로부터 제 1 측정을 수행하여 제 1 측정 값을 얻음;
1130- 제 2 타겟의 검사로부터 제 2 측정을 수행하여 제 2 측정 값을 얻음;
1140- 제 1 측정 값 및 제 2 측정 값의 차로부터 포커스를 계산함;
1150- 후속 노광들에 대한 포커스 설정에서 계산된 포커스 측정을 이용함;
1160- 종료.
단계 1110에서, 이미 설명된 바와 같이 상대적인 최적 포커스 오프셋을 갖는 제 1 및 제 2 타겟들(적어도)이 프린트된다. 상대적인 최적 포커스 오프셋은, 예를 들어 제 1 및 제 2 타겟들을 형성하는 타겟 형성 구조체들 사이의 레티클에서의 상대적인 깊이 오프셋을 통해 도입될 수 있다. 대안적으로, 상대적인 최적 포커스 오프셋은 리소그래피 시스템의 투영 광학기 내의 비점수차를 통해 도입될 수 있다. 또 다른 대안적인 예시에 의해, 도 10에 예시된 레티클 구성이 사용될 수 있다. 또한, 두 타겟들 간의 상대적인 최적 포커스 오프셋을 도입하는 다른 방법들이 가능하고, 이 기재내용의 범위 내에서 관찰된다.
단계 1120에서, 타겟 파라미터에 대한 제 1 측정 값을 얻기 위해 제 1 타겟의 검사로부터 제 1 측정이 수행된다. 일 실시예에서, 이 제 1 측정은 제 1 타겟에 의해 산란된 방사선의 회절 차수들 중 하나의 세기(또는 관련 파라미터)로 이루어질 수 있다. 이 제 1 측정은, 예를 들어 본 명세서에서 설명된 여하한의 스케터로미터 디바이스들을 이용하여 얻어질 수 있다. 또한, 제 1 측정은 (스케터로미터, 스캐닝 전자 현미경 또는 다른 적절한 디바이스를 이용한 획득 여부와 상관없이) CD 측정 또는 포커스와 보썽 곡선 관계를 갖는 파라미터의 여하한의 다른 측정인 것으로 본 기재내용의 범위 내에서 고려된다.
단계 1130에서, 타겟 파라미터에 대한 제 2 측정 값을 얻기 위해 제 2 타겟의 검사로부터 제 2 측정이 수행된다. 이 제 2 측정은 제 1 측정과 동일한 방법을 이용하여 수행되어야 한다. 제 1 측정이 제 1 타겟에 의해 산란된 방사선의 회절 차수들 중 하나의 세기(또는 관련 파라미터)로 이루어진 경우, 제 2 측정은 제 2 타겟에 의해 산란된 방사선의 동일한 회절 차수로 이루어져야 한다. 회절 차수는 1차 회절 차수들 또는 0차 회절 차수 중 어느 하나일 수 있다. 하지만, 더 높은 회절 차수들이 사용될 수도 있으며, 본 기재내용의 범위 내에 있다. 2보다 많은 타겟들이 프린트되는 경우, 또 다른 측정들이 수행될 수 있다. 이 추가 타겟들은 각각 상기 제 1 타겟 및/또는 상기 제 2 타겟과 상이한 최적 포커스 오프셋들을 포함할 수 있다.
제 1 측정 값 및 제 2 측정 값이 단일 획득으로 얻어지도록 단계 1120 및 단계 1130이 단일 단계로서 수행될 수 있다는 것을 이해하여야 한다. 또한, 2보다 많은 타겟들이 측정되는 경우, 모든 타겟들이 단일 획득으로 측정되어 대응하는 수의 측정 값들을 얻을 수 있다. 특정한 예시에서, 도 5에 예시된 바와 같은 측정 디바이스가 다수의 개별적인 타겟들(개별적인 주기적 구조체들 또는 격자들)을 포함한 복합 타겟을 측정하는 데 사용될 수 있다. 복합 타겟의 격자들은 함께 밀접하게 위치될 수 있어, 이들 모두가 메트롤로지 장치의 조명 빔에 의해 형성되는 이미지 필드 또는 측정 스폿 내에 있을 것이다. 이 방식으로, 격자들은 모두 동시에 조명되고 동시에 검출기 상에 이미징될 수 있다. 그 후, 이 이미지들은 격자들의 별개의 이미지들을 식별하도록 처리될 수 있다. 이는 패턴 매칭 기술들에 의해 행해질 수 있어, 이미지들이 센서 프레임 내의 특정한 위치에서 매우 정밀하게 정렬될 필요가 없도록 한다. 일단 격자들의 별개의 이미지들이 식별되면, 예를 들어 식별된 영역들 내의 선택된 픽셀 세기 값들을 평균 또는 합산함으로써 그 개별적인 이미지들의 세기들이 측정될 수 있다. 또 다른 실시예에서, 제 1 및 제 2 타겟들은 복합 타겟 내에 포함될 수 있지만, 2 개의 분리된 획득으로 따로따로 측정될 수 있다.
단계 1140에서, 포커스는 제 1 및 제 2 측정 값들로부터, 예를 들어 제 1 및 제 2 측정 값들의 차로부터 계산된다. 이 계산은 수학식 1 또는 다른 적절한 수학식 또는 방법을 이용하여 수행될 수 있다.
단계 1150에서, 계산된 포커스는 그 후 노광 동안 포커스 정확성 및 일관성을 유지하기 위해, 후속 리소그래피 공정들 동안 포커스 파라미터 모니터링에서 사용될 수 있다.
앞선 설명은 포커스를 결정하는 방법들을 설명한다. 하지만, 도즈의 측정에 대한 방법도 설명된다. 현재의 회절 기반 도즈 메트롤로지는 파라미터화된 레지스트 패턴의 회절 패턴의 시뮬레이션에 기초한다. 그 후, 파라미터들은 결과적인 0차 회절 효율, 특히 그 각도 의존성이 측정들과 일치하도록 조정된다. 관심 범위 내의 CD 및 피치를 갖는 라인/공간(LS) 타겟들이 사용된다. 이 방법은 CD 재구성(CDR)이라고 칭해지며, 파라미터화된 모델의 정확함(correctness)에 의존한다. 모델은 필연적으로 제한된 수의 파라미터들을 갖는 레지스트 패턴에 대한 개략적 근사(schematic approximation)이다. 모델은 스택의 지오메트리 및 광학 파라미터들의 정보를 필요로 한다. 이는 일반적으로 독점적 정보이고, 이에 따라 얻기 어려우며, 부정확할 수 있다.
그러므로, 도즈를 결정하는 더 간단한 방법이 제안되며, 이는 크로스토크 -오프셋 및 스케일링 둘 다- 가 최소화되도록 매칭된 속성들을 갖는 레지스트 패턴들의 쌍들에서, 또는 역 듀티 사이클들로 레지스트 패턴들을 갖는 제 1 라인-공간 타겟 및 제 2 라인-공간 타겟을 형성하는 것을 포함한다. 일 실시예에서, 제 1 및 제 2 타겟들은 각각 동일한 피치를 갖지만, 제 1 타겟이 라인 타겟인 경우에 제 2 타겟은 대응하는 공간 타겟일 것이므로, 제 1 타겟의 라인들의 폭이 제 2 타겟의 공간들의 폭과 같다. 도 12는 CD a를 갖는 개별적인 레지스트 피처들(1210)을 갖는 제 1 타겟(1200), 및 CD b를 갖는 개별적인 레지스트 피처들(1230)을 갖는 제 2 타겟(1220)을 갖는 타겟 구성을 예시하며, 각각의 타겟은 동일한 피치를 갖는다.
도 13은 피치 600 nm의 라인 공간 타겟의 레지스트(라인) CD에 대한 1차 세기(I)의 그래프(1310)를 나타낸다. 대응하는 라인 및 공간 타겟들(예를 들어, 150 nm의 레지스트 CD를 갖는 제 1 타겟 및 450 nm의 레지스트 CD를 갖는 제 2 타겟)에 대한 측정된 세기가 거의 동일하여야 함을 알 수 있다. 하지만, 레지스트 CD는 도즈에 의존적이어서, 도즈의 증가가 레지스트 CD의 감소를 유도하고, 그 역도 마찬가지이다. 결과적으로, (예를 들어) 도즈의 증가가 제 1 타겟 및 제 2 타겟 둘 다에 대한 레지스트 CD의 감소를 야기할 것이다. 이는 제 1 타겟에 대한 1차 세기의 감소 및 제 2 타겟에 대한 1차 세기의 증가를 야기할 것이다. 그러므로, 제 1 및 제 2 타겟들에 대한 도즈 민감도는 반대라는 것을 알 수 있다. 그러므로, 제 1 및 제 2 타겟들에 대한 세기 측정들의 차는 도즈 메트릭으로서 사용되는 타겟 파라미터일 수 있다.
여하한의 공정 변동이 어느 정도까지 도즈 변동과 동일한 효과를 가질 수 있다는 것을 유의할 수 있다. 저부 반사-방지 코팅(BARC) 및 레지스트 두께 변동뿐 아니라, 이차 전자 블러(secondary electron blur: SEB) 변동 및 노광후 베이크(PEB)와 같은 공정 변동들이 얇은 레지스트 필름에서의 노광 세기를 변경한다. 하지만, BARC 및 레지스트 두께 변동은 측정된 회절 세기에 영향을 준다: 더 두꺼운 BARC 및 레지스트가 측정된 1차 세기의 증가를 유도한다. 이 효과는 CD에 의존하지 않고, 결과적인 세기 변화는 두 타겟들에 대해 같다. 타겟들이 매칭하는 공칭 1차 세기 응답을 갖는 경우, 두 타겟들로부터의 측정들의 차를 취할 때 여하한의 크로스토크 유도 신호 오프셋이 상쇄될 것이다. 여하한의 크로스토크 유도 스케일링은 신호 차 및 신호 평균에 대해 같을 것이다. 그러므로, 차 및 평균 측정된 세기들의 비는 공정 크로스토크에 대해 견고하지만, 공정 유도 도즈 효과들을 포함하여 도즈에는 민감할 것으로 예상된다.
포커스의 함수로서 도즈 캘리브레이션 곡선들을 측정하는 것이 제안된다. 실제 도즈는 포커스가 알려진다고 가정하여 도즈 캘리브레이션으로부터 추론될 수 있다. 본 명세서에서 개시된 방법들 중 어느 하나를 이용하여, (예를 들어, 도 6에 나타낸 형태의 구조체들을 이용한) 이전 회절 기반 포커스 방법들, 또는 여하한의 다른 적절한 방법에 의해 포커스가 결정될 수 있다.
제 1 및 제 2 타겟들은 라인-공간 타겟들로서 설명되지만, 이들은 적절한 1차 응답들을 생성하는 여하한의 도즈 의존적 레지스트 타겟들을 포함할 수 있다. 일 실시예에서, 타겟과 제품 사이의 공정 유도 도즈 효과들에 응답하여 여하한의 차이를 설명하기 위해 보정이 결정될 수 있다.
제안된 방법은 1차 세기 측정들이 모델 시뮬레이션 또는 예측의 필요 없이 도즈를 결정하는 데 사용될 수 있게 한다. 상기 방법은 타겟 성능에서 공정 변동들에 대한 민감도를 억제한다. 타겟 성능에 대한 조명 및 도즈 조건들의 영향은 작다. 상기 방법은 스캐너 도즈 및 도즈와 같은 공정 효과들에 민감하다. 1차 신호들의 사용은 이들이 더 우수한 산탄 잡음 성능을 갖기 때문에 0차 신호들을 이용하는 것보다 더 정확하다.
타겟들은 앞서 라인-공간 격자 타겟들로서 설명되는데, 이는 이들이 생성하고 측정하기에 간단하기 때문이다. 하지만, 타겟들은 측정가능한 타겟 파라미터 및 포커스 사이에 보썽 곡선 응답을 유도하는 여하한의 구조체를 포함할 수 있다. 예를 들어, 타겟들은 조합된 수평 및 수직 라인-공간 격자들을 포함하여, "접촉 홀(contact hole)" 구성을 형성할 수 있다. 이러한 타겟이, 더 많은 회절 차수들이 포착될 수 있게 한다. 타겟 구성들은 2보다 많은 타겟들을 포함할 수 있다. 결과적으로, 본 명세서에 설명된 방법들은 2보다 많은 타겟들에 대한 측정들을 수행하는 단계를 포함할 수 있다.
몇몇 상황들에서, 레티클 피처들의 소정 파라미터들에 대한 제약들을 유도하는 디자인 규칙들이 부과된다. 이러한 디자인 규칙들의 일 예시는 라인-공간 타겟에 대한 고정된 피치 및/또는 CD를 갖는(및 이에 따라 타겟에 부과되는) 디자인 그리드들의 제공이다. 본 명세서에 설명된 많은 타겟들이 이러한 디자인 규칙들을 위반할 수 있다.
특정한 예시에 의해, 디자인 그리드 기반 디자인 규칙이 100 nm의 타겟 피치 및 40 nm의 CD를 부과할 수 있다; 즉, 라인들이 라인-공간 격자의 방향으로 100 nm 피치를 갖는 그리드에서, 및 40 nm의 CD로만 형성될 수 있다. 하지만, 타겟은 1차 신호들이 검출되고 측정될 수 있도록, 실제로는 600 nm의 피치를 갖는 것이 바람직할 수 있다. 이러한 라인-타입 타겟이 이러한 그리드들의 열에서 대응하는 그리드 위치들 상에 1 또는 2 개의 이러한 라인들을 제공함으로써 얻어질 수 있다고 제안된다. 그러므로, 각각의 그리드가 단일 타겟 피처를 정의할 것이다. 이러한 그리드들의 열에서 대응하는 그리드 위치들 상에 4 또는 5 개의 이러한 라인들을 제공함으로써 유사한 공간-타입 타겟이 얻어질 수 있다.
이 패턴들이 기판 상에서 디자인된 치수들 및 충분히 큰 초점 심도(depth of focus)를 갖도록 이들을 이미징하는 것이 바람직하다. 그러므로, 라인들은 [예를 들어, 광 근접성 보정(optical proximity correction) 방법들과 유사한 방식으로] 편향(bias)될 수 있고, 각각의 그리드 상의 빈 위치들에 (예를 들어, 20 nm의) 어시스트 피처들이 선택적으로 배치될 수 있다. 이 방식으로, 타겟은 (예를 들어, SWA에 관하여) 더 안정적이고 더 대칭으로 만들어질 수 있다.
도 14(a) 내지 도 14(f)는 각각 그리드에 기초하는 가능한 타겟 피처들의 일 예시를 포함한다. 특히, 앞서 주어진 예시에 따라 CD가 40 nm이고 그리드 피치가 100 nm인 경우, 도 14(e)의 2 개의 라인 예시 및 도 14(c)의 4 개의 라인 예시는 각각 약 100 내지 150 nm의 CD 및 600 nm의 피치를 갖는 라인 및 공간 타겟들에 대한 타겟 피처들을 에뮬레이트(emulate)한다.
리소그래피 공정을 이용하여 디바이스들을 제조하는 방법은 본 명세서에 개시된 바와 같은 검사 장치를 제공하고, 이를 처리된 기판들을 측정하여 리소그래피 공정의 성능의 파라미터들을 측정하는 데 이용하고, 공정(특히 포커스)의 파라미터들을 조정하여 후속 기판들의 처리를 위한 리소그패피 공정의 성능을 개선하거나 유지함으로써 개선될 수 있다.
앞선 예시들에서 사용된 특정 파라미터들이 정의될 수 있는 유일한 것들은 아니라는 것을 이해하여야 한다. 메트롤로지를 위해 사용될 리소그래피 장치 및 검사 장치의 제약들에 따라, 추가적인 및/또는 대안적인 파라미터들이 실제 디자인 공정에서 사용될 수 있다. 앞서 설명된 타겟 구조체들은 구체적으로 측정을 위해 디자인되고 형성된 메트롤로지 타겟들이지만, 다른 실시예들에서는 속성들이 기판 상에 형성된 디바이스들의 기능부들인 타겟들 상에서 측정될 수 있다. 많은 디바이스들은 규칙적인, 격자-형 구조체들을 갖는다. 본 명세서에서 사용된 바와 같은 '타겟 격자' 및 '타겟 구조체'라는 용어들은 구조체가 구체적으로 수행되는 측정을 위해 제공될 것을 요구하지 않는다.
기판들 및 패터닝 디바이스들 상에 실현된 바와 같은 타겟들의 물리적 격자 구조체들과 관련하여, 일 실시예는 메트롤로지 레시피들을 디자인하고, 및/또는 그 메트롤로지 레시피들의 조명 모드들 및 다른 실시형태들을 구현하도록 검사 장치를 제어하는 방법들을 설명하는 기계-판독가능한 명령어들의 1 이상의 시퀀스를 포함한 컴퓨터 프로그램을 포함할 수 있다. 이 컴퓨터 프로그램은, 예를 들어 디자인/제어 공정을 위해 채택된 별도의 컴퓨터 시스템에서 실행될 수 있다. 대안적으로, 디자인 공정은 도 2의 제어 유닛(LACU) 및/또는 도 3, 도 4 또는 도 5의 장치 내의 유닛(PU) 내에서 전체적으로 또는 부분적으로 수행될 수 있다. 또한, 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)가 제공될 수 있다.
본 발명에 따른 추가 실시예들이 번호가 매겨진 아래의 항목들에서 제공된다:
1. 리소그래피 공정 동안 포커스 파라미터를 모니터링하는 방법으로, 상기 방법은:
제 1 측정 값을 획득하는 단계 -상기 제 1 측정 값은 제 1 타겟의 검사로부터 얻어졌음- ;
제 2 측정 값을 획득하는 단계 -상기 제 2 측정 값은 제 2 타겟의 검사로부터 얻어졌고, 상기 제 1 타겟 및 제 2 타겟은 상대적인 최적 포커스 오프셋으로 노광되었음- ; 및
상기 제 1 측정 값 및 상기 제 2 측정 값으로부터 포커스 파라미터를 결정하는 단계를 포함한다.
2. 1 항에 따른 방법에서, 상기 제 1 측정 값은 상기 제 1 타겟으로부터 산란된 방사선의 제 1 측정으로부터 얻어졌고, 상기 제 2 측정 값은 상기 제 2 타겟으로부터 산란된 방사선의 제 2 측정으로부터 얻어졌다.
3. 2 항에 따른 방법에서, 상기 제 1 측정은 상기 제 1 타겟으로부터 산란된 방사선의 회절 차수의 세기 측정이고, 상기 제 2 측정은 상기 제 2 타겟으로부터 산란된 방사선의 대응하는 회절 차수의 세기 측정이다.
4. 3 항에 따른 방법에서, 상기 회절 차수는 0차 회절 차수이다.
5. 3 항에 따른 방법에서, 상기 회절 차수는 비(non)-0차 회절 차수이다.
6. 1 항 내지 5 항 중 어느 하나에 따른 방법에서, 상기 제 1 측정 값을 얻도록 상기 제 1 측정을 수행하는 단계 및 상기 제 2 측정 값을 얻도록 상기 제 2 측정을 수행하는 단계를 포함한다.
7. 1 항 내지 5 항 중 어느 하나에 따른 방법에서, 적어도 상기 제 1 타겟 및 상기 제 2 타겟을 단일 측정으로 검사하여, 상기 제 1 측정 값 및 상기 제 2 측정 값을 얻는 단계를 포함한다.
8. 1 항 내지 7 항 중 어느 하나에 따른 방법에서, 상기 포커스 파라미터를 결정하는 단계는 상기 제 1 측정 값 및 상기 제 2 측정 값의 차로부터 포커스 파라미터를 결정하는 단계를 포함한다.
9. 8 항에 따른 방법에서, 상기 제 1 측정 값 및 상기 제 2 측정 값의 상기 차는 상기 제 1 측정 값 및 상기 제 2 측정 값의 평균으로 나누어진다.
10. 8 항 또는 9 항에 따른 방법에서, 포커스와의 상기 제 1 측정 값의 변동 및 포커스와의 상기 제 2 측정 값의 변동은 각각 상대적인 포커스 오프셋을 갖는 대응하는 보썽 곡선들을 정의하고, 포커스와의 상기 제 1 측정 값 및 상기 제 2 측정 값의 상기 차의 변동은 실질적으로 선형이다.
11. 10 항에 따른 방법에서, 포커스와의 상기 제 1 측정 값 및 상기 제 2 측정 값의 상기 차의 변동은 상대적인 최적 포커스 오프셋 및 상기 보썽 곡선들의 곡률에 의존적인 기울기를 갖는 라인에 의해 정의된다.
12. 1 항 내지 11 항 중 어느 하나에 따른 방법에서, 상대적인 최적 포커스 오프셋은 상기 제 1 타겟 및 제 2 타겟의 형성 동안 리소그래피 장치 내의 비점수차로부터 발생한다.
13. 12 항에 따른 방법에서, 상기 비점수차는 수평 및 수직 구조체들 간의 상대적인 최적 포커스 오프셋을 유도하며, 상기 제 1 타겟은 실질적으로 수평 구조체들을 포함하고, 상기 제 2 타겟은 실질적으로 수직 구조체들을 포함한다.
14. 1 항 내지 12 항 중 어느 하나에 따른 방법에서, 상대적인 최적 포커스 오프셋은 상기 제 1 타겟 및 제 2 타겟을 정의하는 패터닝 디바이스로부터의 결과로서 생긴다.
15. 14 항에 따른 방법에서, 상기 제 1 타겟 및 제 2 타겟을 정의하는 상기 패터닝 디바이스 내의 패턴들의 깊이에 있어서 오프셋이 존재한다.
16. 14 항 또는 15 항에 따른 방법에서, 하나의 상기 제 1 타겟 또는 상기 제 2 타겟을 정의하는 패턴들 중 하나는 상기 제 1 타겟 또는 상기 제 2 타겟 중 다른 하나와 비교하는 경우에 타겟의 평면을 가로지르는 방향으로 더 긴 라인 피처들을 포함한다.
17. 16 항에 따른 방법에서, 적어도 하나의 추가적인 흡수재 재료 층을 갖는다.
18. 17 항에 따른 방법에서, 추가적인 층은: 금속, 몰리브덴 규화물 또는 탄탈 붕소 질화물 중 하나를 포함한다.
19. 17 항에 따른 방법에서, 추가적인 층은 추가적인 흡수재 재료의 스택을 포함한다.
20. 14 항에 따른 방법에서, 상기 제 1 타겟은 실질적으로 포커스 독립적인 측벽 각도를 갖는 라인 피처들을 포함하고, 상기 제 2 타겟은 포커스 의존적 측벽 각도를 갖는 라인 피처들을 포함한다.
21. 20 항에 따른 방법에서, 상기 제 1 타겟 및 상기 제 2 타겟 각각은 라인-공간 격자 구조체들을 포함하고, 상기 제 2 타겟들을 정의하는 패터닝 디바이스 상의 패턴은 세분화된 라인 피처들을 포함하며, 각각의 세분화된 라인 피처는 리소그래피 공정의 이미징 분해능 이하의 피치를 갖는다.
22. 1 항 내지 21 항 중 어느 하나에 따른 방법에서, 상기 상대적인 최적 포커스 오프셋으로 기판 상에 상기 제 1 타겟 및 상기 제 2 타겟을 형성하는 단계를 포함한다.
23. 1 항 내지 22 항 중 어느 하나에 따른 방법에서, 상기 제 1 타겟 및 상기 제 2 타겟은 각각 라인-공간 격자 구조체들을 포함한다.
24. 1 항 내지 23 항 중 어느 하나에 따른 방법에서, 디자인 규칙들은 설정된 임계 치수로, 및 설정된 피치의 그리드에서 타겟 피처들을 제한하고, 상기 제 1 타겟 및 상기 제 2 타겟은 각각 상기 그리드들의 열들로부터 형성되어, 상기 제 1 타겟 및 상기 제 2 타겟의 각각의 라인 피처가 상기 그리드들 상에 형성된 하나, 또는 복수의 인접한 대응하는 타겟 피처들로부터 형성되도록 한다.
25. 1 항 내지 24 항 중 어느 하나에 따른 방법에서, 상기 제 1 타겟 및 상기 제 2 타겟을 정의하는 패턴들은 상기 제 1 타겟 및 상기 제 2 타겟에서 포커스 의존적 비대칭을 의도적으로 도입하는 피처들을 포함하지 않는다.
26. 1 항 내지 25 항 중 어느 하나에 따른 방법에서:
추가적인 타겟들의 추가적인 측정들을 수행하는 단계 -상기 추가적인 타겟들은 상기 제 1 타겟 및 상기 제 2 타겟에 추가적이고, 상기 추가적인 타겟들 각각은 상기 제 1 타겟 및/또는 상기 제 2 타겟과 상이한 최적 포커스를 가짐- ; 및
상기 포커스 파라미터를 결정하는 단계에서 상기 추가적인 측정들을 이용하는 단계를 포함한다.
27. 리소그래피 공정 동안 도즈 파라미터를 모니터링하는 방법으로, 상기 방법은:
제 1 측정 값을 획득하는 단계 -상기 제 1 측정 값은 제 1 타겟의 검사로부터 얻어졌음- ;
제 2 측정 값을 획득하는 단계 -상기 제 2 측정 값은 제 2 타겟의 검사로부터 얻어졌음- ; 및
상기 제 1 측정 값 및 상기 제 2 측정 값으로부터 도즈 파라미터를 결정하는 단계를 포함하며,
상기 제 1 및 제 2 타겟들은 동일한 피치 및 역 듀티 사이클들을 갖는 대응하는 라인 및 공간 타겟들을 포함한다.
28. 26 항 또는 27 항에 따른 방법에서, 상기 도즈 파라미터를 결정하는 단계는 상기 제 1 측정 값 및 상기 제 2 측정 값의 차로부터 도즈 파라미터를 결정하는 단계를 포함한다.
29. 26 항 내지 28 항 중 어느 하나에 따른 방법에서, 상기 도즈 파라미터를 결정하는 단계는:
상기 리소그래피 공정의 포커스 파라미터를 결정하는 단계; 및
상기 결정된 포커스 파라미터에 대응하는 도즈 캘리브레이션 곡선을 참조하는 단계를 포함한다.
30. 29 항에 따른 방법에서, 상기 도즈 파라미터를 결정하는 단계는 1 항 내지 26 항 중 어느 하나의 방법을 수행하는 단계를 포함한다.
31. 리소그래피 공정의 파라미터를 측정하는 메트롤로지 장치로, 메트롤로지 장치는 1 항 내지 30 항 중 어느 하나의 방법을 수행하도록 작동가능하다.
32. 31 항에 따른 메트롤로지 장치에서:
복수의 타겟들을 갖고 있는 기판에 대한 지지체;
각각의 타겟을 측정하는 광학 시스템; 및
프로세서를 포함한다.
33. 리소그래피 시스템으로,
리소그래피 장치를 포함하고, 이는:
패턴을 조명하도록 배치되는 조명 광학 시스템;
기판 상으로 패턴의 이미지를 투영하도록 배치되는 투영 광학 시스템; 및
31 항 또는 32 항에 따른 메트롤로지 장치를 포함하며,
리소그래피 장치는 또 다른 기판들에 패턴을 적용하는 단계에서 메트롤로지 장치에 의해 계산되는 결정된 포커스 파라미터 및/또는 도즈 파라미터를 사용하도록 배치된다.
34. 컴퓨터 프로그램으로, 적절한 프로세서 제어 장치에서 운영되는 경우, 프로세서 제어 장치가 1 항 내지 26 항 중 어느 하나의 방법을 수행하게 하는 프로세서 판독가능한 명령어들을 포함한다.
35. 컴퓨터 프로그램 캐리어로, 34 항의 컴퓨터 프로그램을 포함한다.
36. 디바이스들을 제조하는 방법으로, 디바이스 패턴이 리소그래피 공정을 이용하여 일련의 기판들에 적용되며, 상기 방법은:
상기 포커스 파라미터를 모니터링하기 위해 1 항 내지 26 항 중 어느 하나의 방법을 이용하는 단계, 및
결정된 포커스 파라미터에 따라 추후 기판들에 대한 리소그래피 공정을 제어하는 단계를 포함한다.
37. 디바이스들을 제조하는 방법으로, 디바이스 패턴이 리소그래피 공정을 이용하여 일련의 기판들에 적용되며, 상기 방법은:
상기 도즈 파라미터를 모니터링하기 위해 27 항 내지 30 항 중 어느 하나의 방법을 이용하는 단계, 및
결정된 도즈 파라미터에 따라 추후 기판들에 대한 리소그래피 공정을 제어하는 단계를 포함한다.
38. 원하는 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스로, 상기 패터닝 디바이스는 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 리소그래피 공정 동안 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 상기 제 2 피처들은 상기 제 1 타겟 및 상기 제 2 타겟이 상대적인 최적 포커스 오프셋을 갖도록 타겟의 평면을 가로지르는 방향으로 상기 제 1 피처들보다 길다.
39. 38 항에 따른 패터닝 디바이스에서, 상기 제 1 피처들 및 제 2 피처들은 레티클 기판 상에 증착되고, 상기 제 2 피처들은 상기 제 1 피처들이 증착된 레티클 기판의 부분과 비교하여, 타겟의 평면을 가로지르는 방향으로 상이한 레벨로 에칭된 레티클 기판의 부분에 증착된다.
40. 38 항 또는 39 항에 따른 패터닝 디바이스에서, 상기 제 2 피처들은 상기 제 1 피처들보다 1 이상의 추가적인 층들을 포함한다.
41. 40 항에 따른 패터닝 디바이스에서, 1 이상의 추가적인 층들은 흡수재 재료 층을 포함한다.
42. 41 항에 따른 패터닝 디바이스에서, 추가적인 흡수재 재료 층은: 금속, 몰리브덴 규화물 또는 탄탈 붕소 질화물 중 하나를 포함한다.
43. 40 항에 따른 패터닝 디바이스에서, 1 이상의 추가적인 층들은 추가적인 흡수재 재료의 스택을 포함하고, 상기 추가적인 흡수재 재료의 스택은 제 1 흡수재 재료 층 및 제 2 흡수재 재료 층을 포함한다.
44. 43 항에 따른 패터닝 디바이스에서, 상기 제 1 흡수재 재료 층은 몰리브덴 규화물 또는 탄탈 붕소 질화물을 포함하고, 상기 제 2 흡수재 재료 층은 금속을 포함한다.
45. 원하는 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스로, 상기 패터닝 디바이스는 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 리소그래피 공정 동안 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 상기 제 1 피처들은 실질적으로 포커스 독립적인 측벽 각도를 갖는 라인 피처들로 상기 제 1 타겟을 형성하도록 구성되고, 상기 제 2 피처들은 포커스 의존적인 측벽 각도를 갖는 라인 피처들로 상기 제 2 타겟을 형성하도록 구성된다.
46. 45 항에 따른 패터닝 디바이스에서, 상기 제 1 타겟 및 상기 제 2 타겟 각각은 라인-공간 격자 구조체들을 포함하고, 제 2 피처들은 세분화된 라인 피처들을 포함하며, 각각의 세분화된 라인 피처는 리소그래피 공정의 이미징 분해능 이하의 피치를 갖는다.
47. 원하는 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스로, 상기 패터닝 디바이스는 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 리소그래피 공정 동안 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 디자인 규칙들은 설정된 피치의 그리드에서, 및 설정된 임계 치수로 타겟 피처들을 제한하고, 상기 제 1 타겟 및 상기 제 2 타겟은 각각 상기 그리드들의 열들로부터 형성되어, 상기 제 1 피처들 및 상기 제 2 피처들이 각각 상기 그리드들에 형성된 하나, 또는 복수의 인접한 대응하는 타겟 피처들로부터 형성되도록 한다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
특정 실시예들의 앞선 설명은, 당업계의 지식을 적용함으로써, 다양한 적용들에 대해 본 발명의 일반적인 개념을 벗어나지 않고 지나친 실험 없이 이러한 특정 실시예들을 쉽게 변형하고, 및/또는 응용할 수 있도록 본 발명의 일반적인 성질을 전부 드러낼 것이다. 그러므로, 이러한 응용예 및 변형예들은 본 명세서에 나타낸 교시 및 안내에 기초하여, 기재된 실시예들의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서, 어구 또는 전문 용어는 예시에 의한 설명을 위한 것이며 제한하려는 것이 아니므로, 당업자라면 본 명세서의 전문 용어 또는 어구가 교시 및 안내를 고려하여 해석되어야 한다는 것을 이해하여야 한다.
본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.

Claims (15)

  1. 리소그래피 공정 동안 포커스 파라미터를 모니터링하는 방법에 있어서,
    제 1 측정 값을 획득하는 단계 -상기 제 1 측정 값은 제 1 타겟의 검사로부터 얻어짐- ;
    제 2 측정 값을 획득하는 단계 -상기 제 2 측정 값은 제 2 타겟의 검사로부터 얻어지고, 상기 제 1 타겟 및 제 2 타겟은 상대적인 최적 포커스 오프셋(relative best focus offset)으로 노광됨- ; 및
    상기 제 1 측정 값 및 상기 제 2 측정 값으로부터 상기 포커스 파라미터를 결정하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 포커스 파라미터를 결정하는 단계는 상기 제 1 측정 값 및 상기 제 2 측정 값의 차(difference)로부터 상기 포커스 파라미터를 결정하는 단계를 포함하는 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 상대적인 최적 포커스 오프셋은 상기 제 1 타겟 및 제 2 타겟의 형성 동안 리소그래피 장치에서의 비점수차로부터 발생하는 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 상대적인 최적 포커스 오프셋으로 기판 상에 상기 제 1 타겟 및 상기 제 2 타겟을 형성하는 단계를 포함하는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 타겟 및 상기 제 2 타겟은 각각 라인-공간 격자 구조체들을 포함하는 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    추가적인 타겟들의 추가적인 측정들을 수행하는 단계 -상기 추가적인 타겟들은 상기 제 1 타겟 및 상기 제 2 타겟에 추가적이고, 상기 추가적인 타겟들 각각은 상기 제 1 타겟 및/또는 상기 제 2 타겟과 상이한 최적 포커스를 가짐- ; 및
    상기 포커스 파라미터를 결정하는 단계에서 상기 추가적인 측정들을 이용하는 단계를 포함하는 방법.
  7. 리소그래피 공정 동안 도즈 파라미터를 모니터링하는 방법에 있어서,
    제 1 측정 값을 획득하는 단계 -상기 제 1 측정 값은 제 1 타겟의 검사로부터 얻어짐- ;
    제 2 측정 값을 획득하는 단계 -상기 제 2 측정 값은 제 2 타겟의 검사로부터 얻어짐- ; 및
    상기 제 1 측정 값 및 상기 제 2 측정 값으로부터 상기 도즈 파라미터를 결정하는 단계
    를 포함하며,
    상기 제 1 및 제 2 타겟들은 동일한 피치(pitch) 및 역 듀티 사이클(inverse duty cycle)들을 갖는 대응하는 라인 및 공간 타겟들을 포함하는 방법.
  8. 리소그래피 공정의 파라미터를 측정하는 메트롤로지 장치에 있어서,
    제 1 항 내지 제 7 항 중 어느 한 항에 따른 방법을 수행하도록 작동가능한 메트롤로지 장치.
  9. 제 8 항에 있어서,
    복수의 타겟들을 갖고 있는 기판에 대한 지지체;
    각각의 타겟을 측정하는 광학 시스템; 및
    프로세서를 포함하는 메트롤로지 장치.
  10. 리소그래피 시스템에 있어서,
    리소그래피 장치를 포함하고, 상기 리소그래피 장치는:
    패턴을 조명하도록 배치되는 조명 광학 시스템;
    기판 상으로 상기 패턴의 이미지를 투영하도록 배치되는 투영 광학 시스템; 및
    제 8 항 또는 제 9 항에 따른 메트롤로지 장치
    를 포함하며,
    상기 리소그래피 장치는 또 다른 기판들에 상기 패턴을 적용하는 단계에서 상기 메트롤로지 장치에 의해 계산되는 결정된 포커스 파라미터 및/또는 도즈 파라미터를 사용하도록 배치되는 리소그래피 시스템.
  11. 프로세서 판독가능한 명령어들을 포함한 컴퓨터 프로그램에 있어서,
    상기 명령어들은 적절한 프로세서 제어 장치에서 운영되는 경우, 상기 프로세서 제어 장치가 제 1 항 내지 제 6 항 중 어느 한 항에 따른 방법을 수행하게 하는 컴퓨터 프로그램.
  12. 디바이스들을 제조하는 방법에 있어서,
    디바이스 패턴이 리소그래피 공정을 이용하여 일련의 기판들에 적용되며, 상기 방법은:
    포커스 파라미터를 모니터링하기 위해 제 1 항 내지 제 6 항 중 어느 한 항에 따른 방법을 이용하는 단계, 및/또는 도즈 파라미터를 모니터링하기 위해 제 7 항에 따른 방법을 이용하는 단계;
    결정된 포커스 파라미터에 따라 추후 기판들에 대한 상기 리소그래피 공정을 제어하는 단계
    를 포함하는 방법.
  13. 의도한 패턴(desired pattern)에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스에 있어서,
    상기 패터닝 디바이스는 상기 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처(feature)들, 및 상기 리소그래피 공정 동안 상기 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 상기 제 2 피처들은 상기 제 1 타겟 및 상기 제 2 타겟이 상대적인 최적 포커스 오프셋을 갖도록 상기 타겟의 평면을 가로지르는 방향으로 상기 제 1 피처들보다 긴(tall) 패터닝 디바이스.
  14. 의도한 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스에 있어서,
    상기 패터닝 디바이스는 상기 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 상기 리소그래피 공정 동안 상기 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 상기 제 1 피처들은 실질적으로 포커스 독립적인 측벽 각도를 갖는 라인 피처들로 상기 제 1 타겟을 형성하도록 구성되고, 상기 제 2 피처들은 포커스 의존적인 측벽 각도를 갖는 라인 피처들로 상기 제 2 타겟을 형성하도록 구성되는 패터닝 디바이스.
  15. 의도한 패턴에 따라 리소그래피 공정에서 방사선 빔을 패터닝하도록 구성되는 패터닝 디바이스에 있어서,
    상기 패터닝 디바이스는 상기 리소그래피 공정 동안 기판 상에 제 1 타겟을 형성하는 제 1 피처들, 및 상기 리소그래피 공정 동안 기판 상에 제 2 타겟을 형성하는 제 2 피처들을 포함하며; 디자인 규칙들은 설정된 피치의 그리드에서, 및 설정된 임계 치수로 타겟 피처들을 제한하고, 상기 제 1 타겟 및 상기 제 2 타겟은 각각 상기 그리드들의 열(row)들로부터 형성되어, 상기 제 1 피처들 및 상기 제 2 피처들이 각각 상기 그리드들에 형성된 하나, 또는 복수의 인접한 대응하는 타겟 피처들로부터 형성되도록 하는 패터닝 디바이스.
KR1020187001052A 2015-06-12 2016-05-31 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법 KR102066588B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP15171970.5 2015-06-12
EP15171970 2015-06-12
PCT/EP2016/062259 WO2016198283A1 (en) 2015-06-12 2016-05-31 Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method

Publications (2)

Publication Number Publication Date
KR20180016589A true KR20180016589A (ko) 2018-02-14
KR102066588B1 KR102066588B1 (ko) 2020-01-15

Family

ID=53397926

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187001052A KR102066588B1 (ko) 2015-06-12 2016-05-31 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법

Country Status (8)

Country Link
US (2) US10054862B2 (ko)
JP (1) JP6618551B2 (ko)
KR (1) KR102066588B1 (ko)
CN (1) CN107710073B (ko)
IL (2) IL302339B1 (ko)
NL (1) NL2016864A (ko)
TW (1) TWI635369B (ko)
WO (1) WO2016198283A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200037055A (ko) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 리소그래피 공정 모니터링 방법
KR20220149259A (ko) 2021-04-30 2022-11-08 황수미 공공시설용 점자입력기
US11782352B2 (en) 2018-09-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process monitoring method

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
KR20170015984A (ko) 2014-06-30 2017-02-10 에이에스엠엘 네델란즈 비.브이. 선량 결정 방법, 검사 장치, 패터닝 디바이스, 기판, 및 디바이스 제조 방법
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
CN109478021B (zh) 2016-07-11 2021-01-01 Asml荷兰有限公司 用于确定性能参数的指纹的方法和设备
EP3336606A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
NL2020323B1 (en) * 2017-02-20 2018-12-21 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
EP3376290A1 (en) 2017-03-14 2018-09-19 ASML Netherlands B.V. Metrology method and method of device manufacture
US11415899B2 (en) 2017-05-09 2022-08-16 Asml Netherlands B.V. Method of determining a focus of a projection system, device manufacturing method, and apparatus for determining a focus of a projection system
EP3447580A1 (en) 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
WO2019110211A1 (en) * 2017-12-04 2019-06-13 Asml Netherlands B.V. Measurement method, patterning device and device manufacturing method
EP3492984A1 (en) * 2017-12-04 2019-06-05 ASML Netherlands B.V. Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
EP3598235A1 (en) 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
WO2020141040A1 (en) 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US10761032B1 (en) * 2019-02-26 2020-09-01 Bwxt Nuclear Operations Group, Inc. Apparatus and method for inspection of a film on a substrate
JP2023091485A (ja) * 2021-12-20 2023-06-30 キヤノン株式会社 検出装置、リソグラフィ装置、および物品の製造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6606152B2 (en) * 2000-09-06 2003-08-12 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
US20080153011A1 (en) * 2005-09-06 2008-06-26 Fujitsu Limited Pattern transfer mask, focus variation measuring method and apparatus, and semiconductor device manufacturing method
JP2009260344A (ja) * 2008-04-16 2009-11-05 Asml Netherlands Bv リソグラフィ投影装置を測定する方法
WO2014082938A1 (en) * 2012-11-30 2014-06-05 Asml Netherlands B.V. Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6414326B1 (en) * 1999-08-31 2002-07-02 Advanced Micro Devices, Inc. Technique to separate dose-induced vs. focus-induced CD or linewidth variation
US6440616B1 (en) 1999-09-28 2002-08-27 Kabushiki Kaisha Toshiba Mask and method for focus monitoring
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
JP3906035B2 (ja) * 2001-03-29 2007-04-18 株式会社東芝 半導体製造装置の制御方法
JP3971937B2 (ja) * 2002-02-18 2007-09-05 株式会社日立ハイテクノロジーズ 露光条件監視方法およびその装置並びに半導体デバイスの製造方法
US7119893B2 (en) 2003-04-10 2006-10-10 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
JP3848332B2 (ja) * 2003-08-29 2006-11-22 キヤノン株式会社 露光方法及びデバイス製造方法
JP4588368B2 (ja) * 2004-06-15 2010-12-01 富士通セミコンダクター株式会社 露光計測方法及び装置、並びに半導体装置の製造方法
US7678516B2 (en) * 2004-07-22 2010-03-16 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
DE102005009554B4 (de) 2005-03-02 2010-04-01 Qimonda Ag Verfahren zur Fokuskorrektur eines Belichtungsgeräts bei der lithographischen Projektion und Verfahren zur Auswertung von Messergebnissen eines Messgeräts für die Fokuskorrektur eines Belichtungsgeräts in einer Halbleiterfertigungsanlage
US20060234137A1 (en) 2005-04-15 2006-10-19 Samsung Electronics Co., Ltd. Photomask structures providing improved photolithographic process windows and methods of manufacturing same
US7709813B2 (en) * 2006-04-03 2010-05-04 Nikon Corporation Incidence surfaces and optical windows that are solvophobic to immersion liquids
US8198118B2 (en) * 2006-10-31 2012-06-12 Taiwan Semiconductor Manufacturing Co. Method for forming a robust mask with reduced light scattering
KR100945921B1 (ko) * 2007-05-11 2010-03-05 주식회사 하이닉스반도체 반도체 소자의 포토마스크 형성방법
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US7820458B2 (en) 2008-02-13 2010-10-26 Infineon Technologies Ag Test structures and methods
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US9046788B2 (en) * 2008-05-19 2015-06-02 International Business Machines Corporation Method for monitoring focus on an integrated wafer
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
US20130189724A1 (en) 2009-09-01 2013-07-25 C-Tech Llc Use of an adaptive chemically reactive plasma for production of microbial derived materials
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
NL2009305A (en) * 2011-08-31 2013-03-04 Asml Netherlands Bv A method of determining focus corrections, lithographic processing cell and device manufacturing method.
WO2013124131A2 (en) * 2012-02-21 2013-08-29 Asml Netherlands B.V. Inspection apparatus and method
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
US9535338B2 (en) 2012-05-29 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US9454072B2 (en) 2012-11-09 2016-09-27 Kla-Tencor Corporation Method and system for providing a target design displaying high sensitivity to scanner focus change
US10001711B2 (en) 2013-12-17 2018-06-19 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6606152B2 (en) * 2000-09-06 2003-08-12 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
JP2006515958A (ja) * 2003-01-17 2006-06-08 ケーエルエー−テンカー テクノロジィース コーポレイション 2以上の計測された散乱計測信号間の比較によるプロセス最適化および制御の方法
US20080153011A1 (en) * 2005-09-06 2008-06-26 Fujitsu Limited Pattern transfer mask, focus variation measuring method and apparatus, and semiconductor device manufacturing method
JP2009260344A (ja) * 2008-04-16 2009-11-05 Asml Netherlands Bv リソグラフィ投影装置を測定する方法
WO2014082938A1 (en) * 2012-11-30 2014-06-05 Asml Netherlands B.V. Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200037055A (ko) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 리소그래피 공정 모니터링 방법
US10962892B2 (en) 2018-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process monitoring method
US11467509B2 (en) 2018-09-28 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process monitoring method
US11782352B2 (en) 2018-09-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process monitoring method
KR20220149259A (ko) 2021-04-30 2022-11-08 황수미 공공시설용 점자입력기

Also Published As

Publication number Publication date
CN107710073B (zh) 2021-04-30
CN107710073A (zh) 2018-02-16
IL256114A (en) 2018-02-28
TWI635369B (zh) 2018-09-11
US20180046091A1 (en) 2018-02-15
JP2018517177A (ja) 2018-06-28
NL2016864A (en) 2016-12-12
IL302339A (en) 2023-06-01
WO2016198283A1 (en) 2016-12-15
IL256114B2 (en) 2023-10-01
IL256114B1 (en) 2023-06-01
US20160363871A1 (en) 2016-12-15
KR102066588B1 (ko) 2020-01-15
TW201708972A (zh) 2017-03-01
JP6618551B2 (ja) 2019-12-11
US10054862B2 (en) 2018-08-21
IL302339B1 (en) 2024-03-01

Similar Documents

Publication Publication Date Title
US11640116B2 (en) Metrology method, computer product and system
KR102066588B1 (ko) 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
US10379445B2 (en) Metrology method, target and substrate
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US10001710B2 (en) Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
KR102438502B1 (ko) 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
US10571812B2 (en) Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
US10317805B2 (en) Method for monitoring a characteristic of illumination from a metrology apparatus
EP3492984A1 (en) Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
NL2020323B1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
EP3798729A1 (en) Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
KR20190134804A (ko) 타겟 측정 방법, 계측 장치, 리소그래피 셀 및 타겟

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant