KR20200037055A - 리소그래피 공정 모니터링 방법 - Google Patents

리소그래피 공정 모니터링 방법 Download PDF

Info

Publication number
KR20200037055A
KR20200037055A KR1020190039022A KR20190039022A KR20200037055A KR 20200037055 A KR20200037055 A KR 20200037055A KR 1020190039022 A KR1020190039022 A KR 1020190039022A KR 20190039022 A KR20190039022 A KR 20190039022A KR 20200037055 A KR20200037055 A KR 20200037055A
Authority
KR
South Korea
Prior art keywords
test pattern
line
lithography
pattern
lines
Prior art date
Application number
KR1020190039022A
Other languages
English (en)
Other versions
KR102257460B1 (ko
Inventor
치-지에 리
시-춘 후앙
시-밍 창
켄-시엔 시에
융-성 옌
루-건 리우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200037055A publication Critical patent/KR20200037055A/ko
Application granted granted Critical
Publication of KR102257460B1 publication Critical patent/KR102257460B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7026Focusing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • G03F9/7053Non-optical, e.g. mechanical, capacitive, using an electron beam, acoustic or thermal waves
    • G03F9/7061Scanning probe microscopy, e.g. AFM, scanning tunneling microscopy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

리소그래피 공정을 수행하는 방법은 테스트 패턴을 제공하는 단계를 포함한다. 테스트 패턴은 제 1 피치로 배열된 제 1 세트의 라인, 제 1 피치로 배열된 제 2 세트의 라인을 포함하고, 제 1 세트의 라인과 제 2 세트의 라인 사이에 적어도 하나의 기준 라인을 더 포함한다. 테스트 패턴은 비대칭 모노폴 조명 프로파일을 제공하는 방사선 소스를 사용하여 노광되어 기판 상에 테스트 패턴 구조물을 형성한다. 그 다음, 테스트 패턴 구조물이 측정되고, 측정된 거리는 리소그래피 파라미터의 오프셋과 상관된다. 리소그래피 공정은 리소그래피 파라미터의 오프셋에 기초하여 조정된다.

Description

리소그래피 공정 모니터링 방법{LITHOGRAPHY PROCESS MONITORING METHOD}
관련 출원에 대한 상호 참조
본 출원은 그 전체가 여기에 참조로서 통합되는, 발명의 명칭 "LITHOGRAPHY PROCESS MONITORING METHOD"으로 2018년 9월 28일자로 출원된 미국 가출원 제62/738,198호에 우선권을 주장한다.
기술 분야
본 발명은 리소그래피 공정 모니터링 방법에 관한 것이다.
반도체 집적 회로(integrated circuit, IC) 산업은 급속한 성장을 이루었다. IC 진화의 과정에서 기하학적 크기[즉, 제조 공정을 사용하여 생성될 수 있는 최소 컴포넌트(또는 라인)]는 감소되면서 기능적인 밀도(즉, 칩 면적당 상호 접속된 디바이스들의 개수)는 일반적으로 증가되었다. 이러한 스케일링 다운 공정은 일반적으로 생산 효율성을 증가시키고 관련 비용을 낮춤으로써 이점을 제공한다. 그러나, 이러한 스케일링 다운은 또한 이들 IC를 집적한 디바이스의 설계 및 제조의 복잡성을 동반하였다. 제조에서의 병진 진보는 점점 더 복잡한 설계가 정밀도와 신뢰성을 가지고 제조될 수 있게 하였다.
예를 들어, 어떤 진보는 리소그래피의 한계 근처에서 발생하는 광학 효과 및 처리 불완성을 보상한다. 많은 실시예에서, IC 피처는 포토리소그래피 마스크의 세트를 사용하여 반도체 기판 상에 규정 및 형성된다. 마스크는 투과 및/또는 반사 영역에 의해 형성된 패턴을 가진다. 포토리소그래피 노광 동안, 자외선 광과 같은 방사선이 기판 상의 포토레지스트 코팅에 부딪치기 전에 마스크를 통과하거나 마스크에 반사된다. 마스크는 포토레지스트에 패턴을 노광함으로써 전사되고, 그 후 포토레지스트는 패턴을 드러내도록 선택적으로 제거된다. 그 후, 기판은 기판 상에 회로 피처를 생성하기 위해 남아있는 포토레지스트의 형상을 사용하는 처리 단계를 거친다. 처리 단계가 완료되면, 또다른 포토 레지스트가 도포되고 기판은 다음 마스크를 사용하여 노광된다. 이러한 방식으로, 피처가 적층되어 최종 회로를 생산한다.
노광 장치가 방사선을 사용하여 마스크를 조명할 때, 포커스 위치와 같은 방사선을 위한 적절한 파라미터를 제공 할 필요가 있다. 포커스 위치와 같은 이들 파라미터를 보증하는 것은 비용적 및 시각적 소모일 수 있다. 따라서, 리소그래피 공정 파라미터의 정확한 모니터링에서의 개선이 소망된다.
본 발명개시는 첨부 도면과 함께 판독될 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업상 표준 시행에 따라, 여러 피처들은 일정한 비율로 그려지지 않았으며, 단지 예시의 목적으로만 사용된다는 것이 강조된다. 실제, 여러 피처들의 치수는 논의의 명료함을 위해 임의로 증감될 수 있다.
도 1은 본 발명의 다양한 실시예에 따른 리소그래피 시스템의 블록도이다.
도 2는 본 발명의 다양한 실시예에 따른 또다른 리소그래피 시스템의 블록도이다.
도 3은 본 발명의 다양한 실시예에 따른 리소그래피 시스템의 파라미터를 모니터링하는 방법의 흐름도이다.
도 4는 본 발명의 다양한 실시예에 따른 테스트 패턴을 준비하는 방법의 흐름도이다.
도 5a 및 도 5b는 본 발명의 다양한 실시예에 따른 예시된 테스트 패턴이다.
도 6a, 도 6b, 도 7a 및 도 7b는 본 발명의 다양한 실시예에 따른 테스트 패턴의 측정 및 대응하는 리소그래피 공정 파라미터의 예시적인 그래프(또는 플롯)이다.
도 8a, 8b, 8c 및 8d는 본 발명의 다양한 실시예에 따른 예시적인 조명 모드 프로파일이다.
도 9는 본 발명의 다양한 실시예에 따른 리소그래피 환경의 블록도이다.
도 10 및 도 12는 본 발명의 다양한 실시예에 따른 테스트 패턴의 노광의 다양한 양상의 블록도이다.
도 11은 본 발명의 다양한 실시예에 따른 예시적인 테스트 패턴으로부터 얻어진 측정치를 예시한다.
도 13은 도 3의 방법을 구현하는 생산 라인의 블록도를 예시한다.
아래의 발명개시는 본 개시의 여러 특징들을 구현하는 많은 여러 실시예들 또는 예시들을 제공한다. 본 개시를 간략화하기 위해서 컴포넌트 및 배열의 구체적인 예시들이 이하에 설명된다. 물론, 이들은 단지 예시를 위한 것이며 한정을 의도하는 것은 아니다. 예를 들어, 다음의 설명에서 제 2 피처 상부 또는 위에 제 1 피처를 형성하는 것은 제 1 피처와 제 2 피처가 직접 접촉하여 형성된 실시예를 포함할 수 있고, 또한 제 1 피처와 제 2 피처가 직접 접촉하지 않도록 제 1 피처와 제 2 피처 사이에 추가의 피처가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 개시는 다양한 예시들에서 참조 부호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이고, 그 자체가 개시된 다양한 실시예들 및/또는 구성들 사이의 관계를 설명하는 것은 아니다.
게다가, 다음의 본 개시에서 하나의 피처(feature) 상부에, 접속하여, 그리고/또는 결합하여 다른 하나의 피처를 형성하는 것은 그 피처들이 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 피처들이 직접 접촉하지 않을 수 있도록 추가의 피처들이 그 피처들 사이에 개재되어 형성될 수 있는 실시예를 포함할 수 있다. 추가적으로, 예를 들어 "더 낮은", "더 높은", "수평", "수직", "상부에", "위에", "하부에", "밑에", "상", "하", "상단", "하단", "우측", "좌측" 등의 공간 상대성 용어뿐 아니라 그들의 파생어(예를 들어 "수평적인", "하향", "상향" 등)는 하나의 피처에 대한 다른 하나의 피처의 관계에 대해 본 개시의 편의를 위해 사용된다. 공간 상대성 용어는 피처를 포함한 디바이스의 상이한 배향을 커버하도록 의도된다.
본 명세서에서 설명된 진보된 리소그래피 공정, 방법, 시스템 및 재료는 핀형 전계 효과 트랜지스터(fin-type field effect transistor; FinFET)를 포함하는 많은 애플리케이션에 사용될 수 있다. 예를 들어, 핀은 피처들 사이에 상대적으로 가까운 간격을 생성하도록 패터닝될 수 있고, 그에 대한 본 명세서의 개시는 (예를 들어, 그러한 공정을 제어 및/또는 모니터링하기에) 상당히 적합하다. 또한, 맨드릴이라고도 하는 FinFET의 핀을 형성하는데 사용되는 스페이서는 본 명세서에서 논의된 리소그래피 시스템 및 방법을 사용하여 처리될 수 있다.
피처 크기가 축소됨에 따라, 마스크 피처와 기판 상에 형성된(기판에 노출된) 피처 사이의 차이는 디바이스 성능에 큰 영향을 준다. 단순한 라인의 예에서, 다양한 효과가 딱딱한 모서리를 생성하는 대신 라인 단부를 둥글게 하는 경향이 있으며 불규칙한 폭의 라인을 생성하는 경향이 있다. 이러한 효과는 예를 들어, 조명원, 마스크, 리소그래피 시스템, 제조 공정(예를 들어, 현상, 에칭, 증착 등) 및/또는 다른 소스로부터 발생할 수 있다. 변동이 동일하거나 더 커질 수 있기 때문에 피처 크기가 축소됨에 따라 이들 변동의 영향은 악회될 수 있고, 그에 따라 피처에 대한 불완전성이 커진다.
이것을 보상하기 위해, 본 발명의 많은 실시예는 마스크 상에 형성될 테스트 패턴, 결국, 테스트 패턴 구조물을 형성하기 위해 기판 상에 노광될, 테스트 패턴의 레이아웃을 개발한다. 기판 상의 테스트 패턴 구조물은, 목표치에서 벗어날 수 있는, 리소그래피 파라미터와 상관될 수 있는 측정치(예를 들어, 패턴의 양상의 시프팅)를 결정하기 위해 분석된다. 분석은 리소그래피 파라미터 오프셋을 나타내거나 상관되는 패턴 시프트를 나타내는 테스트 패턴 구조물의 여러 치수에 대한 측정을 포함할 수 있다. 결정된 리소그래피 파라미터의 예는 포커스 파라미터(예를 들어, 베스트 포커스) 및 도즈(dose) 파라미터이다. 베스트 포커스 및/또는 도즈는 테스트 구조물의 분석을 사용하여 미래의 노출을 위해 수정될 수 있다. 일부 실시예에서, 베스트 포커스 및/또는 도즈는 테스트 패턴 구조물의 사용에 의해 확인된다. 일부 그러한 예에서, 이것은 집적 회로(integrated circuit; IC)의 생산 중에 모니터링 체계가 사용될 수 있도록 한다. 예를 들어, 노광 공정은 회로 패턴이 또한 형성되고 있는 기판의 샘플 상에 테스트 패턴 구조물을 형성할 수 있다.
하기에 논의된 바와 같이, 리소그래피 파라미터 오프셋을 나타내는 테스트 패턴 구조물의 측정치를 제공하기 위해, 테스트 패턴은 조심스럽게 설계되어야 할뿐만 아니라 상기 패턴이 취할 측정치는 신중하게 선택된다. 이하 일부 실시예에서, 테스트 패턴은, 비록 조명 프로파일이 IC 디바이스에 대한 메인 패턴, 즉 회로 패턴을 노광하는데 사용되지 않더라도, 테스트 패턴의 노광을 위해 비대칭 방사선 소스와 함께 사용하도록 설계된다. 그보다, 대칭 조사를 제공하는 조명 프로파일은 기판(들) 상에 회로 패턴을 노광하기 위해 사용될 수 있다. 따라서, 일부 실시예에서, 주어진 소스의 조명 프로파일은 테스트 패턴의 노광을 위해 간단히 변경되고, 그 후 타겟 기판의 이동이 요구되지 않는 테스트 패턴의 노광 후에 그 베이스라인 조명 프로파일로 복귀된다.
또한 이하 설명된 바와 같이, 본 개시는 리소그래피 노광 공정을 모니터링하는 기술을 제공한다. 방법에 의해 모니터링될 수 있는 타겟 기판을 노광하는 시스템의 예시가 도 1을 참조하여 설명된다. 이와 관련하여, 도 1은 본 발명의 다양한 실시예에 따른 리소그래피 시스템(100)의 블록도이다. 스캐너로도 지칭될 수 있는 리소그래피 시스템(100)은 특정 방사선 소스 및 노광 모드를 이용하여 리소그래피 노광 공정을 수행하도록 동작가능하다. 도시된 실시예에서, 리소그래피 시스템(100)은 약 1 nm 내지 약 100 nm 범위 내의 파장을 갖는 EUV 방사선을 사용하여 타겟 기판을 노광하도록 설계된 극자외선(EUV) 리소그래피 시스템이다. 일부 예시적인 실시예에서, 리소그래피 시스템(100)은 약 13.5 nm를 중심으로 하는 파장을 갖는 EUV 방사선을 발생하는 방사선 소스(102)를 포함한다. 하나의 그러한 실시예에서, EUV 방사선 소스(102)는 레이저를 사용하여 주석 액적과 같은 매질을 고온 플라즈마로 가열함으로써 EUV 방사선을 발생하기 위해 레이저-생성 플라즈마(laser-produced plasma; LPP)를 이용한다.
리소그래피 시스템(100)은 또한 방사선 소스(102)에 의해 생성된 방사선을 포커싱하고 형상화하는 조명기(104)를 포함할 수 있다. 조명기(104)는 모놀리식 렌즈 및/또는 어레이 렌즈(예를 들어, 존 플레이트)를 포함하는 굴절 광학 컴포넌트를 포함할 수 있으며, 모놀리식 미러 및/또는 미러 어레이를 포함하는 반사성 광학 컴포넌트를 포함할 수 있다. 도 1에 도시된 광학 컴포넌트의 수는 명료함을 위해 감소되었으며, 실제 실시예에서, 조명기(104)는 수십 또는 수백개의 렌즈 및/또는 렌즈를 포함한다. 광학 컴포넌트는 방사선 소스(102)에 의해 방출된 방사선을 마스크 스테이지(108) 내에 보유된 마스크(106) 상에 투영하도록 배열 및 정렬된다. 조명기(104)의 광학 컴포넌트는 또한 마스크(106) 상에 특정 조명 패턴을 생성하기 위해 광 경로를 따라 방사선을 형상화할 수 있다.
마스크(106)에 의해 흡수되거나 마스크(106)에 반사된 후, 방사선은 POB(Projection Optics Box)라고도 지칭되는 투영 광학 모듈(110)을 통해 지향(direct)된다. 조명기(104)와 유사하게, 투영 광학 모듈(110)은 모놀리식 렌즈 및/또는 어레이 렌즈(예를 들어, 존 플레이트)를 포함하는 굴절 광학 컴포넌트를 포함할 수 있으며, 모놀리식 미러 및/또는 미러 어레이를 포함하는 반사성 광학 컴포넌트를 포함할 수 있다. 투영 광학 모듈(110)의 광학 컴포넌트는 마스크(106)에서 반사되는 방사선을 지향시키고 반도체 기판(예를 들어, 반도체 웨이퍼) 또는 기판 스테이지(114) 내에 보유된 다른 적합한 기판과 같은 타겟 기판(112) 상에 투영시키도록 배열 및 정렬된다. 방사선을 가이드하는 것 이외에, 투영 광학 모듈(110)의 광학 컴포넌트는 또한 방사선 경로를 따라 방사선을 늘리고, 좁히고, 포커싱하고, 그리고/또는 그렇지 않으면 형상화할 수 있다.
투영 광학 모듈(110)에 의해 기판(112) 상에 투영된 방사선은 타겟 기판 상에 배치된 감광 재료를 변화시킴으로써 기판(112)을 노광한다. 실시예에 있어서, 기판(112)은 포토레지스트(116)를 갖는 반도체 기판을 포함한다. 방사선에 노광된 포토레지스트(116)의 부분은 그들을 현상 공정에 더 많이 또는 더 적게 민감하게 만드는 화학적 전이를 겪는다. 예시적인 실시예에서, 노광 후, 포토레지스트(116)에는 전이를 완료하기 위해 노광후 베이킹, 현상, 린싱, 및 건조가 행해진다. 기판(112) 상에 수행된 후속 처리 단계들은 남아있는 포토레지스트(116)의 패턴을 사용하여 기판(112)의 부분을 선택적으로 처리할 수 있다.
상기 언급한 바와 같이, 다수의 효과가 포토레지스트(116)에 형성된 패턴이 의도된 패턴과는 상이해지도록 할 수 있다. 패턴의 차이는 시스템(100)의 양상에 의해 야기될 수 있다. 예를 들어, 시스템(100)에 의해 제공된 조명이 기판(112)을 가로질러, 또는 기판(112)과 유사한 다수의 기판의 처리 사이에 변할 수 있다. 즉, 결함이 없는 광학계 및 마스크를 가정하여도, 시스템(100) 내의 빔 경로의 복잡성 및 다른 광학적 효과는, 주어진 기판(112)의 표면을 가로질러 도즈(즉, 노광 강도)가 변하게 할 수 있고, 기판으로부터 기판까지 도즈가 변하게 할 수 있다. 유사하게, 빔 경로, 광학계의 품질, 기판(112)에서의 변동, 포토레지스트(116) 표면의 불규칙성, 진동과 같은 환경적 요인 및/또는 다른 요인으로 인해 기판(112)를 가로질러, 그리고 기판 사이에서 투영된 피처의 포커스는 변할 수 있다. 따라서, 이하 예시에서, 포토레지스트(116)에 형성된 피처에 영향을 주는 도즈 변동, 포커스 변동과 같은 공정 조건은 시스템 사용 중에 주어진 기판(112)의 노광을 위해 모니터링 및 수정되거나(필요에 따라), 또는 후속 타겟 기판의 처리를 위해 사용 중에 수정된다.
제어 시스템(118)이 시스템(100) 내에 예시되어 있음이 주목된다. 제어 시스템(118)은 포커스 값 또는 도즈 값과 같은 노광 파라미터를 수신 또는 저장할 수 있는 제어 유닛을 포함할 수 있다. 또한, 제어 시스템(118)은 소스(102), 스테이지(114), 조명기(104), 투영 광학 모듈(110), 마스크 스테이지(108) 및/또는 시스템(100)의 다른 컴포넌트를 포함하는 시스템(100)의 노광 장치의 각 부분을 제어한다. 제어 시스템(118)은 시스템(100) 내에 위치되거나 시스템(100)에 결합될 수 있다. 제어 시스템(902)의 추가의 논의는, 제어 시스템(118) 과 유사하게, 도 9에서 이하 제공된다.
마스크(106)는 마스크 기판 상에 형성된 패턴을 규정하는 다양한 층을 포함한다. 마스크 (106)는 석영, LTEM 유리, 실리콘, 실리콘 카바이드, 실리콘 산화물, 티타늄 산화물, Black Diamond®(Applied Materials의 상표)과 같은 LTEM(Low Thermal Expansion Material) 및/또는 다른 적합한 마스크 기판을 포함할 수 있는 기판을 가진다. 마스크(106)는 마스크 기판 상에 배치된 MLM(MultiLayer Mirror)과 같은 반사 구조물을 포함할 수 있다. MLM은 광 흡수를 감소시키면서 각각의 재료 계면에서 반사된 방사선의 최적의 보강 간섭을 달성하기 위해 두께 및/또는 재료에 맞춰진 다수의 교호하는 재료 층을 포함할 수 있다. 예시적인 실시예에서, MLM은 40쌍의 교호하는 몰리브덴 및 실리콘(Mo-Si) 층을 포함한다. 추가의 예시적인 실시예에서, MLM은 20쌍 내지 80쌍의 교호하는 몰리브덴 및 베릴륨(Mo-Be) 층을 포함한다. 마스크의 반사 구조물에 도달한 방사선은 타겟 기판(112)의 포토레지스트(116)을 노광하는데 사용하기 위해 다시 반사된다. 패터닝을 제공하는 캐핑 층(들) 및 흡수 층(들)과 같은 다양한 다른 층들이 존재할 수 있다.
EUV 시스템이 상술되어 있지만, 다른 실시예에서, 본 방법 및 시스템과 함께 사용하기에 적합한 기판의 노광을 제공하기 위해 동작가능한 리소그래피 시스템이 투과형 광학 시스템 - 도 2는 투과형 광학 시스템의 매우 간략화된 블록도의 예시임 - 을 포함하는 다양한 다른 파장의 소스를 포함할 수 있다.
시스템(100)과 유사하게, 노광 시스템( 200)은 상기 제어 시스템(118)과 실질적으로 유사할 수 있는 제어 장치(202)를 포함한다. 노광 시스템(200)은 방사선 소스(204), 어퍼처(206), 필터(208), 조명 광학 시스템(210), 마스크 스테이지(212), 투영 광학 시스템(214) 및 기판 스테이지(216)를 포함한다. 기판 스테이지(216)는 상기 논의된 바와 실질적으로 유사하게 기판(112)을 위치시킬 수 있다. 포토레지스트(116)의 유형은 사용된 방사선 소스에 적합하도록 선택될 수 있음을 주목한다.
일부 실시예에서 방사선 소스(204)는 심자외선(deep ultraviolet; DUV) 방사선 소스일 수 있다. DUV 광원은 아르곤(Ar), 크립톤(Kr) 또는 크세논(Xe)과 같은 불활성 가스의 분자를 여기시켜 불소(F) 및 염소(Cl)와 같은 할로겐의 분자와 반응시키는 것을 포함할 수 있다. 예시적인 DUV 방사선 소스(204)는 KrF 엑시머 레이저(예를 들어, 대략 248 nm의 파장) 또는 ArF 엑시머 레이저(예를 들어, 대략 193 nm의 파장)를 포함한다. 마스크 스테이지(212) 상에 배치된 마스크 (218)는 투과성 마스크일 수 있다. 상기 논의된 반사성 마스크와 같은 투과성 마스크는 위상-시프팅, 오프-액시스 조명(off-axis illumination; OAI), 광학 근접 보정(optical proximity correction; OPC) 및/또는 다른 적합한 피처와 같은 해상도 향상 기술을 추가로 구현할 수 있다. 일부 실시예에서, 리소그래피 시스템은 액침(immersion) 리소그래피 시스템이다.
리소그래피 시스템의 수반된 컴포넌트와 관련한 방사선 소스(102 및/또는 204)는 노광 공정 동안 마스크(106, 218)에 입사하는 상이한 조명 모드 또는 프로파일을 제공하도록 동작가능할 수 있다. 예를 들어, 타겟 기판의 노광 동안, 수반된 컴포넌트와 관련한 방사선 소스(102 및/또는 204)는 제 1 조명 모드 및 제 2, 상이한 조명 모드를 제공하도록 수정될 수 있다. 조명 모드는 "온" 대 "오프"인 노광 필드 부분[예를 들어, 동공(pupil)]에서 상이할 수 있다. 일부 실시예에서, 적어도 하나의 조명 모드는 오프-액시스(off-axis), 비대칭(예를 들어, 모노폴) 조명이다. 일부 실시예에서, 또다른 조명 모드는 대칭, 멀티-폴(예를 들어, 다이폴, 쿼드러폴) 조명이며, 이는 오프-액시드 또는 온-액시스(on-axis) 조명일 수 있다. 일부 실시예에서, 또다른 조명 모드는 모노폴, 환형 또는 다른 형상의 조명을 포함하는 대칭 조명이며, 이는 오프-액시스 또는 온-액시스 조명일 수 있다.
일실시예에서, EUV 방법 및/또는 시스템의 경우, 비대칭 조명은 예를 들어 소프트웨어의 사용을 통해 소스를 튜닝함으로써 제공될 수 있다. DUV 방법 및/또는 시스템의 경우, 소스는, 예를 들어 모노폴을 오프셋 위치로 이동시키는 교정 시스템에 오프셋을 제공함으로써; 조사의 부분을 물리적으로 차단, 예를 들어 다이폴 조명 중 하나의 폴을 차단함으로써; 또는 스캐너의 출력을 차체적으로 수정함으로써 소스를 튜닝하는 것에 비대칭 조명을 제공할 수 있다.
또한, 도 8a 및 8b는 비대칭, 모노폴 조명 모드 또는 프로파일을 예시한다. 조명 프로파일은 동공의 "온" 부분의 폴(pole) 크기(예를 들어, 직경, 형상 및 치수), 폴의 반경 위치, 주어진 원점으로부터 폴의 각도, 폴의 수 및/또는 동공의 "온" 부분의 다른 구성을 포함할 수 있다. 도 8a 및 도 8b는 단지 예시이고 비제한적이다. 큰 원은 전체 동공을 나타내며, 작은 원은 폴(또는 "방사선"을 전송하는 "온" 부분)을 나타내며, 사용가능한 동공의 나머지는 "오프" 상태에 있다. 도 8a 및도 8b의 예시를 참조하면, 동공(800, 800') 내에 비대칭으로 배치된 각각의 단일 폴(802, 802')을 갖는 동공(800, 800')이 도시된다. 폴(802, 802')은, 그들이 동공(800, 800')의 중심에 대해 대칭이 아니므로 비대칭이다. 폴(802, 802') 은 방사선을 전달하는 "온" 상태를 나타내고, 동공(800)의 다른 부분은 "오프" 상태에 있다. 폴 (802, 802')의 원 형상은 단지 참조의 용이함을 위한 것임을 주목한다. 폴은 유사하게 내부 및 외부 시그마 및 개방 각(open angle)에 의해 정의된 것을 포함한 다른 형상일 수 있다. 조명 프로파일은 전환가능한 미러, 필터, 존플레이트, 자기 요소, 반사 요소, 렌즈 또는 방사선을 지향, 형상화 및 제어하기 위한 다른 수단과 같은 요소를 포함한 제어가능한 조명 모드 선택 디바이스에 의해 제공될 수 있다. 도 8c 및 8d는 조명 프로파일이 대칭 조사를 제공하는 다른 조명 모드 또는 프로파일(804 및 804')을 도시한다. 폴(806 및 806')은 각각 동공 내에서, 그리고 동공(800, 800')의 중심 지점 또는 축을 중심으로 대칭적으로 배향된다. 상기와 같이, 폴(806, 806')의 원 형상은 단지 참조를 위한 것이다. 도 8c 및 도 8d의 조명 모드는 방법(300)의 블록(320)을 참조하여 논의된 바와 같이 회로 패턴의 노광을 위해 사용될 수 있다.
노광 공정을 사용하여 기판 상에 회로 패턴을 형성할 때, 상술된 시스템(100) 및 시스템(200)과 같은 리소그래피 시스템은 기판이 적합한 포커스 위치에 있지 않는 경우 발생할 수 있는 아웃-오브-포커스(out-of-focus) 상태를 최소화하기 위해 포커스 제어 컴포넌트를 포함한다. 리소그래피 시스템은 수직(높이) 방향으로 (예를 들어, 기판 스테이지 또는 다른 컴포넌트의 이동에 의해) "베스트 포커스" 위치가 제공되도록 이상적으로 제어된다. 그러나, 회로 패턴의 피처 크기가 감소함에 따라, 포커스 심도(depth of focus; DOF)가 또한 감소하여 허용가능한 성능으로 공정이 용인될 수 있는 더 작은 범위의 포커스 오프셋을 제공한다. 그에 따라, 회로 패턴 크기가 감소함에 따라 포커스 위치를 제어하는 것이 점차 중요 해지고, 따라서 정확한 포커스 측정 방법 및 시스템이 소망된다. 그러나, 포커스 오프셋의 소스는 시스템의 환경적 진동 및 내부 제어 정밀도(예를 들어, 처리된 로트에서 처리된 로트로의 편차)를 포함한다. 포커스 오프셋의 후자의 소스는 바람직하게는 더 작고 더 작은 스케일로 모니터링된다. 이와 관련하여, 본 명세서에서 논의된 방법 및 시스템의 특정 실시예는 10 나노미터(nm) 미만의 스케일을 포함하도록 포커스를 모니터링하는데 있어서 정의된다.
도 3을 참조하면, 노광 툴(또는 스캐너)과 같은 리소그래피 툴의 파라미터를 모니터링하는 방법(300)이 도시된다. 모니터링될 수 있는 하나의 파라미터는 본 발명의 다양한 실시예에 따라 타겟 기판의 표면 상의 포커스 위치(예를 들어, 베스트 포커스)일 수 있다. 또다른 파라미터는 노광 도즈일 수 있다.
방법(300)은 블록(302)에서 시작되어 리소그래피 시스템이 제공된다. 제공된 리소그래피 시스템은 하나의 반사성 또는 투과성 리소그래피형 광학 노광 방법을 구현할 수 있다. 리소그래피 시스템의 예는 각각 도 1 및 도 2을 참조하여 상술된 시스템(100) 및 시스템(200)을 포함한다. 방법(300) 이전, 도중 및 이후에 추가의 단계가 제공될 수 있고, 설명된 단계들 중 일부는 방법(300)의 다른 실시예를 위해 대체 또는 제거될 수 있음을 주목한다. 일부 실시예에서, 리소그래피 툴을 사용하여 하나 이상의 기판을 처리하여 기판 상에 회로 패턴을 형성하는 단계를 포함한다. 이 노광은 포토마스크의 그 조사 프로파일에서 대칭인 조명 모드 또는 프로파일을 사용하여 수행될 수 있다.
방법(300)은 블럭(304)으로 진행하여 테스트 패턴이 이하 논의된 모니터링 단계를 위해 현상된다. 테스트 패턴은 X 방향 또는 Y 방향(포커스 평면의 수직 방향을 Z 방향이라 함)으로 배향된 복수의 라인 및 스페이스를 포함할 수 있다. 테스트 패턴은 이하 상세 설명된 바와 같이 조명 시스템의 표준(nominal) 디포커스 또는 도즈로부터 이동하기 때문에 패턴 시프트를 결정하기에 적합하도록 제공된다. 표준 디포커스는 조명 시스템에 내재된 및/또는 조명 시스템의 시작 지점에서 특성화된 디포커스량일 수 있다. 즉, 베이스라인. 이는 웨이퍼의 처리 이전에 조명 시스템에 존재하는 것으로 이해되는 디포커스를 포함할 수 있다(예를 들어, 예방 메인터넌스 또는 툴 검증 검사의 일부로 결정됨).
일실시예에서, 테스트 패턴은 오프-액시스, 비대칭 모노폴 조명의 조명 프로파일을 갖는 방사선 빔을 제공하는 시스템에 의한 오프-액시스 조명의 사용을 위해 설계된다. 비대칭 모노폴 조명 프로파일은 상술된 바와 같이 단일 폴(예를 들어, 모노폴)이 동공의 중심로부터 오프셋되는 동공의 구성(예를 들어, 완전히 이용가능한 조명 필드)을 제공한다. 비대칭 조명은 방사선 필드의 중심의 임의의 방향(예를 들어, 우측, 좌측, 상향, 하향)으로 오프셋될 수 있다. 또한 상기 논의된 바와 같이, 비대칭, 모노폴 조명을 포함한 조명 프로파일은, 전환가능한 미러, 필터, 존플레이트, 자기 요소, 반사 요소 또는 방사선을 지향, 형상화 및 제어하기 위한 다른 수단과 같은 요소를 포함하는 제어가능한 조명 모드 선택 디바이스에 의해 제공될 수 있다. 일부 실시예에서, 비대칭 조명은 단일 모노폴이 아닌 다른 조명 프로파일(예를 들어, 멀티-폴)이지만 동공의 중심 지점에 대한 비대칭 특성을 가질 수도 있다.
일실시예에서, 테스트 패턴은 테스트 패턴의 일부 부분(들)에서 제 1 피치로 라인/스페이스를 제공하고 테스트 패턴의 다른 부분(들)에서 제 2 피치로 라인/스페이스를 제공함으로써 오프-액시스, 비대칭 모노폴 조명의 사용을 위해 설계된다. 피치는 라인의 폭 + 인접한 스페이스의 폭으로서 측정될 수 있다. 그 조명 프로파일로 조사될 때 제 1 피치를 갖는 테스트 패턴의 부분은 광의 비대칭 회절을 제공한다. 즉, 타겟 기판을 향하여 전달될 마스크로부터의 방사선은 대칭 상태가 아니고 일치하지(coincide) 않는 다중 차수(1차, 0차, 2차)의 것이다. 따라서, 마스크에 반사된 방사선(EUV의 경우)의 반사 각과, 특히 제 1 피치를 갖는 패턴에 반사된 방사선의 반사 각의 차이로 인해, 패턴의 특정 위치는 더 많은 반사 광을 초래할 것이다. 즉, 특정 회절 차수는 음영처리되고 특정 회절 차수는 타겟 기판을 향해 반사되어, 회절 패턴의 비대칭을 초래한다. 비대칭 회절에서는, 디포커스량에 의존하는 회절된 반사선의 회절 차수 사이의 광학 경로 차이가 있고, 이는 타겟 기판 상에 구조물로서 형성(노광)될 때 패턴의 시프트로 이어진다. 비대칭 회절을 달성하는 패턴을 제공하는 테스트 패턴 부분은 본 명세서에서 오프셋 테스트 패턴(예를 들어, 와이드 피치)으로 지칭될 수 있다.
상술된 바와 같이, 테스트 패턴은 테스트 패턴의 다른 부분에서 (오프셋 테스트 패턴 이외의) 제 2 피치(오프셋 테스트 패턴의 피치와는 상이함)로 라인/스페이스를 포함하도록 또한 설계될 수 있다. 테스트 패턴의 이 부분은, 패턴이 제 2 피치를 가지는 경우, 동일한 조명 프로파일로 조사될 때 대칭 회절을 제공한다. 대칭 회절을 제공하는 테스트 패턴의 부분은 기준 패턴(예를 들어, 밀집 피치)으로 지칭될 수 있다. 대칭 회절은 회절 차수 사이의 광학 경로를 동일하게 하여 수렴을 제공한다. 따라서, 디포커스에 관계없이, 기준 패턴은 패턴 시프트없이 재생성될 것이다. 비대칭/대칭 회절의 상세한 설명은 도 12에 도시된다. 기준 패턴 및 오프셋 테스트 패턴을 단일 테스트 패턴으로 결합하는 것은 패턴 오프셋의 양을 결정하기 위해 패턴들의 조합의 측정을 허용하고, 리소그래피 파라미터에 그것을 연관시킨다. 즉, 오프셋 테스트 패턴에 의해 제공되는 비대칭 회절은 기준 패턴과 비교하여 패턴 시프트를 보이며, 그 패턴 시프트는 리소그래피 툴의 파라미터와 연관 또는 상관될 수 있다. 다양한 조명 프로파일과 연관된 리소그래피 툴의 파라미터를 결정하기 위해 참조 패턴 및 오프셋 테스트 패턴을 포함하는 이미징된 테스트 구조 패턴의 측정이 취해진다.
따라서, 블록(304)에서, 대응하는 패턴의 시프트의 정량화를 가능하게 하거나 이하 논의되는 바와 같이 리소그래피 노광의 파라미터(예를 들어 디포커스량 및/또는 도즈)에 연관될 수 있는, 기준 패턴으로서 제 2 피치에서의 피처 및 오프셋 테스트 패턴으로서의 제 1 피치를 포함하는 테스트 패턴이 제공된다. 테스트 패턴의 설계는 이하 도 4의 방법과 관련하여 보다 상세히 설명된다.
일부 실시예에서, 테스트 패턴은 n 개의 X 방향으로 반복적으로 배열된 복수의 라인 피처 및 스페이스 패턴을 포함할 수 있다. 일부 실시예에서, 테스트 패턴은 Y 방향으로 반복적으로 배열된 복수의 라인 피처 및 스페이스 패턴을 포함할 수 있다. 테스트 패턴은 교호하는 라인/스페이스 구성, 슬롯(Slot) 구성, 교호하는 콘택/홀 구성을 포함할 수 있다. 패턴 유형은 패터닝될 디바이스 층에 기초할 수 있다. 예를 들어, 일실시예에서, 디바이스 패턴은 콘택/홀 디바이스 층에 대한 것이며, 따라서 테스트 패턴은 또한 콘택/홀로서 설계된다.
도 5a 및 도 5b를 참조하면, 각각 테스트 패턴(500 및 502)이 도시된다. 일실시예에서, 도시된 테스트 패턴(500 및 502)은 반도체 기판 상에 형성된 테스트 패턴 구조물이다. 다른 실시예에서, 도시된 테스트 패턴(500 및 502)은 포토마스크(또는 단순한 마스크) 상에 정의된 테스트 패턴이다. 테스트 패턴들(500 및 502)은 상술된 시스템(100 및/또는 200)에 관하여 기술된 바와 같은 마스크 상에 형성될 수 있다. 일 실시예에서, 테스트 패턴(500)은, 도 1을 참조하여 상술된 시스템(100)과 같은 EUV 시스템을 모니터링 및/또는 제어하기 위해 사용된다. 일실시예에서, 테스트 패턴(502)은 도 2를 참조하여 상술된 시스템(200)과 같은 DUV 시스템을 모니터링 및/또는 제어하기 위해 사용된다. 일실시예에서, 테스트 패턴(502)은 액침 리소그래피 시스템을 모니터링 및/또는 제어하기 위해 사용된다.
테스트 패턴(500)은 복수의 라인 피처(504) 및 라인 피처(504)를 개재하는 복수의 스페이스 피처(506)를 포함한다. 라인 피처(504A)는 제 1 피치 및 제 1 폭으로 제공된다. 라인 피처(504B)는 제 2 피치 및 제 2 폭으로 제공된다. 라인 피처(504A)는 라인 피처(504B)보다 큰 폭 및 피치를 가진다. 504A와 같은 더 큰 폭 및 피치의 피처는 와이드 스페이스 및 와이드 CD로서 지칭될 수 있다. 504B와 같은 더 작은 폭 및 피치의 피처는 밀집 피치 및 밀집 CD로 지칭될 수 있다. 단일 라인 피처(504A)가 도시되지만, 이에 한정되는 것은 아님을 주목한다.
일실시예에서, 라인 피처(504A 및 504B) 사이에 버퍼 패턴이 있을 수 있다. 버퍼 패턴(예를 들어, 피처)은 포토레지스트 현상(예를 들어, 포토레지스트 붕괴) 문제를 완화시키는 패턴 세트의 패터닝 가능성을 향상시키기 위해 더 두꺼운 피처를 제공할 수 있다.
테스트 패턴(502)은 복수의 라인 피처(508), 및 복수의 라인 피처(508)를 개재한 복수의 스페이스 피처(510)를 포함한다. 라인 피처(508A)는 제 1 피치 및 제 1 폭으로 제공된다. 라인 피처(508B)는 제 2 피치 및 제 2 폭으로 제공된다. 라인 피처(508A)는 라인 피처(508B)보다 큰 폭 및 피치를 가진다.
라인 피처(504B/508B)는 기준 패턴을 제공하는 폭 및 피치를 제공하도록 선택될 수 있다. 다르게 말하면, 라인 피처(504B/508B)는, 블록(310)에서 제공된 조명 프로파일로 대칭 회절이 발생하도록 제공되고, 디포커스가 있어도 패턴은 실질적으로 시프트되지 않을 것이다. 따라서, 라인(504B)을 갖는 테스트 패턴(500)의 부분은 기준 패턴을 제공하는 부분을 제공한다. 유사하게, 라인(508B)을 갖는 테스트 패턴(502)의 부분은 기준 패턴을 제공하는 부분을 제공한다.
라인 피처(504A/508A)는 오프셋 테스트 패턴을 제공하는 폭 및 피치를 제공하도록 선택될 수 있다. 다르게 말하면, 라인 피처(504A/508A)는 블록(310)에서 제공된 조명 프로파일로 비대칭 회절이 발생하도록 제공되고, 후술되는 바와 같이 디포커스량과 상관될 수 있는 방식으로 패턴은 시프트될 것이다. 따라서, 라인(504A)을 갖는 테스트 패턴(500)의 부분은 오프셋 테스트 패턴을 제공하는 부분을 제공한다. 유사하게, 라인(508A)을 갖는 테스트 패턴(502)의 부분은 오프셋 테스트 패턴을 제공하는 부분을 제공한다.
방법(300)은 테스트 패턴이 마스크 상에 형성되는 블록(306)으로 진행한다. 일실시예에서, 테스트 패턴(500)은 도 1을 참조하여 상술된 마스크(106)와 같은 반사성 마스크 상에 형성된다. 일실시예에서, 테스트 패턴(502)은 도 2를 참조하여 상술된 마스크(218)와 같은 투과성 마스크 상에 형성된다.
일실시예에서, 테스트 패턴(500)은 EUV 리소그래피에 적합한 반사성 마스크 상에 형성된다. 일실시예에서, 테스트 패턴(502)은 DUV 리소그래피에 적합한 투과성 마스크 상에 형성된다. 그러나, 본 발명의 원리는 다른 마스크 유형에도 또한 적용된다.
그 다음, 방법(300)은 제공된 리소그래피 시스템을 사용하여 하나 이상의 타겟 기판(예를 들어, 웨이퍼)이 노광되는 블록(308)으로 진행한다. 일부 실시예에서, 회로 패턴은 타겟 기판 상에 노광된다. 회로 패턴은 테스트 패턴을 노광하기 위해 사용될 것과는 상이한 조명 프로파일을 갖는 방사선을 사용하여 노광될 수 있다. 실시예에서, 회로 패턴(들)을 노광하기 위한 조명 프로파일은 상술된 대칭 조명이다. 예를 들어, 조명 프로파일은 완전한 동공, 멀티-폴(예를 들어, 다이폴, 쿼드러폴) 구성, 모노폴, 환형 링 또는 동공의 "온" 부분이 대칭인 경우 제공되는 다른 형상화된 조명일 수 있다. 조명 프로파일은 오프-액시스 또는 온-액시스로 제공될 수 있다. 일부 실시예에서, 블록(308)은 생략된다.
그 다음, 방법(300)은 테스트 패턴으로 지향된 노광이 수행되는 블록(310)으로 진행한다. 테스트 패턴을 타겟 기판에 노광하는 것은 상기와 동일한 소스를 사용할 수 있지만, 상이한 조명 모드 또는 프로파일을 제공할 수 있다. 예를 들어, 일부 실시예에서, 블록(308)의 노광은 제 1 조면 모드를 사용하여 수행되고, 블록(310)은 제 1 조명 모드와는 상이한 제 2 조명 모드를 사용하여 수행된다. 일실시예에서, 제 2 조명 모드는 오프-액시스, 비대칭 조명이다. 다르게 말하면, 블록(310)의 조명을위한 조명 프로파일은 광축에 대하여 경사진 방향으로부터 광축에 대해 비대칭이다. 예를 들어, 조명 프로파일은 모노폴, 또는 동공의 "온" 부분이 동공의 필드에 대해 비대칭인 경우 제공되는 다른 조명일 수 있다. 따라서, 방법(300)의 블록(308)과 블록(310) 사이에서 마스크에 제공된 조명 형상이 조정된다. 예를 들어, 블록(310)에서 비대칭 오프-액시스 모노폴 조명을 제공하기 위한 것이다.
일부 실시예에서, 테스트 패턴 구조물은 블록(308)에서 상술된 바와 같은 회로 패턴과 동일한 기판 상에 형성된다. 예를 들어, 일부 실시예에서, 테스트 패턴은 커프(kerf) 영역 또는 더미 영역에 형성될 수 있다. 일부 실시예에서, 테스트 패턴 구조물은 대략 1 미크론(㎛)제곱의 전체 면적을 가진다. 따라서, 일부 실시예에서, 블록(308 및 310) 사이에서 조명 프로파일이 변경되더라도, 기판(회로 패턴 및 테스트 패턴을 포함함)은 이동되지 않지만 도 1의 스테이지(112) 또는 도 2의 스테이지(216)와 같은 스테이지 상에 유지된다. 블록(310)에서 노출된 테스트 패턴은 기준 패턴과 오프셋 테스트 패턴 모두를 포함한다. 다르게 말하면, 기준 패턴과 오프셋 테스트 패턴은 단일 노광 공정에서 동시에 노광된다.
그 다음에, 방법(300)은 형성된 테스트 패턴 구조물의 복수의 측정이 그 위에 노광된 테스트 패턴 구조물을 갖는 기판(예를 들어, 웨이퍼) 상에서 수행되는 블록(312)으로 진행한다. 측정은 주사 전자 현미경(scanning electron microscope; SEM)에 의해 수행될 수 있다. 일부 실시예에서, SEM은 테스트 패턴의 노광뿐만 아니라 상기 패턴의 현상 후에 수행된다.
테스트 패턴(500)의 예를 사용하여, 타겟 기판 상에 형성된 테스트 패턴(500) 구물은 다양한 양태의 패턴 시프트를 결정하기 위해 측정된다. 일실시예에서, 라인(또는 폴리곤) 피처(504B')는 측정을 위해 식별된다. 특정 라인 피처 (504B')는 노광 파라미터(예를 들어, 디포커스)과의 상관 관계의 적절한 감도를 제공하는 것으로서 실험 및/또는 시뮬레이션 데이터에 의해 식별될 수 있다. 즉, 도 11과 관련하여 포함하는 이하 더 상세히 논의되는 바와 같이 라인 피처(504B')의 위치에 기초한 치수 측정 대 리소그래피 파라미터의 결과의 플롯들이 본질적으로 평평해 지도록, 라인 피처(504B')가 결정될 수 있다. 일실시예에서, 라인 피처(504B')는 오프셋 테스트 패턴 피처(504A)로부터 제 n 라인 피처로서 식별되며, 여기서 n은 1보다 큰 정수이다. 다른 실시예에서, n은 3이다. 다른 실시예에서, n은 5이다. 일부 실시예에서, n은 2와 6 사이의 수이다. 라인 피처(504B')의 식별(그에 따라, "n"의 식별)은 이하 설명된다. 요컨대, 'n' 값의 선택은 근접 효과가 사라진 배열의 피처의 수이다. 따라서, 'n'은 더 큰 값일 수 있고 여전히 본 개시의 범위 내에있다. 일실시예에서, 라인 피처(504B')(예를 들어, 상부 및 하부) 사이에서 측정(512)(SUM으로 지칭됨)이 수행된다. 일실시예에서, 라인 피처(504B')와 가장 가까운 라인 피처(504A)의 에지 사이의 측정(514)(Space_top 또는 간단히 S_top로 지칭됨)이 수행된다. 일실시예에서, 라인 피처(504B')와 가장 가까운 라인(504A)의 에지 사이의 측정(516)(Space_low 또는 간단히 S_low로 지칭됨)이 수행된다. 일부 실시예에서, 단일 라인(504A)이 제 1 세트의 라인(504B)과 제 2 세트의 라인(504B) 사이에 개재되고; 따라서, S_low(516) 및 S_top(514)은 동일한 라인(504A)의 상이한 에지까지 측정된다. 측정(512, 514, 및 516) 각각은 주사 전자 현미경(SEM)에 의해 수행되고 제어 시스템 등에 의해 저장될 수 있다.
테스트 패턴(502)의 예를 사용하여, 타겟 기판 상에 형성된 테스트 패턴(502) 구조물이 다양한 양태의 패턴 시프트를 결정하기 위해 측정된다. 일실시예에서, 라인(폴리곤) 피처(508B')는 측정을 위해 식별된다. 특정 라인 피처(508B')는 노광 파라미터(예를 들어, 디포커스)과의 상관 관계의 적절한 감도를 제공하는 것으로서 실험 및/또는 시뮬레이션 데이터에 의해 식별될 수 있다. 즉, 도 11과 관련하여 포함하는 이하 더 상세히 논의되는 바와 같이 라인 피처(508B')의 위치에 기초한 치수 측정 대 리소그래피 파라미터의 결과의 플롯들이 본질적으로 평평해 지도록, 라인 피처(508B')가 결정될 수 있다. 일실시예에서, 라인 피처(508B')는 오프셋 테스트 패턴 피처(508A)로부터 제 n 라인 피처로서 식별되며, 여기서 n은 1보다 큰 정수이다. 추가의 실시예에서, 도 5b에 도시된 바와 같이, n은 5이다. 다른 실시예에서, n은 3이다. 일부 실시예에서, n은 2와 6 사이의 수이다.
일실시예에서, 라인 피처(504B')(예를 들어, 상부 및 하부) 사이에서 측정(518)(SUM으로 지칭됨)이 수행된다. 일실시예에서, 라인 피처(508B')와 가장 가까운 라인 피처(508A)의 에지 사이의 측정(520)(Space_right 또는 간단히 S_right로 지칭됨)이 수행된다. 일실시예에서, 라인 피처(508B')와 가장 가까운 라인 피처(508A)의 에지 사이의 측정(522)(Space_left 또는 간단히 S_left로 지칭됨)이 수행된다. 일부 실시예에서, 단일 라인(508A)이 제 1 세트의 라인(508B)과 제 2 세트의 라인(508B) 사이에 개재되고; 따라서, S_right(520) 및 S_left(522)은 동일한 라인(508A)의 상이한 에지까지 측정된다. 측정(518, 520, 및 522) 각각은 주사 전자 현미경(SEM)에 의해 수행되고 제어 시스템 등에 의해 저장될 수 있다.
도 5a 및 도 5b는 예시적인 것이며 다른 구조물이 제공될 수 있다. 패턴의 제 1 피치 및 제 2 피치를 도입하고 이들의 적절한 측정치를 제공하는 것을 포함하는 다른 실시예가 가능할 수 있다. 일부 실시예에서, 적절한 측정치는 [1] SUM 값, [2] S_first 값, 및 [3] S_second 값(여기서, S_first 및 S_second는 도 5a 및 도 5b에 도시된 바와 같이 좌측 및 우측 또는 상부 및 하부일 수 있음)이다. SUM 값의 측정치는 값 [2] + 값 [3] + 1*와이드 CD와 동등하고, 와이드 CD는 테스트 패턴에 의해 제공된다. 도 5b를 참조하면, Value [2] S_first 값은 4*밀집 피치 + 1*와이드 스페이스일 수 있다. 와이드 스페이스는 테스트 패턴에 의해 제공될 수 있다. 값 [3]은 4*밀집 피치 + 1*와이드 스페이스일 수 있다. 도 5a를 참조하면, 값 [2] S_first 값은 2*밀집 피치 + 1*와이드 스페이스일 수 있다. 와이드 스페이스는 테스트 패턴에 의해 제공될 수 있다. 값 [3]은 2*밀집 피치 + 1*와이드 스페이스일 수 있다.
방법(300)은 블록(312)에서 얻어진 측정 데이터가 노광 공정의 하나 이상의 파라미터를 결정하기 위해 사용되는 블록(314 및 316)으로 진행한다. 일부 실시예에서, 블록(314 또는 316) 중 하나는 생략된다. 일부 실시예에서, 블록(314)의 도즈의 결정은 수행되지만 리소그래피 시스템의 파라미터를 수정하는데 사용되지 않을 수 있다.
일실시예에서, 방법(300)은 블록(312)에서 얻어진 측정치를 사용하여 노광 도즈가 결정되는 블록(314)으로 진행한다. 예를 들어, 일실시예에서, 최적 노광 도즈(EOP)가 결정된다. 패턴 밀도에 따라 노광 도즈가 변하는 것으로 인지된다.
도 6a 및 도 6b를 참조하면, 예시적인 그래프(600 및 602)가 도시된다. 일실시예에서, 그래프(600)(또는 플롯)는 도 1을 참조하여 상술된 시스템(100)과 같은 EUV 시스템과 연관된다. 일실시예에서, 그래프(602)는 도 2을 참조하여 상술된 시스템(200)과 유사한 액침 리소그래피 시스템과 같은 DUV 리소그래피 시스템과 연관된다. 그래프(600 및 602)는 각각 디포커스(nm) 대 Sum(nm) 측정치의 플롯을 도시한다. 다수의 EOP 레벨(604, 606 및 608)이 그래프(600 및 602) 각각에 대해 플로팅된다. EOP 레벨(606)은 표준 또는 베이스라인 도즈를 제공한다. EOP 레벨(604)은 감소된 EOP 레벨, 또는 표준 또는 베이스라인 도즈의 90%를 나타낸다. EOP 레벨(608)은 증가된 EOP 레벨, 또는 표준 또는 베이스라인 도즈의 110%를 나타낸다. 일실시예에서, 그래프(600)의 EOP 레벨(608)은 표준 또는 베이스라인 도즈의 113%를 나타낸다. 도시된 실시예에서, 3개의 EOP 레벨이 제공되지만, 임의의 수의 EOP 레벨이 그래프(600 및/또는 602) 상에 제공될 수 있다.
일부 실시예에서, 그래프(600 및/또는 602)는 주어진 임계 치수(CD) 또는 CD 범위를 제공한다. 그래프(600 및/또는 602)와 연관된 CD는 상술된 테스트 패턴의 오프셋 테스트 패턴의 CD와 실질적으로 유사할 수 있다. 일부 실시예에서, 그래프(600 및/또는 602)와 연관된 CD는 리소그래피 시스템을 사용하여 형성될 회로 패턴과 연관된 CD이다.
그래프(600 및/또는 602)는 EUV/DUV 시스템에 의해 처리된 기판으로부터의 실험 및/또는 시뮬레이션 데이터를 사용하여 생성될 수 있다. 구체적으로, 도즈/디포커스에 관한 상당량의(예를 들어, 100s 또는 1000s) 데이터포인트 및 결과 SUM 측정치가 방법(300)과 함께 사용하기 위해 획득되고, 플로팅되고, 저장될 수 있다. 그래프(600 및 602)로부터, EOP 레벨(604, 606, 608) 각각은 각각 실질적으로 선형이다; 다르게 말하면, 주어진 EOP 레벨에 대해, SUM 측정은 실질적으로 일정함을 주목한다. 상기 블록(304)을 참조하여 그리고 하기 도 11을 참조하여 본 명세서에서 논의된 바와 같이, SUM 측정치(예를 들어, 제 n 폴리곤의 선택을 포함)는 이 선형 특성이 생성되도록 선택될 수 있다.
일실시예에서, 테스트 패턴(500)의 SUM 측정치(512)는 방법(300)의 블록(312)에서 결정되고, 이 측정치에 기초하여 그래프(600)의 EOP 레벨(604, 606 또는 608) 중 하나인 대응 EOP 레벨이 결정된다. 예를 들어, 일실시예에서 SUM은 527 ㎚로 결정되고, 따라서 EOP는 EOP 레벨(604)에 대응하도록 결정된다. 따라서, 현재 동작에서 리소그래피 시스템에 의해 제공되는 EOP 레벨은 표준의 90%로 결정된다.
일실시예에서, 테스트 패턴(502)의 SUM 측정치(518)는 방법(300)의 블록(312)에서 결정되고, 이 측정치에 기초하여 그래프(602)의 EOP 레벨(604, 606 또는 608) 중 하나인 대응 EOP 레벨이 결정된다. 예를 들어, 일실시예에서 SUM은 1051 nm로 결정되고, 따라서 EOP는 EOP 레벨(604)에 대응하도록 결정된다. 따라서, 현재 동작에서 리소그래피 시스템에 의해 제공되는 EOP 레벨은 표준의 90%로 결정된다.
그 다음에, 방법(300)은 포커스 파라미터(베스트 포커스)가 상술된 블록(312)에서 얻어진 측정치를 사용하여 또한 결정되는 블록(316)으로 진행한다. 베스트 포커스에서는 이미지 콘트라스트가 최대 값을 가지며, 패턴의 이미지 콘트라스트는 전이 중에 라인/스페이스와 중간 영역 사이의 밝은 영역과 어두운 영역으로 분할된다.
도 7a 및 도 7b를 참조하면, 예시적인 그래프(700 및 702)가 도시된다. 일실시예에서, 그래프(700)는 도 1을 참조하여 상술된 시스템(100)과 같은 EUV 시스템과 연관된다. 일실시예에서, 그래프(702)는 도 2를 참조하여 상술된 시스템(200)과 유사한 액침 리소그래피 시스템과 같은 DUV 리소그래피 시스템과 연관된다. 그래프(700 및 702)는 디포커스(nm) 대 상술된 테스트 패턴의 측정치 - Delta S로 지칭되거나, 또는 그래프(700)를 참조하여 S_top(514)과 S_bottom(516) 사이의 차이, 또는 그래프(702)를 참조하여 S_left(522)와 S_right(520) 사이의 차이임 - 의 플롯을 각각 도시한다. 다수의 EOP 레벨(704, 706 및 708)은 그래프(700 및 702) 각각에 대해 플로팅된다. EOP 레벨(706)은 표준 또는 베이스라인 도즈를 제공한다. EOP 레벨(704)은 감소된 EOP 레벨, 또는 표준 또는 베이스라인 도즈의 90%를 나타낸다. EOP 레벨(708)은 증가된 EOP 레벨, 또는 표준 또는 베이스라인 도즈의 110%를 나타낸다. 도시된 실시예에서, 3개의 EOP 레벨이 제공되지만, 임의의 수의 EOP 레벨이 그래프(700 및/또는 702) 상에 제공될 수 있다.
일부 실시예에서, 그래프(700 및/또는 702)는 주어진 임계 치수(CD) 또는 CD 범위에 대해 제공된다. 그래프(700 및/또는 702)와 연관된 CD는 상술된 테스트 패턴의 오프셋 테스트 패턴의 CD와 실질적으로 유사할 수 있다. 일부 실시예에서, 그래프(700 및/또는 702)와 연관된 CD는 리소그래피 시스템을 사용하여 형성될 회로 패턴과 연관된 CD이다.
그래프(700 및/또는 702)는 실험 및/또는 시뮬레이션 데이터를 사용하여 생성될 수 있다. 구체적으로, 도즈/디포커스에 관한 상당량의(예를 들어, 100s 또는 1000s) 데이터포인트 및 결과 S_Delta 측정치가 방법(300)과 함께 사용하기 위해 획득되고, 플로팅되고, 저장될 수 있다. 그래프(700 및 702)로부터, EOP 레벨(704, 706, 708) 각각은 각각 실질적으로 선형이다; 다르게 말하면, 주어진 EOP 레벨에 대해, S_Delta 측정은 실질적으로 일정함을 주목한다. 상기 블록(304)을 참조하여 그리고 하기 도 11을 참조하여 본 명세서에서 논의된 바와 같이, S_Delta(예를 들어, 제 n 폴리곤의 선택을 포함)는 이 선형 특성이 생성되도록 선택될 수 있다.
일실시예에서, S-Delta 측정치는 방법(300)의 블록(312)에서 제공된 측정치를 사용하여 테스트 패턴(500)에 대해 결정된다. 일실시예에서, S_top(514)로부터 S_low(516)가 감산되어 나노미터 단위의 S_Delta를 제공한다. 블록(314)에 기초하여, 그래프(700)의 EOP 레벨(704, 706 또는 708) 중 하나인 대응 EOP 레벨이 인식된다. S_Delta 및 선택된 EOP 레벨[예를 들어, 그래프(600)를 사용함]에 기초하여, 결정될 대응 디포커스 값(nm)에 대해 제공하는 그래프(700)의 플롯 상의 포인트가 결정된다. 예를 들어, 일실시예에서, [테스트 구조(500)에 대한] S_Delta는 1 nm가 되도록 결정되고, 블록(314)에서 상술된 바와 같이 EOP는 표준의 90%가 되도록 결정된다. 따라서, 디포커스 레벨은 -10 nm 오프셋이 되도록 결정된다.
일실시예에서, S-Delta 측정치는 방법(300)의 블록(312)에서 제공된 측정치를 사용하여 테스트 패턴(502)에 대해 결정된다. 일실시예에서, S_left(522)로부터 S_right(520)가 감산되어 나노미터 단위의 S_Delta를 제공한다. 블록(314)에 기초하여, 그래프(700)의 EOP 레벨(704, 706 또는 708) 중 하나인 대응 EOP 레벨이 인식된다. S_Delta 및 선택된 EOP 레벨에 기초하여, 결정될 대응 디포커스 값(nm)에 대해 제공하는 그래프(700) 상의 포인트가 결정된다. 예를 들어, 일실시예에서, [테스트 구조(602)에 대한] S_Delta는 -8 nm가 되도록 결정되고, 블록(314)에서 상술된 바와 같이 EOP는 표준의 110%가 되도록 결정된다. 따라서, 디포커스 레벨은 -10 nm 오프셋이 되도록 결정된다.
일부 리소그래피 공정에서, Bossung 곡선은 공정 파라미터를 이해하는데 사용될 수 있다; Bossung 곡선은 포커스 및 노광 도즈의 함수로서 CD를 제공한다. 그러나, 테스트 구조물의 주어진 CD 측정에 대해, Bossung 곡선은 어느 EOP/디포커스 조합이 리소그래피 시스템을 나타내는지에 대한 모호함을 제공할 수 있다(즉, 상이한 디포커스 값을 각각 제공하는 Bossung 곡선 상에 다수의 조건이 있을 수 있다). 따라서, 본 방법(300)은 베스트 포커스를 고유하게 결정하는 이점을 제공한다. 예를 들어, 상술된 바와 같이(도 5a 및 도 5b와 관련한 설명 참조) 식별된 타깃 폴리곤의 합계 또는 차이를 측정함으로써, 정보는 Bossung 곡선과 같이 단일 스페이스 또는 단일 CD에 한정되지 않는다. 따라서, Bossung 곡선의 사용시 발생할 수 있는 동일한 CD 출력 값을 제공하는 서로 상이한 처리 조건의 모호성이 회피된다.
그 다음에, 방법(300)은 노광 조건의 파라미터가 후속 기판의 노광 공정을 위해 수정되는 블록(318)으로 진행한다. 방법(300)은 주어진 수의 로트에 대해 블록(310)을 참조하여 상술된 테스트 패턴의 하나의 노광이 수행될 수 있도록 수행 될 수 있음을 주목한다. 일실시예에서, 블록(310)(및 기판 상의 결과의 테스트 구조물의 분석)은 로트 당 1회 수행될 수 있다. 다른 실시예에서, 블록(310)(및 기판 상의 테스트 구조물의 분석)은 여러(예컨대, 50-100) 로트 당 1회 수행될 수 있다.
일실시예에서, 파라미터는 방법(300)의 블록(314 및 316)의 상기 분석에 기초하여 스캐너에서 수정된다. 일실시예에서, 포커스 파라미터는 수정된다. 포커스 수정은 타겟 기판에 대한 스캐너의 위치의 수정일 수 있다. 예를 들어, 포커스 제어 유닛은 포커스 측정 결과를 수신하고, 수직 축에서 기판의 구동 제어와 같은 수정을 제공한다. 일부 실시예에서, 블록(316)의 결과에 기초하여 시스템의 포커스 조건을 이해하여 다른 파라미터가 수정된다. 예를 들어, 임계 치수 목표가 수정될 수 있다. 조명 모드가 대칭 또는 비대칭 방식으로 제공되는지 여부에 관계없이, 리소그래피 시스템의 주어진 소스에 대해 베스트 포커스와 같은 포커스 파라미터가 동일할 수 있음을 주목한다. 다르게 말하면, 이것은 조명 프로파일과 독립적이고, 조명 프로파일은 블록(308)과 블록(310) 사이에서 변한다. 따라서, 회로 패턴을 노광하기 위한 베이스라인(예를 들어, 생산) 조명 모드로 다시 전환할 때, 현재 정량화된 디포커스 조건으로 인해 적절한 CD에서 노광이 정지될 수 있다. 예를 들어, 일실시예에서, 노광은 표준 CD 판독 값을 수정하는 결정된 디포커스 조건 때문에 50 nm의 베이스 라인보다는 CD = 49 nm에서 정지할 수 있다. 일실시예에서, 노광 도즈(EOP)는 회로 파라미터의 노광을 위해 조작될 수 있다.
그 다음에, 도 3의 방법(300)은 블록(318)에서 결정된 수정된 파라미터를 사용하여 회로 패턴이 타겟 기판 상에 노광되는 블록(320)으로 계속할 수 있다. 일부 실시예에서, 노광 툴의 파라미터는 수정되지 않지만 블록(314 및/또는 316)은 툴의 현재 세팅(들)을 확인할 수 있고 노광은 그대로 계속될 수 있다.
회로 패턴은 제조될 IC 디바이스의 다양한 컴포넌트를 구성하는 금속, 산화물 또는 반도체 층의 패턴에 대응할 수 있다. 다양한 층이 결합하여 다양한 IC 피처를 형성한다. 예를 들어, 회로 패턴의 일부는, 반도체 기판(예를 들어, 실리콘 웨이퍼) 및 반도체 기판 상에 배치된 다양한 재료 층 내에 형성될, 활성 영역, 게이트 전극, 소스 및 드레인 영역, 금속 라인, 콘택 홀, 콘택 플러그, 비아 홀, 비아 플러그 및 본딩 패드용 개구부와 같은 다양한 IC 피처를 포함할 수있다.
회로 패턴뿐만 아니 테스트 패턴의 노광을 위한 기판은 반도체 웨이퍼일 수 있다. 반도체 웨이퍼는 실리콘 기판 또는 그 위에 형성된 재료 층을 갖는 다른 적절한 기판을 포함한다. 다른 적절한 기판 재료는 다이아몬드 또는 게르마늄과 같은 또다른 적합한 기본 반도체; 실리콘 카바이드, 인듐 비소 또는 인듐 인화물과 같은 적합한 화합물 반도체; 또는 실리콘 게르마늄 카바이드, 갈륨 비소 인화물, 또는 갈륨 인듐 인화물과 같은 적합한 합금 반도체를 포함한다. 반도체 웨이퍼는 (후속제조 단계에서 형성되는) 다양한 도핑 영역, 유전체 피처 및 다중 레벨 상호접속부를 더 포함할 수 있다. 회로 패턴은 다양한 공정에 사용될 수 있다. 예를 들어, 회로 패턴은 반도체 웨이퍼 내에 다양한 도핑 영역을 형성하기 위한 이온 주입 공정, 반도체 웨이퍼 내에 다양한 에칭 영역을 형성하기 위한 에칭 공정 및/또는 다른 적절한 공정들에 사용될 수 있다.
일부 실시예에서 방법(300)은 블록(322)에서 설명된 바와 같은 기판 상에 노광된 회로 패턴과 연관된 회로 디바이스를 형성하기 위해 다른 반도체 디바이스 제조 공정의 수행을 계속할 수 있다. 회로 패턴은 금속 산화물 반도체 전계 효과 트랜지스터(metal oxide semiconductor field effect transistor; MOSFET), 상보성 금속 산화물 반도체(complementary metal oxide semiconductor; CMOS) 트랜지스터, 바이폴라 접합 트랜지스터(bipolar junction transistor; BJT), 고전압 트랜지스터, 고주파 트랜지스터, FinFET을 포함한 p채널 및/또는 n채널 전계 효과 트랜지스터(PFET/NFET), 다이오드 , 또는 다른 적절한 요소와 같은 다양한 집적 회로 디바이스와 연관될 수 있다.
이제 도 4를 참조하면, 리소그래피 노광 시스템을 모니터링하기 위한 테스트 패턴을 현상하는 것을 포함하는 방법(300)의 블록(304)에 적용될 수 있는 추가적인 세부 사항이 도시되어 있다. 상술된 바와 같이, 테스트 패턴의 현상은 라인/스페이스 패턴, 라인/스페이스 피치, 및 라인/스페이스 폭뿐만 아니라, 방법(300)의 블록(312)을 참조하여 논의된 바와 같이, 기판 상에 테스트 패턴 구조물로서 형성될 때 상기 패턴 상에서 측정을 또한 말함을 주목한다.
일실시예에서, 방법(400)은 기준 패턴이 결정되는 블록(402) 및 오프셋 테스트 패턴이 결정되는 블록(404)으로 시작할 수 있다. 기준 패턴 및 오프셋 패턴 각각은 상술된 도 5a 및도 5b의 예시적인 테스트 패턴과 같은 테스트 패턴을 형성하기 위해 함께 사용될 수 있다. 오프셋 테스트 패턴 및 기준 패턴은 상술된 바와 실질적으로 유사하다.
도 10을 참조하면, 기준 패턴 및 오프셋 테스트 패턴 선택의 결정을 설명하는 조명 모드 및 테스트 패턴 피치의 예시적인 비교가 도시된다. 블록(또는 컬럼)(1002 및 1004)은 타겟 기판 상에 회로 패턴을 제조하는데 사용될 수 있는 조명 프로파일(1010)을 도시한다. 블록(1002 및 1004)의 조명 프로파일(1010)은 대칭 프로파일을 제공한다. 조명 프로파일(1010)은 형성될 회로 패턴에 대해 최적화될 수있음을 주목한다. 조명 프로파일(1010)에 의해 정의된 방사선(1006)은 예시적인 마스크(1008)에 입사된다. 마스크(1008)는 상술된 마스크(106 및/또는 218)와 실질적으로 유사한 반사성 또는 투과성 마스크일 수 있다. 마스크(1008)에 의한 패터닝 후의 방사선은 투과된 조명(1006')으로서 도시된다. 블록(1002 및 1004)과 관련하여, 투과된 조명은 도시된 다수의 회절 차수 - 1차 및 0차 - 를 가진다. 디포커스의 조건 하에서 특정 차수의 방사선은 해산되어 패턴 위치가 시프트되게 하는 것이 통상적이다. 투과된 조명(1006')은 예시적인 렌즈 전후에 도시되어 있지만; 이는 단지 예시를 위한 것이며, 조명(1006')을 기판으로 지향시키는 많은 렌즈 또는 미러가 있을 수 있음을 주목한다.
대조적으로, 블록(1012 및 1014)은 본 명세서의 양상에 따라 타겟 기판 상에 테스트 패턴을 제조하는데 사용될 수 있는 조명 프로파일(1020)을 도시한다. 블록(1012 및 1014)의 조명 프로파일(1020)은 비대칭, 모노폴 프로파일을 제공한다. 조명 프로파일(1020)에 의해 정의된 방사선(1016)은 예시적인 마스크(1008)에 입사되는 것으로 도시된다. 다시, 마스크(1008)는 상술된 마스크(106 및/또는 218)와 실질적으로 유사한 반사성 또는 투과성 마스크일 수 있다. 마스크(1008)에 의한 패터닝 이후의 방사선은 투과된 조명(1016')으로 도시된다. 투과된 조명(1016')은 다수의 예시적인 회절 차수 - 1차 및 0차가 도시됨 - 를 갖는 것으로 도시된다. 블록(1014)에서, 마스크(1008)에 의한 패터닝 이후의 방사선은 도시된 1차 및 0차를 가지며 비대칭인 투과된 조명(1016')으로 도시된다. 디포커스의 조건 하에서, (EUV 리소그래피의 경우) 회절된 특정 차수의 방사선은 해산되어 패턴 위치가 시프트되게 하는 것이 통상적이다. 대조적으로, 블록(1012)에 대한 0차 및 1차는 대칭이다. 이는 블록(1012)의 마스크(1008)의 라인 피처 폭/피치로 인해 이미징된 패턴의 시프트가 발생하지 않기 때문이다. 따라서, 블록(1012)에 제공된 패턴은 기준 패턴의 예시이다.
따라서, 도 10은 주어진 조명 프로파일에 대한 기준 패턴(폭/피치)을 결정하는 방식을 도시한다. 즉, 블록(1012)에 의해 도시된 바와 같이, 마스크로부터의 대칭 반사를 제공하는 폴리곤 폭/피치를 결정하는 것이 바람직하다. 이것은 주어진 디포커스량에 대해 재생성된 패턴이 실질적으로 언시프트되게 한다. 반대로, 오프셋 테스트 패턴에 대해 블록(1014)에 도시된 바와 같이, 마스크로부터의 비대칭 반사를 제공하는 피치를 결정하는 것이 바람직하다. 이는 주어진 디포커스량에 대해 재생성된 패턴이 일정량만큼 시프트되게 한다. 상술된 바와 같이, 시프트량은 디포커스량과 상관될 수 있다. 오프셋 테스트 패턴[예를 들어, 블록(1012)에 제공됨]은 형성될 회로 패턴의 치수와 실질적으로 유사할 수 있다.
그 다음, 방법(400)은 테스트 패턴 상에서 취해질 복수의 측정치가 결정되는 블록(406)으로 진행할 수 있다. 복수의 측정치는 블록(312)을 참조하여 상술된 측정치와 실질적으로 유사할 수 있다. 도 11은 테스트 패턴(1102)으로부터 얻어질 측정치에 대한 3가지 예시적인 옵션을 도시한다. 테스트 패턴(1102)은 상술된 블록(402 및 404)에 의해 결정될 수 있고 그리고/또는 도 5a 및 5b 및 블록(304)을 참조하여 상술된 테스트 패턴과 실질적으로 유사할 수 있다. 테스트 패턴(1102)은 타겟 기판 상에 테스트 패턴 구조로서 형성되고 SEM과 같은 측정 디바이스에 의해 그의 치수를 포착하기에 적합한 것으로 도시된다.
도시된 옵션 A에서는 치수(1108) 및 치수(1110)의 측정치가 제공된다. 치수(1108 및/또는 1110A/B)는 SEM을 사용하여 측정될 수 있다. 도시된 옵션 B에서는 치수(1112) 및 치수(1114A/B)의 측정치가 제공된다. 치수(1112 및/또는 1114A/B)는 SEM을 사용하여 측정될 수 있다. 예시된 바와 같이, 옵션 B 측정은 인접한 스페이스를 포함하며, 옵션 A와 비교하여, 다음의 인접한 라인/폴리곤에서 종료한다. 예시된 옵션 C에서는 치수(1116) 및 치수(1118A/B)의 측정치가 제공된다. 치수(1116 및/또는 1118A/B)는 SEM을 사용하여 측정될 수 있다. 치수(1116 및 1118A/B)는 예를 들어 제 n 폴리곤/라인까지 측정하는 것과 같이, 블록(312)을 참조하여 상술된 바와 같은 복수의 폴리곤/라인을 포함하도록 측정된다.
예시된 옵션 A, 옵션 B, 및 옵션 C는 각각 복수의 그래프이다. 그래프(1104)는 각각 복수의 도즈(공칭의 %)에 대한 디포커스(nm)에 대해 각각 플로팅된 연관 치수(1108, 1112 또는 1116)(nm)를 도시한다. 그래프(1106)는 복수의 도즈(공칭의 %)에 대한 디포커스(nm)에 대해 각각 플로팅된, 연관된: 측정치 1110A과 1110B의 차이, 1114A과 1114B의 차이, 또는 1118A과 1118B의 차이를 도시한다.
옵션 A에 대한 그래프(1104)는 약 1.5 내지 약 1.8 나노미터의 주어진 도즈에 대한 치수(1108)의 차이를 도시한다. 대조적으로, 옵션 B에 대한 그래프(1104)는 0.2 나노미터의 치수(1112)의 차이를 도시한다. 또한, 옵션 C에 대한 그래프(1104)는 0.1 나노미터의 1116의 측정의 차이를 도시한다. 옵션 C에 대한 그래프(1104)는 가장 선형의 응답을 생성한다. 따라서, 옵션 B는 블록(314)을 참조하여 상술된 바와 같이 측정치(1112)를 도즈/포커스와 상관시키는데 사용하기 위해 (옵션 A에 비해) 개선된 정확도를 제공한다. 유사하게, 옵션 C는 블록(314)을 참조하여 상술된 바와 같이 측정치(1116)를 도즈/포커스와 상관시키는데 사용하기 위해 (옵션 A 및 B에 비해) 개선된 정확도를 제공한다. 유사하게, 각각의 그래프(1106)는 옵션 B보다 옵션 C에서 더 큰 상관 계수(R)를 제공하며, 이는 옵션 A에 비해 개선을 제공한다. 도 11은 선택된 테스트 패턴에 대한 측정 기준을 결정하는 것의 중요성을 도시한다.
도 12로 돌아가면, 베이스라인(대칭 조명)과 비교하여 도 4의 블록(404)를 참조하여 상술된 바와 같은 테스트 패턴을 노광하기 위한 비대칭 소스의 사용에 대한 설명을 지원하는 블록도가 도시된다. 비대칭 소스와 비교하여, 다이폴 조명 모드는 1차 및 0차 투과에 의해 도시된 바와 같이 패터닝된 광을 제공하는 마스크에 입사되는 것으로 도시된다. 도 12의 좌측에 도시된 바와 같이, 이들은 대칭이므로, 포커스의 변화에도 불구하고 그 조합에서 오프셋이 보이지 않는다. 대조적으로, 도 12의 우측 부분에 대하여, 비대칭 소스를 제공하는 경우, 대칭, 패터닝된 광 사이에 간섭이 없기 때문에 패턴 시프트는 오프셋으로부터 정량화된다. 따라서, 비대칭 소스에 연계하여 제시된 방법의 특정 실시예는 대칭 소스의 것보다 놀라운 이점을 제공한다.
다양한 실시예에서, 이 기술은 소프트웨어 명령어들을 실행하는 전용의, 고정 함수 컴퓨팅 요소 및 프로그래머블 컴퓨팅 요소의 조합을 사용함으로써 수행된다. 따라서, 방법(300)의 단계 중 임의의 단계는 처리 시스템에 의해 액세스가능한 비일시적 머신-판독가능 매체에 저장되는 대응하는 명령어들을 사용하는 컴퓨팅 시스템에 의해 구현될 수 있음이 이해된다. 이러한 시스템 및 비일시적 머신-판독가능 매체의 예가 도 9를 참조하여 기술된다. 이와 관련하여, 도 9는 본 발명의 다양한 실시예에 따른 리소그래피 환경(900)의 블록도이다.
리소그래피 환경(900)은 제어 시스템(902)을 포함한다. 제어 시스템(902)은 중앙 처리 장치(Central Processing Unit; CPU) 그래픽 처리 장치(Graphical Processing Unit; GPU), 주문형 집적 회로(Application-Specific Integrated Circuit; ASIC), 마이크로컨트롤러 및/또는 다른 적합한 처리 요소와 같은 임의의 수와 유형의 처리 요소를 포함할 수 있는 처리 리소스(904)를 포함한다. 처리 리소스(904)은 유형의 비일시적 머신-판독가능 매체(906)에 결합되어 매체(906) 상에 저장된 명령어들을 실행한다. 이러한 설명의 목적으로, 유형의 비일시적 머신-판독가능 매체(906)는 명령 실행 시스템, 장치 또는 디바이스에 의해 또는 관련하여 사용하기 위한 프로그램을 저장할 수 있는 임의의 장치일 수 있다. 매체(906)는 자기 스토리지, 솔리드-스테이트 스토리지, 광학 스토리지, 캐시 메모리 및/또는 배터리 전원의(battery-backed) 랜덤 액세스 메모리(Random Access Memory; RAM)를 포함하는 비휘발성 메모리를 포함할 수 있다. 다양한 예에서, 매체(906)는 처리 리소스(904)로 하여금, 도 1 및 도 2를 참조하여 상술된 시스템(100 및/또는 200)과 실질적으로 유사할 수 있는, 리소그래피 시스템(914)의 파라미터를 결정하는 방법(300)의 프로세스를 수행하게 하는 명령어들을 저장한다. 일부 실시예에서, 매체(906)는 처리 리소스(904)로 하여금, 리소그래피 시스템의 파라미터를 결정하는데 사용하기에 적합한 테스트 패턴 레이아웃을 결정하는 것을 포함하는, 도 10을 참조하여 후술되는 방법(1000)을 수행하게 하는 명령어들을 저장한다.
이러한 목적으로, 제어 시스템(902)은 상술된 시스템(100) 또는 시스템(200)과 같은 리소그래피 시스템에 신호를 송신 및 수신하는 제조 인터페이스(908)를 포함할 수 있다. 제어 시스템(902)은 또한 테스트 정보 및 결과를 사용자 및/또는 다른 컴퓨팅 시스템과 통신하기 위한 I/O 인터페이스(912)를 포함할 수 있다. 따라서, I/O 인터페이스(912)는 비디오 출력을 위한 제어기(예를 들어, GPU), 사용자 입력을 위한 제어기(예를 들어, 키보드, 마우스, 펜 입력 디바이스, 터치패드 등을 위한 제어기), 네트워크 제어기(예를 들어, 이더넷 및/또는 무선 통신 제어기), 및/또는 다른 적절한 I/O 제어기를 포함할 수 있다. 제어 시스템(902)은 각각 도 1 및 도 2의 제어 시스템(118 및 202)과 실질적으로 유사할 수 있다.
도 13은 생산 라인에서 도 3의 방법을 구현하는 것을 도시한다. 도시된 바와 같이, 테스트 패턴의 샘플링은 "J" 로트마다 제공되지만, 임의의 간격이 가능하다. 제 1 로트는 방법(300)의 블록(308)에 도시된 바와 같이 노광된 회로 패턴을 포함한다. 그 다음에, 제 1 로트에 대해, 테스트 패턴의 베이스 라인 노광 및 측정이 제공된다. 이것은 도 3의 방법(300)의 블록(310 및 312)에 도시된 바와 같다. 일실시예에서, 베이스라인 노광은 주어진 파라미터 또는 파라미터 세트(예를 들어, 도즈, 포커스)를 제공한다. 로트 J에서, 로트 J의 회로 패턴의 조사[블록(308)]를 수행하기 이전 또는 이후에 블록(310)에 도시된 바와 같이 또다른 테스트 구조물의 노광이 수행된다. 이 노광으로부터의 이미지는 블록(312)에 도시된 바와 같이 측정되고, 로트 1의 베이스라인 이미지로부터 차이가 결정된다. 일실시예에서, 포커스는 주어진 값에 의해 오프셋되도록 결정된다. 이 정보는 방법(300)의 블록(318)에 나타낸 바와 같이 생산 노광 조건을 조정하는데 사용된다. 도시된 실시예에서, 조정은 J+2 로트에 대해 수행되지만, "2"는 생산 노광 라인의 처리량에 따른 임의의 수일 수 있다. 이 조정은 J+2 로트가 결과의 포커스 관점에서 로트 1과 일치되도록 할 수 있다.
로트 2J에서, 로트 J의 회로 패턴의 조사[블록(308)]를 수행하기 이전 또는 이후에 블록(310)에 도시된 바와 같이 또다른 테스트 구조물의 노광이 수행된다. 이 노광으로부터의 이미지는 블록(312)에 도시된 바와 같이 측정되고, 로트 1의 베이스라인 이미지로부터 차이가 결정된다. 일실시예에서, 포커스는 주어진 값에 의해 오프셋되도록 결정된다. 이 정보는 방법(300)의 블록(318)에 나타낸 바와 같이 생산 노광 조건을 조정하는데 사용된다. 도시된 실시예에서, 조정은 2J+2 로트에 대해 수행되지만, "2"는 생산 노광 라인의 처리량에 따른 임의의 수일 수 있다. 이 조정은 2J+2 로트가 결과의 포커스 관점에서 로트 1과 일치되도록 할 수 있다. 이는 생산의 전반에 걸쳐 계속될 수 있다.
따라서, EUV 또는 액침 리소그래피 노광에 사용되는 것과 같은 리소그래피 툴을 모니터링 및/또는 제어하기 위한 시스템 및 방법이 일부 실시예에서 제공된다. 테스트 구조물 및/또는 그 측정 기준을 결정함으로써, 테스트 구조물의 오프셋과 디포커스량 사이의 적절한 상관 관계가 얻어질 수 있다. 이는 낮은 비용 및 적은 시간을 집약하는 방식으로 회로 패턴의 노광을 모니터링 및/또는 제어하는데 사용될 수 있다. 이 기술은 회로이 패턴없는 웨이퍼 어느 곳에 하나의 추가 노광(제 2 조명, 비대칭 조명에 의한)을 적용한다. 그 다음, 노출된 피처는 SEM에 의해 측정된다. 그 다음, 포커스 정보는 SEM 이미지로부터 추출된다. 따라서, 본 발명은 반도체 디바이스에 대해 리소그래피 공정을 수행하는 방법을 포함한 방법의 예시를 제공한다. 방법은 제 1 피치 및 제 2 피치로 배열된 복수의 라인을 갖는 테스트 패턴을 제공하는 것을 포함한다. 테스트 패턴은 노광되어 반도체 기판 상에 테스트 패턴을 갖는 테스트 구조물을 형성한다. 테스트 구조물이 측정되는 것은, 복수의 라인 중 제 1 라인과 복수의 라인 중 제 2 라인 사이의 거리를 결정하는 것 - 복수의 라인 중 적어도 제 3 라인이 제 1 라인과 제 2 라인 사이에 개재됨- , 및 거리를 리소그래피 파라미터의 오프셋에 상관시키는 것을 포함한다. 그 후, 또다른 반도체 기판 상에 회로 패턴을 노광하기 전에 리소그래피 파라미터는 조정된다.
리소그래피 공정을 수행하는 또다른 방법은 테스트 패턴을 제공하는 것을 포함한다. 테스트 패턴은 제 1 피치로 배열된 제 1 세트의 라인, 제 1 피치로 배열된 제 2 세트의 라인을 포함하고, 제 1 세트의 라인과 제 2 세트의 라인 사이에 적어도 하나의 기준 라인을 더 포함한다. 테스트 패턴은 비대칭 모노폴 조명 프로파일을 제공하는 방사선 소스를 사용하여 노광되어 기판 상에 테스트 패턴 구조물을 형성한다. 방법은 테스트 패턴 구조물을 측정하는 것을 포함한다. 측정하는 것은 제 1 세트의 라인 중 제 1 라인과 제 2 세트의 라인 중 제 2 라인 사이의 거리를 결정하는 것과, 거리를 리소그래피 파라미터와 상관시키는 것을 포함한다. 리소그래피 공정은 리소그래피 파라미터의 오프셋에 기초하여 조정된다. 그 후, 조정된 리소그래피 공정이 수행되어 또다른 기판 상에 회로 패턴을 노광한다.
방법의 또다른 실시예는 리소그래피 시스템의 제 1 조명 모드를 사용하여 제 1 복수의 반도체 기판 상에 노광 공정을 수행하는 것을 포함한다. 리소그래피 시스템의 제 2 조명 모드를 사용하여 또다른 반도체 기판 상에 모니터링 노광 공정이 수행된다. 모니터링 노광 공정은, 대칭 회절을 제공하는 테스트 패턴의 제 1 영역을 조사하는 것, 및 비대칭 회절을 제공하는 테스트 패턴의 제 2 영역을 조사하는 것을 포함한다.또다른 반도체 기판 상에 노광된 테스트 패턴이 측정되어 테스트 패턴의 제 2 영역의 피처의 시프트를 결정한다. 결정된 시프트가 사용되어 리소그래피 시스템의 디포커스량을 결정한다.
상기는 본 발명개시의 양상들을 본 발명분야의 당업자가 더 잘 이해할 수 있도록 여러 실시예들의 특징들을 약술한다. 당업자는 본 명세서에 개시된 실시예들과 동일한 목적을 수행하고, 그리고/또는 동일한 이점를 성취하는 다른 공정들 및 구조물들을 설계하거나 수정하기 위해 본 발명개시를 기초로서 쉽게 사용할 수 있다는 것을 인지해야 한다. 또한, 당업자는 그러한 동등한 구성이 본 개시의 사상 및 범주로부터 벗어나지 않고, 이들은 본 개시의 사상 및 범주를 벗어나지 않으면서 다양한 수정, 대체 및 변경이 가능하다는 것을 인지해야 한다.
실시예
실시예 1. 반도체 디바이스에 대해 리소그래피 공정을 수행하는 방법에 있어서,
제 1 피치 및 제 2 피치로 배열된 복수의 라인을 갖는 테스트 패턴을 제공하는 단계;
상기 테스트 패턴을 노광하여 반도체 기판 상에 상기 테스트 패턴을 갖는 테스트 구조물을 형성하는 단계;
상기 테스트 구조물을 측정하는 단계로서,
상기 복수의 라인 중 제 1 라인과 상기 복수의 라인 중 제 2 라인 사이의 거리를 결정하는 단계 - 상기 복수의 라인 중 적어도 제 3 라인이 상기 제 1 라인과 상기 제 2 라인 사이에 개재됨 - , 및
상기 거리를 리소그래피 파라미터의 오프셋과 상관시키는 단계를 포함하는, 상기 측정하는 단계; 및
또다른 반도체 기판 상에 회로 패턴을 노광하기 전에 리소그래피 파라미터를 조정하는 단계
를 포함하는, 리소그래피 공정 수행 방법.
실시예 2. 실시예 1에 있어서,
상기 거리를 결정하는 단계는 주사 전자 현미경을 사용하여 수행되는 것인, 리소그래피 공정 수행 방법.
실시예 3. 실시예 1에 있어서,
상기 리소그래피 파라미터는 포커스 또는 도즈(dose) 중 하나인 것인, 리소그래피 공정 수행 방법.
실시예 4. 실시예 1에 있어서,
상기 제 3 라인은 상기 제 2 피치로 배치되는 것인, 리소그래피 공정 수행 방법.
실시예 5. 실시예 1에 있어서,
상기 테스트 구조물을 측정하는 단계는,
상기 제 3 라인과 상기 제 1 라인 사이의 제 2 거리를 결정하는 단계, 및
상기 제 3 라인과 상기 제 2 라인 사이의 제 3 거리를 결정하는 단계를 더 포함하는 것인, 리소그래피 공정 수행 방법.
실시예 6. 실시예 5에 있어서,
상기 제 2 거리와 상기 제 3 거리 사이의 차이를 또다른 리소그래피 파라미터에 상관시키는 단계
를 더 포함하는, 리소그래피 공정 수행 방법.
실시예 7. 실시예 6에 있어서,
상기 또다른 리소그래피 파라미터는 베스트 포커스(best focus)인 것인, 리소그래피 공정 수행 방법.
실시예 8. 리소그래피 공정을 수행하는 방법에 있어서,
테스트 패턴을 제공하는 단계 - 상기 테스트 패턴은 제 1 피치로 배열된 제 1 세트의 라인, 상기 제 1 피치로 배열된 제 2 세트의 라인을 포함하고, 상기 테스트 패턴은 상기 제 1 세트의 라인과 상기 제 2 세트의 라인 사이에 적어도 하나의 기준 라인을 더 포함함 - ;
비대칭 모노폴 조명 프로파일을 제공하는 방사선 소스를 사용하여 상기 테스트 패턴을 노광하여 기판 상에 테스트 패턴 구조물을 형성하는 단계;
상기 테스트 패턴 구조물을 측정하는 단계로서,
상기 제 1 세트의 라인 중 제 1 라인과 상기 제 2 세트의 라인 중 제 2 라인 사이의 거리를 결정하는 단계, 및
상기 거리를 리소그래피 파라미터의 오프셋과 상관시키는 단계를 포함하는, 상기 측정하는 단계;
상기 리소그래피 파라미터의 오프셋에 기초하여 리소그래피 공정을 조정하는 단계; 및
상기 조정된 리소그래피 공정을 수행하여 또다른 기판 상에 회로 패턴을 노광하는 단계
를 포함하는, 리소그래피 공정 수행 방법.
실시예 9. 실시예 8에 있어서,
상기 제 1 라인은 상기 적어도 하나의 기준 라인으로부터 적어도 3개의 라인이 떨어져 있는 것인, 리소그래피 공정 수행 방법.
실시예 10. 실시예 9에 있어서,
상기 제 2 라인은 상기 적어도 하나의 기준 라인으로부터 적어도 3개의 라인이 떨어져 있는 것인, 리소그래피 공정 수행 방법.
실시예 11. 실시예 8에 있어서,
상기 조정된 리소그래피 공정을 수행하는 단계는 극자외선(extreme ultraviolet; EUV) 공정인 것인, 리소그래피 공정 수행 방법.
실시예 12. 실시예 8에 있어서,
상기 조정된 리소그래피 공정을 수행하는 단계는 액침 리소그래피(immersion lithography) 공정인 것인, 리소그래피 공정 수행 방법.
실시예 13. 실시예 8에 있어서,
상기 측정하는 단계는, 상기 제 1 라인과 상기 적어도 하나의 기준 라인의 제 1 에지 사이의 제 2 거리를 결정하는 단계, 및 상기 제 2 라인과 상기 적어도 하나의 기준 라인의 제 2 에지 사이의 제 3 거리를 결정하는 단계를 포함하는 것인, 리소그래피 공정 수행 방법.
실시예 14. 실시예 8에 있어서,
상기 테스트 패턴을 제공하는 단계는 반사성 마스크 상에 상기 테스트 패턴을 형성하는 단계를 포함하는 것인, 리소그래피 공정 수행 방법.
실시예 15. 실시예 8에 있어서,
상기 테스트 패턴을 제공하는 단계는 투과성 마스크 상에 상기 테스트 패턴을 형성하는 단계를 포함하는 것인, 리소그래피 공정 수행 방법.
실시예 16. 방법에 있어서,
리소그래피 시스템의 제 1 조명 모드를 사용하여 제 1 복수의 반도체 기판 상에 노광 공정을 수행하는 단계;
상기 리소그래피 시스템의 제 2 조명 모드를 사용하여 또다른 반도체 기판 상에 모니터링 노광 공정을 수행하는 단계로서, 상기 모니터링 노광 공정은,
대칭 회절을 제공하는 테스트 패턴의 제 1 영역을 조사하는 단계, 및
비대칭 회절을 제공하는 상기 테스트 패턴의 제 2 영역을 조사하는 단계를 포함하는 것인, 상기 모니터링 노광 공정을 수행하는 단계;
상기 또다른 반도체 기판 상에 노광된 상기 테스트 패턴을 측정하여 상기 테스트 패턴의 상기 제 2 영역의 피처의 시프트를 결정하는 단계; 및
상기 결정된 시프트를 사용하여 상기 리소그래피 시스템의 디포커스량(defocus amount)을 결정하는 단계
를 포함하는, 방법.
실시예 17. 실시예 16에 있어서,
상기 모니터링 노광 공정을 수행하는 단계 이후에 제 2 복수의 반도체 기판을 노광시키기 위해 상기 결정된 디포커스량을 사용하여 상기 리소그래피 시스템의 파라미터를 조정하는 단계
를 더 포함하는, 방법.
실시예 18. 실시예 17에 있어서,
상기 제 2 복수의 반도체 기판을 노광하는 것은 상기 제 1 조명 모드를 사용하여 수행되는 것인, 방법.
실시예 19. 실시예 16에 있어서,
상기 제 1 조명 모드는 대칭 조사인 것인, 방법.
실시예 20. 실시예 19에 있어서,
상기 제 2 조명 모드는 비대칭 조사인 것인, 방법.

Claims (10)

  1. 반도체 디바이스에 대해 리소그래피 공정을 수행하는 방법에 있어서,
    제 1 피치 및 제 2 피치로 배열된 복수의 라인을 갖는 테스트 패턴을 제공하는 단계;
    상기 테스트 패턴을 노광하여 반도체 기판 상에 상기 테스트 패턴을 갖는 테스트 구조물을 형성하는 단계;
    상기 테스트 구조물을 측정하는 단계로서,
    상기 복수의 라인 중 제 1 라인과 상기 복수의 라인 중 제 2 라인 사이의 거리를 결정하는 단계 - 상기 복수의 라인 중 적어도 제 3 라인이 상기 제 1 라인과 상기 제 2 라인 사이에 개재됨 - , 및
    상기 거리를 리소그래피 파라미터의 오프셋과 상관시키는 단계를 포함하는, 상기 측정하는 단계; 및
    또다른 반도체 기판 상에 회로 패턴을 노광하기 전에 리소그래피 파라미터를 조정하는 단계
    를 포함하는, 리소그래피 공정 수행 방법.
  2. 제 1 항에 있어서,
    상기 거리를 결정하는 단계는 주사 전자 현미경을 사용하여 수행되는 것인, 리소그래피 공정 수행 방법.
  3. 제 1 항에 있어서,
    상기 리소그래피 파라미터는 포커스 또는 도즈(dose) 중 하나인 것인, 리소그래피 공정 수행 방법.
  4. 제 1 항에 있어서,
    상기 제 3 라인은 상기 제 2 피치로 배치되는 것인, 리소그래피 공정 수행 방법.
  5. 제 1 항에 있어서,
    상기 테스트 구조물을 측정하는 단계는,
    상기 제 3 라인과 상기 제 1 라인 사이의 제 2 거리를 결정하는 단계, 및
    상기 제 3 라인과 상기 제 2 라인 사이의 제 3 거리를 결정하는 단계를 더 포함하는 것인, 리소그래피 공정 수행 방법.
  6. 제 5 항에 있어서,
    상기 제 2 거리와 상기 제 3 거리 사이의 차이를 또다른 리소그래피 파라미터에 상관시키는 단계
    를 더 포함하는, 리소그래피 공정 수행 방법.
  7. 제 6 항에 있어서,
    상기 또다른 리소그래피 파라미터는 베스트 포커스(best focus)인 것인, 리소그래피 공정 수행 방법.
  8. 리소그래피 공정을 수행하는 방법에 있어서,
    테스트 패턴을 제공하는 단계 - 상기 테스트 패턴은 제 1 피치로 배열된 제 1 세트의 라인, 상기 제 1 피치로 배열된 제 2 세트의 라인을 포함하고, 상기 테스트 패턴은 상기 제 1 세트의 라인과 상기 제 2 세트의 라인 사이에 적어도 하나의 기준 라인을 더 포함함 - ;
    비대칭 모노폴 조명 프로파일을 제공하는 방사선 소스를 사용하여 상기 테스트 패턴을 노광하여 기판 상에 테스트 패턴 구조물을 형성하는 단계;
    상기 테스트 패턴 구조물을 측정하는 단계로서,
    상기 제 1 세트의 라인 중 제 1 라인과 상기 제 2 세트의 라인 중 제 2 라인 사이의 거리를 결정하는 단계, 및
    상기 거리를 리소그래피 파라미터의 오프셋과 상관시키는 단계를 포함하는, 상기 측정하는 단계;
    상기 리소그래피 파라미터의 오프셋에 기초하여 리소그래피 공정을 조정하는 단계; 및
    상기 조정된 리소그래피 공정을 수행하여 또다른 기판 상에 회로 패턴을 노광하는 단계
    를 포함하는, 리소그래피 공정 수행 방법.
  9. 방법에 있어서,
    리소그래피 시스템의 제 1 조명 모드를 사용하여 제 1 복수의 반도체 기판 상에 노광 공정을 수행하는 단계;
    상기 리소그래피 시스템의 제 2 조명 모드를 사용하여 또다른 반도체 기판 상에 모니터링 노광 공정을 수행하는 단계로서, 상기 모니터링 노광 공정은,
    대칭 회절을 제공하는 테스트 패턴의 제 1 영역을 조사하는 단계, 및
    비대칭 회절을 제공하는 상기 테스트 패턴의 제 2 영역을 조사하는 단계를 포함하는 것인, 상기 모니터링 노광 공정을 수행하는 단계;
    상기 또다른 반도체 기판 상에 노광된 상기 테스트 패턴을 측정하여 상기 테스트 패턴의 상기 제 2 영역의 피처의 시프트를 결정하는 단계; 및
    상기 결정된 시프트를 사용하여 상기 리소그래피 시스템의 디포커스량(defocus amount)을 결정하는 단계
    를 포함하는, 방법.
  10. 제 9 항에 있어서,
    상기 모니터링 노광 공정을 수행하는 단계 이후에 제 2 복수의 반도체 기판을 노광시키기 위해 상기 결정된 디포커스량을 사용하여 상기 리소그래피 시스템의 파라미터를 조정하는 단계
    를 더 포함하는, 방법.
KR1020190039022A 2018-09-28 2019-04-03 리소그래피 공정 모니터링 방법 KR102257460B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738198P 2018-09-28 2018-09-28
US62/738,198 2018-09-28
US16/227,939 US10962892B2 (en) 2018-09-28 2018-12-20 Lithography process monitoring method
US16/227,939 2018-12-20

Publications (2)

Publication Number Publication Date
KR20200037055A true KR20200037055A (ko) 2020-04-08
KR102257460B1 KR102257460B1 (ko) 2021-06-01

Family

ID=69947346

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190039022A KR102257460B1 (ko) 2018-09-28 2019-04-03 리소그래피 공정 모니터링 방법

Country Status (4)

Country Link
US (2) US10962892B2 (ko)
KR (1) KR102257460B1 (ko)
CN (1) CN110967934B (ko)
TW (1) TWI728483B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111430261B (zh) * 2020-05-21 2023-01-24 中国科学院微电子研究所 一种光刻机工艺稳定性检测方法及装置
CN116157743A (zh) * 2020-07-28 2023-05-23 Asml荷兰有限公司 用于测量光刻设备的聚焦性能的方法、图案形成装置和设备、器件制造方法
CN115167019A (zh) * 2022-07-15 2022-10-11 苏州华星光电技术有限公司 曝光缺陷感知装置、显示终端及曝光缺陷感知方法
EP4343436A1 (en) * 2022-09-20 2024-03-27 ASML Netherlands B.V. Optical alignment system and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006012388A2 (en) * 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
JP2009104024A (ja) * 2007-10-25 2009-05-14 Panasonic Corp 露光マスク、フォーカス測定方法及びパターン形成方法
KR20180016589A (ko) * 2015-06-12 2018-02-14 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003032085A2 (en) * 2001-10-10 2003-04-17 Accent Optical Technologies, Inc. Determination of center of focus by cross-section analysis
JP3615181B2 (ja) * 2001-11-06 2005-01-26 株式会社東芝 露光装置の検査方法、焦点位置を補正する露光方法、および半導体装置の製造方法
CN101169594B (zh) * 2007-11-23 2010-06-09 上海微电子装备有限公司 一种光刻机成像质量测量方法
TWI432915B (zh) * 2008-04-03 2014-04-01 Powerchip Technology Corp 監控微影製程之方法與監控標記
US8143731B2 (en) * 2009-07-14 2012-03-27 Nanya Technology Corp. Integrated alignment and overlay mark
US9709903B2 (en) * 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9411223B2 (en) * 2012-09-10 2016-08-09 Globalfoundries Inc. On-product focus offset metrology for use in semiconductor chip manufacturing
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9304403B2 (en) 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9134633B2 (en) 2013-12-23 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dark field inspection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US20160033879A1 (en) * 2014-07-30 2016-02-04 GlobalFoundries, Inc. Methods and controllers for controlling focus of ultraviolet light from a lithographic imaging system, and apparatuses for forming an integrated circuit employing the same
KR102323388B1 (ko) * 2015-05-15 2021-11-05 케이엘에이 코포레이션 초점 감응성 오버레이 타겟을 이용한 초점 결정용 시스템 및 방법
NL2017941A (en) * 2015-12-21 2017-06-27 Asml Netherlands Bv Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006012388A2 (en) * 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
JP2009104024A (ja) * 2007-10-25 2009-05-14 Panasonic Corp 露光マスク、フォーカス測定方法及びパターン形成方法
KR20180016589A (ko) * 2015-06-12 2018-02-14 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법

Also Published As

Publication number Publication date
TWI728483B (zh) 2021-05-21
US20200103766A1 (en) 2020-04-02
TW202026755A (zh) 2020-07-16
CN110967934A (zh) 2020-04-07
US11467509B2 (en) 2022-10-11
US20210286274A1 (en) 2021-09-16
CN110967934B (zh) 2022-08-05
KR102257460B1 (ko) 2021-06-01
US10962892B2 (en) 2021-03-30

Similar Documents

Publication Publication Date Title
TWI698723B (zh) 基於機器學習的逆光學接近校正及製程模型校準
US9869939B2 (en) Lithography process
KR101072514B1 (ko) 코너에서의 라운딩 및 챔퍼들을 이용한 광근접성 보정 방법
KR102257460B1 (ko) 리소그래피 공정 모니터링 방법
TWI463245B (zh) 用於全晶片之光源及遮罩最佳化的圖案選擇
JP4580338B2 (ja) リソグラフィ装置、エキシマ・レーザ、およびデバイス製造方法
TWI569109B (zh) 減輕缺陷可印刷性之方法以及用於極紫外線微影製程的方法
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
JP2005026701A (ja) デバイスレイアウトを用いるna−シグマ露光設定および散乱バーopcの同時最適化の方法、プログラム製品、および装置
US20220163899A1 (en) Method and apparatus for imaging using narrowed bandwidth
KR101437575B1 (ko) 기판-토포그래피-인식 리소그래피 모델링
WO2020078762A1 (en) Methods for generating characteristic pattern and training machine learning model
US7534552B2 (en) Lithographic apparatus and device manufacturing method
TW201702757A (zh) 使用源輻射之角分佈之多重取樣的微影模擬
KR20210056428A (ko) 소스 및 마스크 최적화로 이상적인 소스 스펙트럼들을 생성하는 방법
JP5191464B2 (ja) リソグラフィパターンの分割方法、当該分割方法を含むリソグラフィ処理方法及びデバイス製造方法、並びに前記分割方法で製造されるマスク
US20210033978A1 (en) Systems and methods for improving resist model predictions
US20240004307A1 (en) Lithographic method
US11782352B2 (en) Lithography process monitoring method
US20060134529A1 (en) Optimization to avoid sidelobe printing
KR20190108609A (ko) 공정 모델들을 조정하는 방법들
US11366396B2 (en) Method and apparatus for configuring spatial dimensions of a beam during a scan
JP2008172086A (ja) 露光装置および露光方法
TW201837613A (zh) 在製程中導引程序模型及檢測之方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant