TWI569109B - 減輕缺陷可印刷性之方法以及用於極紫外線微影製程的方法 - Google Patents

減輕缺陷可印刷性之方法以及用於極紫外線微影製程的方法 Download PDF

Info

Publication number
TWI569109B
TWI569109B TW104123110A TW104123110A TWI569109B TW I569109 B TWI569109 B TW I569109B TW 104123110 A TW104123110 A TW 104123110A TW 104123110 A TW104123110 A TW 104123110A TW I569109 B TWI569109 B TW I569109B
Authority
TW
Taiwan
Prior art keywords
mask
integrated circuit
phase
circuit pattern
lithography
Prior art date
Application number
TW104123110A
Other languages
English (en)
Other versions
TW201604658A (zh
Inventor
盧彥丞
許家豪
游信勝
陳家楨
陳政宏
嚴濤南
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201604658A publication Critical patent/TW201604658A/zh
Application granted granted Critical
Publication of TWI569109B publication Critical patent/TWI569109B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70158Diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/06Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the phase of light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

減輕缺陷可印刷性之方法以及用於極紫外 線微影製程的方法
本揭露是關於減輕一維圖案之缺陷的印刷適性之方法。
半導體積體電路(Integrated Circuit;IC)產業歷經指數型成長。在積體電路材料與設計領域中,科技進步已經使積體電路的世代中,每個世代都比前一個世代擁有更小且更複雜的電路。在積體電路的演化過程中,伴隨著幾何尺寸(例如製造製程所能產生的最小的組件(或線))的降低,功能性密度(例如每個晶片區域內連接裝置的數量)也普遍地增加。此規模縮小的製程有利於增加生產效率以及降低相關成本。此規模縮小的製程也增加了積體電路製程與製造的複雜度。為了達到這些優點,亦需要積體電路的製程與製造相似的發展。舉例而言,執行更高解析度的微影製程的需求增加了。有一種微影技術是極紫外線微影(Extreme Ultraviolet Lithodgraphy;EUVL)。其他技術包含X光微影、離子束投影微影、電子束投 影微影以及多電子束無遮罩微影。
極紫外線微影運用採用極紫外線區域波段的光的掃描器,其波長大約1至100奈米。部份極紫外線掃描器提供與部份光學掃描器相似的四倍縮小投影曬像(4x reduction projection printing),但是極紫外線掃描器使用反射光學而非折射光學,例如使用反射鏡而非透鏡。極紫外線掃描器在形成於反射遮罩上的吸收層(極紫外線遮罩吸收物)上,提供預設的圖案。現今,雙光強遮罩(Binary Intensity Mask;BIM)已經運用在製作積體電路的極紫外線微影中。極紫外線微影與光刻微影非常相似,都需要光照以曬印晶圓,但其差異在於所使用的光在極紫外線區域波段,例如在13.5奈米。當其波長在13.5奈米附近時,全部的材料都具有高吸收的特性。因此,採用反射光學取代折射光學。使用多層結構作為極紫外線微影的空白遮罩。然而,基板上任何微觀的不平瑕疵將會在此後造成沉積的薄膜變形。微小的突塊或凹坑會導致缺陷。遮罩缺陷的有害影響包含對多個晶圓的放大誤差。
因此,需要能解決上述爭議的微影製程的方法與微影系統。
本揭露之一態樣提供一種方法,包含裝設遮罩於微影系統,其中遮罩包含一維積體電路(one-dimensional integrated circuit;1D IC)圖案;使用微影系統內之光瞳相位調制器,以調制從遮罩繞射而來的光之相位;以及藉由遮罩 與光瞳相位調制器,對微影系統內之目標物執行微影曝光製程。
本揭露之另一態樣提供一種方法,包含:裝設遮罩於微影系統,其中遮罩包含第一一維積體電路圖案;配置微影系統,以調制從遮罩繞射而來的光於投影光瞳平面上之相位分佈,以降低遮罩缺陷的印刷適性;以及藉由該配置的微影系統,執行第一微影曝光製程以將第一一維積體電路圖案成像於目標物。
本揭露之再一態樣提供一種用於極紫外線微影製程的方法,包含:裝設極紫外線遮罩於微影系統,其中極紫外線遮罩包含積體電路圖案;控制微影系統之投影光學盒中的多個反射鏡,以調制從極紫外線遮罩繞射而來的光之相位分佈,其中根據積體電路圖案決定調制之相位分佈;以及藉由極紫外線遮罩以及配置的反射鏡,對微影系統內之目標物,執行微影曝光製程。
10‧‧‧微影系統
12‧‧‧輻射源
14‧‧‧照明器
16‧‧‧遮罩台
18‧‧‧遮罩
20‧‧‧投影光學盒
60‧‧‧方法
62‧‧‧操作
64‧‧‧操作
68‧‧‧操作
70‧‧‧操作
72‧‧‧操作
22‧‧‧光瞳相位調制器
24‧‧‧投影光瞳平面
26‧‧‧半導體基板
28‧‧‧平台
30‧‧‧基板
32‧‧‧導電層
34‧‧‧多層體
36‧‧‧蓋層
38‧‧‧緩衝層
40‧‧‧吸收層
42‧‧‧缺陷
46‧‧‧第一區域
48‧‧‧第二區域、反射區
50‧‧‧相位缺陷區
58‧‧‧特徵
74‧‧‧操作
104‧‧‧光照圖案
106‧‧‧反射區
108‧‧‧阻擋區
112‧‧‧相位分佈
114‧‧‧定點
116‧‧‧遮罩缺陷
120‧‧‧潛像
122‧‧‧缺陷
126‧‧‧方框
NA‧‧‧數值孔徑
φ‧‧‧光學相位函數
L‧‧‧第一尺寸
W‧‧‧第二尺寸
X‧‧‧方向
Y‧‧‧方向
細讀以下詳細敘述並搭配對應之圖式,可了解到本揭露之多個態樣。須注意的是,圖式中的多個特徵並未依照該業界領域之標準作法繪製實際比例。事實上,為了討論的清楚,所述之特徵的尺寸可以任意的增加或減少。
第1圖為根據部份實施方式所構成之微影系統之示意圖。
第2圖為根據部份實施方式所構成之遮罩之剖面圖。
第3圖為根據部份實施方式所構成之遮罩之上視圖。
第4圖為根據部份實施方式所構成之微影製程之流程圖。
第5圖為根據部份實施方式所構成之第1圖之微影系統內使用的照明器之概念上視圖。
第6圖為根據部份實施方式所構成之第4圖之微影製程所採用的相位分佈之概念上視圖。
第7圖為根據部份實施方式所構成之第4圖之微影製程所採用的相位分佈。
第8圖為根據部份其他實施方式所構成之第4圖之微影製程所採用的相位分佈之概念上視圖。
第9圖為根據部份實施方式所構成之遮罩之概念上視圖。
第10A圖至第10K圖為根據部份實施方式所構成之目標物上的遮罩成像之概念上視圖。
第11圖繪示根據部份實施方式所構成之各種潛像與光阻圖案。
以下本揭露將提供許多個不同的實施方式或實施例以實現所提供之專利標的之不同特徵。許多元件與設置將以特定實施例在以下說明,以簡化本揭露。當然這些實施例僅用以示例而不應用以限制本揭露。舉例而言,敘述「第一特徵形成於第二特徵上」包含多種實施方式,其中涵蓋第一特徵與第二特徵直接接觸,以及額外的特徵形成於第一特徵與第二特徵之間而使兩者不直接接觸。此外,於各式各樣的實施例中,本揭露可能會重複標號以及/或標註字母。此重複是為了簡化並 清楚說明,而非意圖表明這些討論的各種實施方式以及/或配置之間的關係。
更甚者,空間相對的詞彙,例如「下層的」、「低於」、「下方」、「之下」、「上層的」、「上方」等相關詞彙,於此用以簡單描述元件或特徵與另一元件或特徵的關係,如圖所示。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋裝置的不同的轉向。或者,這些裝置可旋轉(旋轉90度或其他角度),且在此使用的空間相對的描述語可作對應的解讀。
第1圖為根據部份實施方式所構成之微影系統10之示意圖。微影系統10亦可通泛地稱為掃描器,其可分別以反射式輻射源以及曝光模式執行微影曝光製程。於本實施方式中,微影系統10是極紫外線微影系統,其設計使用極紫外光對光阻層曝光。光阻層是一種對極紫外光敏感的材料。極紫外線微影系統10運用輻射源12產生極紫外光,例如波長範圍在大約1奈米至大約100奈米的極紫外光。在一個特定的實施例中,輻射源12產生波長中心在13.5奈米的極紫外光。據此,輻射源12也稱作極紫外線輻射源12。
微影系統10也運用照明器14。在各種實施方式中,照明器14包含各種折射光學元件,例如單獨的透鏡或具有多個透鏡(波帶片)或反射光學(用於極紫外線微影系統)的透鏡系統,例如單獨的反射鏡或具有多個反射鏡的反射鏡系統,以將來自輻射源12的光引導至遮罩台16上,尤其,引導至固定於遮罩台16上的遮罩18。在輻射源12產生紫外線波段的光 的本實施方式中,照明器14運用反射光學。
於部份實施方式中,照明器14可用以配置反射鏡,以提供適當的光照給遮罩18。於一實施例中,可以調整照明器14的反射鏡,以將極紫外光反射至不同的光照位置。於部份實施方式中,在照明器14之前的平台可以額外地包含其他可調的反射鏡,這些反射鏡與照明器14的反射鏡可以控制以引導極紫外光至不同的光照位置。於部份實施方式中,配置照明器14提供軸上光照(On-Axis illumination;ONI)至遮罩18。於一實施例中,運用具有最多為0.3的部份同調σ之盤狀照明器14。於部份其他實施方式中,配置照明器14以提供離軸光照(Off-Axis Illumination;OAI)至遮罩18。於一實施例中,運用具有最多為0.3的部份同調σ之耦極照明器14。
微影系統10也包含遮罩台16,其配置以固定遮罩18。於部份實施方式中,遮罩台16包含靜電吸頭以固定遮罩18。這是因為氣體分子吸收紫外光,且用於極紫外線微影圖案化的微影系統保持在真空環境以免極紫外線強度損耗。於本揭露中,遮罩(mask)、光罩(photomask)以及分劃板(reticle)用以可互換地表示相同物品。於本實施方式中,微影系統10是極紫外線微影系統,且遮罩18為反射式遮罩。為了說明起見,提供遮罩18的一種示範結構。遮罩18包含基板與適合的材料,例如低熱膨脹材料(Low Thermal Expansion Material;LTEM)或熔融石英。於各種實施例中,低熱膨脹材料包含摻雜氧化矽的氧化鈦或其他具有低膨脹特性的合適材料。遮罩18包含設置於基板上的反射多層體。反射多層體包含多個薄膜 對,例如鉬矽(Mo/Si)薄膜對(例如每個薄膜對內有一鉬層在一矽層之上或之下)。或者,多層體可以包含鉬鈹(Mo/Be)薄膜對或其他可配置以大幅度地反射極紫外光的合適的材料。遮罩18更可包含蓋層,例如釕(Ru),用於保護而設置於多層體上。遮罩18更包含吸收層,例如設置於多層體上的氮硼化鉭(TaBN)層。吸收層為已圖案化以定義積體電路的層體。或者,另一反射層可以設置於多層體上,且該反射層為已圖案化以定義積體電路的層體,藉以形成紫外線相位移遮罩。
微影系統10也包含投影光學模組(或投影光學盒20),其用以將遮罩18的圖案成像於微影系統10的基板平台28所固定的半導體基板26上。於各種實施方式中,投影光學盒20具有折射光學(例如用於紫外線微影系統)或反射光學(例如用於極紫外線微影系統)之一。投影光學盒20聚集從遮罩18而來的光,該光帶有遮罩所定義的圖案成像。照明器14與投影光學盒20合稱為微影系統10的光學模組。
微影系統10也包含光瞳相位調制器22以調制由遮罩18導引而來的光之光學相位,如此,使光在投影光瞳平面24上具有相位分佈。在光學模組中,有一個具有對應於物品(於此狀況下為遮罩18)的傅立葉轉換的場分佈之平面。此平面指投影光瞳平面。光瞳相位調制器22提供機制以調制投影光瞳平面24上的光之光學相位。於部份實施方式中,藉由調整投影光學盒20的反射鏡,而使用光瞳相位調制器22達到相位調制。舉例而言,投影光學盒20的反射鏡為可調式的,且用以控制反射極紫外光,藉以調制通過投影光學盒20之光的相位。
於部份實施方式中,光瞳相位調制器22使用投影光瞳平面上的光瞳濾器。光瞳濾器將來自遮罩18的極紫外光的特定空間頻率部份濾出。尤其,光瞳濾器是一個相位光瞳濾器,用於調制通過投影光學盒20之光的相位分佈。然而,在部份微影系統(例如極紫外線微影系統)中,由於全部的材料皆吸收極紫外光,相位光瞳濾器的使用有限。稍後將繼續介紹光瞳相位調制器22。
微影系統10也包含基板平台28以固定將要圖案化的目標物,例如半導體基板26。於本實施方式中,半導體基板為半導體晶圓,例如矽晶圓或其他種類的晶圓。目標物(亦即半導體基板26)鍍有一層對輻射光敏感的光阻層,於本實施方式中,輻射光例如為極紫外光。包含上述元件的各種元件整合在一起,而可運用而進行微影曝光製程。微影系統10更可包含其他模組,或者可與其他模組整合(或耦合)。
根據部份實施方式,進一步介紹遮罩18以及其製作方法。於部份實施方式中,遮罩製程包含兩個操作:空白遮罩製程與遮罩圖案化製程。在空白遮罩製程中,藉由在合適的基板上設置合適的層體(例如反射多層體),形成空白遮罩。在遮罩圖案化製程中,圖案化此空白遮罩使其具有積體電路之層體的設計。接著,使用已經圖案化的遮罩將電路圖案(例如積體電路之層體的設計)轉移到半導體晶圓上。藉由各種微影製程,圖案可以反覆地轉移至多個晶圓上。一套遮罩用以構築一個完整的積體電路。
於各個實施方式中,遮罩18包含合適的結構,例 如雙光強遮罩(Binary Intensity Mask;BIM)與相位移遮罩(Phase-Shifting Mask;PSM)。例示的雙光強遮罩包含吸收區域(也稱為不透明區域)以及反射區域,其中圖案化吸收區域與反射區域以定義將轉移到目標物上的積體電路圖案。在不透明區域中有吸收物,此吸收物幾乎完全吸收入射光。在反射區域中,移除吸收物,入射光受到多層體反射。相位偏移遮罩可以是衰減式相位移遮罩(attenuated PSM;AttPSM))或交替式相位移遮罩(alternating PSM;AltPSM))。例示的相位移遮罩包含根據積體電路圖案而圖案化的第一反射層(例如反射多層體)以及第二反射層。於部份實施例中,衰減式相位移遮罩通常具有其吸收物之2%至15%的反射率,而交替式相位移遮罩通常具有其吸收物之50%以上的反射率。
第2圖展示了遮罩18的一實施方式。遮罩18為極紫外線遮罩,且包含由低熱膨脹材料組成的基板30。低熱膨脹材料可包含摻雜氧化矽的氧化鈦以及/或該領域常見的低熱膨脹材料。於部份實施方式中,為了靜電挾持的目的,額外地在低熱膨脹材料基板30的背側設置導電層32。於一實施例中,導電層32包含氮化鉻,但也可能是其他合適的材料。極紫外線遮罩18包含設置於低熱膨脹材料基板30上的反射多層體34。反射多層體34的選擇可使其提供所選擇的輻射型態/波長具有高反射率。反射多層體34包含多個薄膜對,例如鉬矽(Mo/Si)薄膜對(例如每個薄膜對內有一鉬層在一矽層之上或之下)。或者,多層體可以包含鉬鈹(Mo/Be)薄膜對或其他具有折射率係數差異的材料,以在極紫外線波段為高度反射的。多層體34 的每個層體厚度取決於極紫外線波長以及入射角度。尤其,調整多層體34的厚度(以及薄膜對的厚度)以達到在每個介面繞射的極紫外光的最大建設性干涉以及多層體34對極紫外光的最小吸收率。
極紫外線遮罩18也包含蓋層36,設置於多層體34上,以防止多層體34的氧化。於一實施方式中,蓋層36包含厚度大約4埃至大約7奈米的矽。極紫外線遮罩18可更包含設置於蓋層36上的緩衝層38,以在吸收層的圖案化或修復製程中,作為蝕刻停止層使用,稍後將會介紹。緩衝層38具有與吸收層不同的蝕刻特性。於各個實施例中,緩衝層38包含釕(Ru)、釕化合物例如硼化釕(RuB)、矽化釕(RuSi)、鉻(Cr)、氧化鉻以及氮化鉻。
極紫外線遮罩18也包含形成於緩衝層38上的吸收層40。於本實施方式中,吸收層40吸收導引至遮罩上的極紫外線輻射。於各個實施例中,吸收層40可包含鉻(Cr)、氧化鉻、氮化鉻、鈦、氧化鈦、氮化鈦、鉭、氧化鉭、氮化鉭、氮氧化鉭、氮硼化鉭、氧硼化鉭、氮氧硼化鉭、鋁、鋁銅、氧化鋁、銀、氧化銀、鈀、釕、鉬、其他合適的材料或上述之混合物。
於另一實施方式中,層體(亦即吸收層40)為已圖案化的第二反射層,而形成相位移遮罩。在促成這些實施方式時,反射自層體(亦即吸收層40)的極紫外光以及反射自反射多層體34的極紫外光具有不同的光相位,例如大約180度的相位差(反相)。於各個實施方式中,第二反射層與反射多層體34具 有相似的材料堆疊或者不同的材料堆疊,例如單層薄膜與多個非週期性薄膜。
遮罩18可包含如第2圖所繪之例示性缺陷42。缺陷42可以是低熱膨脹材料基板30之表面(在多層體34之下)上或埋設於多層體34內的突塊(突起物)或凹坑(凹陷)。缺陷42可以在製造低熱膨脹材料基板30、多層體34或任何相關製程中產生的。舉例而言,缺陷42為突塊,且具有來自輻射源12之極紫外光的波長之大約四分之一的高度。於本實施例中,缺陷42導致其上的全部後續層體的局部變形。
根據設計佈局,圖案化吸收層40以形成積體電路圖案。於本實施方式中,形成於極紫外線遮罩18上的積體電路圖案是一維圖案。為了更加地了解一維圖案,根據部份實施方式,第3圖進一步以上視圖繪示遮罩18。遮罩18包含積體圖案,積體圖案具有根據積體電路設計佈局所定義的多個特徵58(多邊形)。於部份實施方式中,在根據積體電路設計佈局圖案化層體(亦即吸收層40)後,定義多邊形(亦即特徵58)於層體(亦即吸收層40)中。於其他部份實施方式中,在圖案化層體(亦即吸收層40)後,定義多邊形(亦即特徵58)於層體(亦即吸收層40)的開口內。第3圖所繪示的積體電路圖案是一維圖案。一維圖案指一種圖案,其具有多個大致沿著相同方向定向的特徵。尤其,第3圖中的一維圖案的每個特徵58(多邊形)在第一方向(Y方向)跨距為第一尺寸L且在第二方向(X方向)跨距為第二尺寸W,第二方向垂直於第一方向。第一尺寸L實質上大於第二尺寸W。換句話說,一維圖案的特徵為沿這相同方向定向的 長形特徵。為了說明起見,在各個實施例中,特徵58定義鰭片主動區、閘級堆疊或金屬線。
再回到第2圖,極紫外線遮罩18包含第一區域46以及第二區域48。將吸收層40從第二區域48(也稱為反射區)上移除,但吸收層40仍保留在第一區域46內(也稱為吸收區)。如同上述,於部份實施方式中,特徵58可定義於第一區域46,或者,於其他實施方式中,特徵58可定義於第二區域48。於一實施方式中,至少一反射區48包含相位缺陷區50。相位缺陷區50是來源於在多層體34之下或之內的缺陷的存在。相位缺陷區50可小幅度地影響從相位缺陷區50所在的反射區48繞射而來的光的振幅。然而,相位缺陷區50可大幅度地影響光的相位。事實上,相對微小的相位誤差,例如20度,可巨幅地影響微影製程的製程窗口或導致圖案保真度的問題。因此,無缺陷的低熱膨脹材料基板30以及無缺陷的多層體34是備受需求的。然而,這將會在遮罩製程中造成限制,且使得製程更加昂貴。所繪示的揭露提供一種降低相位缺陷的影響之方法。
第4圖為根據本揭露之態樣根據部份實施方式所構成之積體電路製造中執行微影製程的方法60之流程圖。參照第1圖至第4圖以及其他圖式以介紹方法60。
參照第4圖,方法60包含操作62,其將遮罩18裝載至微影系統10上。如同第3圖所述,於本實施方式中,遮罩18包含具有多個特徵58的一維圖案,這些特徵沿相同方向定向。操作62更可包含其他步驟,例如在遮罩18固定於遮罩台16上之後的定向以及對準。因此,當遮罩18固定於遮罩台16 時,一維積體電路圖案適當地定向。於本實施方式中,當遮罩18固定在遮罩台16上時,假設一維積體電路圖案是在X-Y平面上,在一維積體電路圖案內的長形特徵58沿著Y方向定向。
參照第4圖,方法60也包含操作64,其將目標物裝載至微影系統10的基板平台28上。在本實施方式中,目標物是半導體基板26,例如晶圓。在操作64之前,晶圓(亦即半導體基板26)鍍有對極紫外光敏感的光阻層。於部份實施方式中,光阻層藉由旋塗法而形成於晶圓(亦即半導體基板26)上且可進一步藉由合適的烘烤製程進行烘烤,例如軟烤。於各個實施方式中,光阻層是正型的或負型的。
照明器14可以設置於任何合適的模式。於部份實施方式中,照明器14設置在高同調照明模式。根據各個實施例,此高同調照明模式可藉由一種機制而達成,例如具有特定圖案的光圈,例如雙孔型、星型或盤狀。配置光圈在照明區段以達到高同調照明模式。然而,開口將導致極紫外光線輻射損失,且藉由其他機制可以達到此效果。於本實施方式中,照明器14包含各種可調式反射鏡或具有合適機制的反射鏡,以調整極紫外光受到這些反射鏡的反射。本實施方式中,更進一步而言,藉由在照明區段配置可調式反射鏡,以達到高同調照明模式。於部份實施方式中,高同調照明是軸上光照(例如盤狀或環狀的遮罩圖案)或離軸光照(例如雙孔型或四孔型的遮罩圖案)。
第5圖繪示一實施方式,其中光照圖案104設計以達成離軸光照。光照圖案104包含反射區106以及阻擋區108。 光照圖案104更由參數σc定義,其中參數σc為反射區106的半徑,且與數值孔徑(Numerical Aperture;NA)相關。設計參數σc小到足以具有高同調,且大到足以具有特定的強度。於部份實施方式中,選擇參數σc小於大約0.3,表示σc/NA小於大約0.3。
於部份實施方式中,光照圖案是根據遮罩18上定義的一維圖案所決定的,用以增強影像。舉例而言,第3圖所繪示的一維圖案包含沿Y方向定向的長形特徵,且對應的光照圖案,例如雙孔光照圖案具有沿X方向跨的雙孔反射區。於本實施方式中,更進一步而言,根據一維圖案的間距,決定參數σc
再回到第4圖,方法60包含操作68,其藉由配置微影系統10,以達到從遮罩18繞射而來的光的相位調制。於部份實施方式中,藉由光瞳相位調制器22而應用相位調制。如同前述,光瞳相位調制器22調制從遮罩18繞射而來的光之光學相位,使得光在投影光瞳平面24上具有適當的相位分佈。光瞳相位調制器22提供用以調制投影光瞳平面24上的光之光學相位之機制。於部份實施方式中,光瞳相位調制器22包含一機制,用以針對相位調制,調整(例如重新分配)投影光學盒20的反射鏡。舉例而言,投影光學盒20的反射鏡為可調整式與且控制用以反射極紫外光線,藉以透過投影光學盒20調制光之相位。於本實施方式中,進一步而言,針對預定的相位調制,光瞳相位調制器22包含控制迴路、控制機制元件、軟體、硬體以及其他整合在一起的特徵,以運作以控制投影光學盒20之反射 鏡。
設計並運作光瞳相位調制器22以調制光之相位,藉以在投影光瞳平面24上提供光之相位分佈,使得在微影曝光製程中,當遮罩18所定義的積體電路圖案保持足以印出的對比度以及解析度的同時,不會印出遮罩缺陷。在微影曝光製程中,當外露的光阻層進行顯影以形成圖案化光阻層時,遮罩缺陷的不可印刷性表示遮罩缺陷並非正確地成像在晶圓上(或者鍍在晶圓上的光阻上),因而,使得對應的圖案化光阻層不具有與遮罩缺陷相關的特徵。
尤其,在投影光瞳平面上所達到的相位分佈是設計使遮罩缺陷失焦,因而無法印出。第6圖繪示根據部份實施方式所構成的投影光瞳平面上的相位分佈112。在微影曝光製程中,藉由光瞳相位調制器22,在投影光瞳平面上產生相位分佈112。相位分佈112調制遮罩18的影像,以使遮罩18之一維積體電路圖案成像到目標物(亦即半導體基板26)上,但是二維的特徵將會因為光瞳相位調制器22的失焦效果而衰減。由於作為具有任意形狀與定向的遮罩缺陷通常是二維的,這會導致缺陷(例如第2圖中的相位缺陷42)的不可印刷性。統計上,當遮罩缺陷是任意形狀時,遮罩缺陷大致是二維的。即使遮罩缺陷是一維的,但仍是任意定向的。此任意定向的一維缺陷,較不可能沿遮罩18中一維積體電路圖案的同一方向(第3圖的Y方向)定向,而較可能沿具有X分量與Y分量的方向定向。因此,由於X分量,使得此一維缺陷失焦。
在各個實施方式中,可藉由具有預設相位差分佈 的物理光瞳濾器,或其他合適的機制,而直接達到相位分佈112。於本實施方式中,投影光學盒20包含各種可調式反射鏡或具有其他合適機制的反射鏡,以調整來自這些反射鏡的極紫外光的相位差。於本實施方式中,更進一步而言,藉由控制投影光學盒20之可調式反射鏡,可以達成相位分佈112,以使極紫外光引導至投影光瞳平面上,且其在投影光瞳平面上具有正常的相位差。
藉由遮罩18(或者,簡單而言,指遮罩圖案)之各個積體電路圖案,決定相位分佈112。於本實施方式中,如同第3圖所示,積體電路圖案為一維圖案。更進一步而言,當遮罩18裝載且固定於遮罩台16時,遮罩18的一維積體電路圖案包含沿Y方向定向的長形積體電路特徵,且對應的相位分佈112沿Y方向上具有相位變動,且在X方向上保持不變(或者實質上不變)。換句話說,相位函數具有一個梯度,此梯度平行於一維積體電路圖案的長形特徵的指向,或者沿者本實施方式的Y方向。
仍參考第6圖,以投影光瞳平面24上的定點(x,y)114為例,在定點(x,y)上的光學相位大致上為座標參數y的函數,或者以光學相位函數φ(y)表示。光學相位函數φ(y)定義投影光瞳平面24上的相位分佈112。藉由光瞳相位調制器22所構成的正常光學相位函數φ(y)能夠有效地使遮罩缺陷失焦(導致遮罩缺陷的不可印刷性),同時,在微影曝光製程中,一維積體電路圖案以足夠的解析度與對比度正常地成像(或印刷)。於部份實施方式中,光學相位φ(y)逐漸沿著Y方向變化。 於本實施方式中,座標參數y由投影光瞳平面的中心量測而得到。光學相位函數φ(y)可以是一個線性(例如第7圖所述)或者非線性函數。調整光學相位函數φ(y),以在不降低一維積體電路圖案的成像效果下,使缺陷失焦且無法印出。根據遮罩18的積體電路圖案,決定相位分佈,藉由模擬或實驗,在不衰減積體電路圖案的情況下,有效地使缺陷失焦。
於本實施方式中,光學相位函數φ定義為函數φ=a1Z4+a2Z5,其中Z4與Z5為任尼克多項式(Zernike polynomials)之二項,尤其,分別為第四項與第五項。詳細而言,第4項Z4定義為(2ρ2-1)且第5項Z5定義為ρ2cos(2θ),其中ρ為從0至1的徑向座標,θ為從0至2π的方位角分量。更甚者,可調整係數a1與a2,以達到降低遮罩上缺陷的可印刷性的最佳效果。如此一來,Z4與Z5項主導此光學相位函數。Z4導致全部方向上的全部失焦。在沒有降低影像品質的狀況下,以積體電路圖案對晶圓進行曝光時,可藉由改變最佳聚焦位置而修正此像差。Z5是一個像散項,其導致x方向與y方向的最佳焦點分開。舉例而言,x方向的最佳焦點偏移+30奈米時,y方向的最佳焦點偏移-30奈米。光學相位函數φ通常是x與y的函數。藉由限制光學相位函數φ為Z4與Z5的函數,光學相位函數φ實質上是y的函數。藉由調整係數a2,可以調整光學相位函數φ,以在沒有衰減積體電路圖案的狀況下,有效地使缺陷失焦。
於一實施方式中,光學相位函數φ調整且定義為函數φ=Z4-2Z5。此定義函數Z4-2Z5可以維持x方向的最佳焦點在固定的水平,且離y方向的最佳焦點的距離在固定偏差範圍 內。在調整光學相位函數時,必須改變Z5項,但是由於的Z4函數用以調整全部的聚焦位置,因此可選擇性地改變Z4項。倘若相位分佈是Z4與Z5的疊加,則無論在x方向或y方向上,都不會有間距相依(Pitch-Dependent)的失焦。
第8圖繪示根據部份其他實施方式所構成之投影光瞳平面24上的相位分佈112。以光學相位函數φ=Z4-2Z5定義相位分佈112。在第8圖中,灰階表示光學相位φ。橫軸為X方向且縱軸為Y方向。座標原點(0,0)是相位光瞳濾器的中心。相位光學相位函數φ中定義的相位圖案延伸至數值孔徑的邊緣,尤其,分別從X方向與Y方向的-1至+1。於此,X與Y分別表示相對於數值孔徑的比例。第8圖中的光學相位圖案描述投影光瞳平面上的相位分佈,其在Y方向上具有相位變化但在X方向上實質上不具有相位差。據此,藉由光瞳相位調制器,相位分佈112造成在X方向延伸的特徵的失焦,但是在Y方向延伸的特徵除外。在相關的微影曝光製程中,此在Y方向定向的一維積體電路圖案並未衰減(或者可容忍的衰減),但是缺陷將失焦且無法印出。
如同前述,根據積體電路圖案,藉由模擬以及/或實驗,決定光學相位函數φ,以在不衰減積體電路圖案的狀況下,有效地使遮罩缺陷失焦。尤其,在投影光瞳平面上的光學相位函數φ具有一梯度,此梯度平行於遮罩上一維積體電路圖案的長形特徵的指向,藉以有效地使遮罩缺陷失焦。於部份實施方式中,針對降低缺陷的可印刷性並維持積體電路圖案的影像品質,此失焦(焦點深度的偏移距離)作為調整與優化光學 相位函數φ的指標。
再回到第4圖,方法60進行到操作70,在具有光瞳相位調制器22的微影系統10中,針對目標物(亦即半導體基板26)進行微影曝光製程。在微影曝光製程時,在操作68中針對來自遮罩18的光進行的相位調制維持不變。在微影曝光製程期間,在投影光瞳平面24上,藉由具有使遮罩的缺陷失焦之光學相位分佈的光瞳相位調制器22,調制繞射自遮罩18的極紫外光;極紫外光引導至目標物(亦即半導體基板26)上,以在目標物(亦即半導體基板26)的光阻層上形成積體電路圖案之潛像,同時從潛像中消除遮罩18的缺陷。
於部份實施方式中,在微影曝光製程期間,為了適當的照明模式(例如前述之軸上光照或離軸光照),在將此極紫外光引導至遮罩18之前,在照明光瞳平面上,也藉由具有極紫外線能量分佈的照明器14,調制此極紫外光。
因此,實行的微影曝光製程可以適當地使積體電路圖案成像,且使遮罩缺陷失焦而無法印出。於以下一實施例中,更進一步介紹操作68與操作70。
第9圖繪示根據部份實施方式所構成之遮罩18之上視圖。遮罩18包含具有多個多邊形(亦即特徵58)的一維積體電路圖案,這些多邊形(亦即特徵58)沿Y方向平行地定向。遮罩18更包含範例性的遮罩缺陷116,於部份實施方式中,遮罩缺陷116如同缺陷42為相位缺陷。於一實施方式中多邊形(亦即特徵58)對極紫外光具有吸收性,其餘部份對極紫外光具有反射性。於另一實施方式中,多邊形(亦即特徵58)具有反射性, 其餘部份具有吸收性。
第10A圖繪示藉由微影曝光製程時在目標物(亦即半導體基板26)上的遮罩18之潛像120,其中此微影曝光製程並未使用光瞳相位調制器22(或者不具有失焦)。藉由操作70中的相關微影製程,在光阻層上形成潛像120。光阻層上的潛像120對應於光阻層的裸露部份,且其裸露部份之曝光劑量大於光阻層之曝光劑量的閾值,而受到化學性的變化,如此,藉由顯影製程,產生對應於潛像120的圖案化光阻層。於一實施方式中,當潛像120對應於反射部份且光阻層為正型時,移除光阻層之對應於潛像120的部份,同時保留光阻層之其他部份。於另一實施方式中,當潛像120對應於吸收部份且光阻層為負型時,移除光阻層之對應於潛像120的部份,同時保留其他部份。於再一實施方式中,當潛像120對應於反射部份且光阻層為正型時,保留光阻層之對應於潛像120的部份,同時移除其他部份。潛像120更包含來自於遮罩缺陷116的缺陷122,其在最終的圖案中導致短路或開路問題。對於第11A圖以及以下的圖式,藉由投影光瞳平面上沿Y方向的相位變化,產生失焦,且相關的失焦在這些圖式中被稱為Y失焦。
第10B圖至第10K圖藉由微影曝光製程繪示第9圖之遮罩18之各式各樣的潛像,其中此微影曝光製程使用光瞳相位調制器22,設計此光瞳相位調制器22以達到範圍為20奈米(第10B圖)至200奈米(第10K圖)的各式各樣的失焦值。於本實施方式中,藉由光瞳相位調制器產生相位分佈112,相位分佈112以光學相位函數φ=a1Z4+a2Z5定義。那些圖式展示,當 失焦範圍為大約100奈米至大約200奈米時,缺陷122從潛像上消除。適當地調整相關光學相位函數φ,以使失焦大到足以從潛像上消除遮罩缺陷,同時,積體電路圖案正確地形成於光阻層上。
再回到第4圖,方法60可包含操作72,對目標物(亦即半導體基板26)上鍍的已曝光之光阻層進行顯影,藉以形成具有一或多個開口之圖案化光阻層,其中開口對應於遮罩18上所定義的積體電路圖案。在微影曝光製程與顯影製程之間,方法60更可包含曝光後烘烤(Post Exposure Baking;PEB)製程。
提供另一實施例用以描述方法60中各式各樣的操作。第11圖繪示根據部份實施方式所構成之多個圖案。第11圖包含十五對影像,每一對包含微影曝光製程之遮罩18之潛像(左邊的圖像)以及顯影製程之圖案化的光阻(右邊的圖像)。在圖像的左側中,在微影曝光製程中所使用的光瞳相位調制器22之Y失焦,分別對第一列的五對影像而言是0奈米、對第二列的五對影像而言是100奈米以及對第三列的五對影像而言是200奈米。在上方的多個數值是微影系統10之光學模組之焦點偏移所導致的失焦。這些失焦對X方向與Y方向都是相同的。尤其,這些失焦分別對第一行的影像對而言為-100奈米、對第二行的影像對而言為-50奈米、對第三行的影像對而言為0奈米、對第四行的影像對而言為50奈米以及對第五行的影像對而言為100奈米。在方框126中的三對影像具有的光阻圖案並未印出缺陷或者已經消除缺陷。更進一步展示到,使用光瞳相位 調制器22的微影曝光製程可以消除遮罩缺陷,且具有大的製程窗口。在一特別的實施例中,即使微影系統的焦距偏移大約-50奈米至+50奈米,使用光瞳相位調制器22的微影曝光製程可以消除遮罩缺陷且具有積體電路圖案之較佳的成像品質。
再回到第4圖中的方法60,在方法60的額外實施方式中,可以在方法60之前、之中以及之後增加額外的步驟,且上述的部份步驟可以被取代、消除或更動。
在操作72的顯影製程之後,方法60可更包含操作74,其藉由圖案化之光阻層,對目標物(亦即半導體基板26)進行製造製程。於一實施方式中,目標物的半導體晶圓或材料層透過圖案化光阻層的開口進行蝕刻,藉以將積體電路圖案轉印至基板或奠基材料層。於一實施例中,蝕刻半導體晶圓以形成多個鰭片狀主動區。於另一實施例中,奠基材料層是設置於半導體基板上的層間介電(Interlayer Dielectric;ILD)層。蝕刻製程會在層間介電層內形成多個凹槽,且隨後藉由一步驟,例如包含金屬沉積以及化學機械拋光(Chemical Mechanism Polish;CMP)的步驟,將金屬線形成於凹槽中。於另一實施例中,奠基材料層包含閘極電極材料層。蝕刻製程將會形成多個閘極。
根據本揭露之各種態樣描述了方法60、微影系統10以及遮罩18的各種實施方式。在不背離本揭露之精神的狀況下,可以有其他取代與修正。
如第3圖所繪示,遮罩18上定義的積體電路圖案為一維圖案。然而,積體電路圖案並不限於一完整的一維積體 電路圖案。舉例而言,積體電路圖案本質上為一維且積體電路圖案中的大部份多邊形沿著相同方向定向,但其中部份非關鍵特徵(例如虛設特徵)可沿不同方向定向且/或可以是二維特徵。於另一實施方式中,積體電路圖案中的大部份多邊形沿著相同方向定向,但其中部份多邊形的定向方向稍微偏移了該方向。
於部份實施方式中,遮罩18包含具有多個多邊形的積體電路圖案,這些多邊形設置於多個區域。同一區域的多邊形之子集沿著相同方向定向,稱為區域方向。然而,此區域方向可以彼此不同。舉例而言,第一區域之多邊形之第一子集沿著第一方向定向,而第二區域的之多邊形之第二子集沿著第二方向定向,第二方向不同於第一方向。在一個特別的實施例中,多邊形之第一子集沿著X方向定向,多邊形之第二子集沿著Y方向定向。
具有如積體電路圖案的遮罩可在方法60中使用,且與光瞳相位調制器達到相似的效益。可以擴展方法60以涵蓋具有多個區域方向的遮罩。假設遮罩包含第一區域與第二區域,當操作62中遮罩固定於遮罩台上時,第一區域內之多邊形之第一子集沿著X方向定向,而第二區域內之多邊形之第二子集沿著Y方向定向。於部份實施方式中,針對各式各樣的區域,操作68與70可以多次(循環)重複。方法60包含針對第一區域的第一循環。尤其,在第一循環中,方法60包含配置微影系統使光瞳相位調制器在投影光瞳平面上產生第一相位分佈,其中第一相位分佈沿X方向具有相位梯度。接著,方法60 進行執行第一微影曝光製程,將遮罩之第一區域內之多邊形之第一子集成像於目標物上。然後,方法60進行針對第二區域的第二循環。在第二循環中,方法60包含配置微影系統使光瞳相位調制器在投影光瞳平面上產生第二相位分佈,其中第二相位分佈沿Y方向具有相位梯度。接著,方法60進行執行第二微影曝光製程,將遮罩之第二區域內之多邊形之第二子集成像於目標物上。如此一來,在微影曝光製程期間,根據預計成像的多邊形的指向,光瞳相位調制器動態地控制投影光瞳平面上的相位分佈,藉以使遮罩缺陷失焦,並使遮罩缺陷無法印出。
於部份實施方式中,方法60並不限於極紫外線微影製程。舉例而言,微影系統10的輻射源12產生紫外光(Ultraviolet;UV)或深紫外光(Deep Ultraviolet;DUV)。於一實施方式中,更進一步而言,輻射源12可以是波長為436奈米(G線(G-line))或365奈米(I線(I-line))的汞燈;波長為248奈米的氟化氪(KrF)準分子雷射;波長為193奈米的氟化氬(ArF)準分子雷射;或其他具有理想波長的光源。據此,微影系統10的遮罩18以及光學元件可以是可穿透的。於另一實施例中,輻射源12包含具有波長157奈米的氟氣(F2)準分子雷射。
於部份實施方式中,為了有效地降低遮罩缺陷的可印刷性,設計光瞳相位調制器22以調制投影光瞳平面上的光之強度與光學相位。於部份實施方式中,根據遮罩18上所定義的一維積體電路圖案之間距,設計照明圖案。於部份實施方式中,遮罩18上所定義的積體電路圖案可包含藉由光學鄰近修正(Optical Proximity Effect Correction;OPC)插入的各種輔 助多邊形以及/或為了製程考量插入的虛設多邊形。於部份實施方式中,光阻材料是正型光阻或負型光阻。
根據上述,本揭露提到一種微影系統與方法,其運用光瞳相位調制器22對遮罩曝光,藉以使遮罩的缺陷失焦且降低缺陷的可印刷性。設計光瞳相位調制器以調制投影光瞳平面上的光之光學相位分佈,以選擇性地使遮罩缺陷(如果有的話)失焦,同時使遮罩上所定義的一維積體電路圖案,在不衰減的情況下成像至目標物上。
本揭露之多個不同的實施方式提到各種效益。此微影系統與製程可因此運用具有缺陷的遮罩。此微影系統與製程顯著地證實缺陷的可印刷性的降低。據此,至少針對部份遮罩,例如具有一維積體電路圖案的遮罩,可以減少或消除為了修復遮罩缺陷的昂貴步驟。實質上地降低其他與遮罩修復、遮罩報廢以及/或晶圓產量降低的的相關成本。
據此,本揭露提供一方法的一實施方式。此方法包含裝設遮罩於微影系統,其中遮罩包含一維積體電路圖案;使用微影系統內之光瞳相位調制器,以調制從遮罩繞射而來的光之相位;以及藉由遮罩與光瞳相位調制器,對微影系統內之目標物執行微影曝光製程。
根據部份實施方式,本揭露也提供一方法。此方法包含裝設遮罩於微影系統,其中遮罩包含第一一維積體電路圖案;配置微影系統,以調制從遮罩繞射而來的光於投影光瞳平面上之相位分佈,以降低遮罩缺陷的可印刷性;以及藉由配置的微影系統,執行第一微影曝光製程以將第一一維積體電路 圖案成像於目標物。
根據部份實施方式,本揭露還提供一方法。此方法包含裝設極紫外線遮罩於微影系統,其中該遮罩包含積體電路圖案;控制微影系統之投影光學盒中的多個反射鏡,以調制從極紫外線遮罩繞射而來的光之相位分佈,其中根據積體電路圖案決定調制之相位分佈;以及藉由極紫外線遮罩以及配置的反射鏡,對微影系統內之目標物,執行微影曝光製程。
以上概述多個實施方式之特徵,該技術領域具有通常知識者可較佳地了解本揭露之多個態樣。該技術領域具有通常知識者應了解,可將本揭露作為設計或修飾其他程序或結構的基礎,以實行實施方式中提到的相同的目的以及/或達到相同的好處。該技術領域具有通常知識者也應了解,這些相等的結構並未超出本揭露之精神與範圍,且可以進行各種改變、替換、轉化,在此,本揭露精神與範圍涵蓋這些改變、替換、轉化。
10‧‧‧微影系統
12‧‧‧輻射源
14‧‧‧照明器
16‧‧‧遮罩台
18‧‧‧遮罩
20‧‧‧投影光學盒
22‧‧‧光瞳相位調制器
24‧‧‧投影光瞳平面
26‧‧‧半導體基板
28‧‧‧基板平台

Claims (8)

  1. 一種減輕缺陷可印刷性之方法,包含:裝設一遮罩於一微影系統,其中該遮罩包含一一維積體電路(one-dimensional integrated circuit;1D IC)圖案,該一維積體電路圖案以一第一方向定向;使用該微影系統內之一光瞳相位調制器,以調制從該遮罩繞射而來的光之相位,其中該光瞳相位調制器配置用以使該微影系統之一投影光瞳平面上的相位沿該第一方向變化;以及藉由該遮罩與該光瞳相位調制器,對該微影系統內之一目標物執行一微影曝光製程。
  2. 如請求項1所述之方法,其中該光瞳相位調制器配置用以使該相位沿該投影光瞳平面上的一第二方向維持不變,該第二方向垂直於該第一方向。
  3. 如請求項1所述之方法,其中該光瞳相位調制器配置用以使從該遮罩繞射而來的該光之一相位分佈為Z4與Z5的任尼克多項式(Zernike polynomials)之一函數。
  4. 如請求項3所述之方法,其中該函數寫為Z4-2Z5
  5. 一種減輕缺陷可印刷性之方法,包含: 裝設一遮罩於一微影系統,其中該遮罩包含一第一一維積體電路圖案,該第一一維積體電路圖案以一第一方向定向;配置該微影系統,以調制從該遮罩繞射而來的光於一投影光瞳平面上之相位分佈,以降低一遮罩缺陷的可印刷性,其中配置該微影系統包含配置複數個反射鏡於該微影系統之一投影光學盒中,使得該相位分佈沿該第一方向具有一第一梯度;以及藉由該配置的微影系統,執行一第一微影曝光製程以將該第一一維積體電路圖案成像於一目標物。
  6. 如請求項5所述之方法,其中該遮罩更包含一第二一維積體電路圖案,鄰近於該第一一維積體電路圖案,該第二一維積體電路圖案的定向不同於該第一一維積體電路圖案;以及裝設該遮罩於該微影系統包含固定該遮罩於一配置中,使得該第一一維積體電路圖案以該第一方向定向且該第二一維積體電路圖案以一第二方向定向,該第二方向不同於該第一方向,其中該方法更包含:重新配置該些反射鏡,使得該相位分佈沿著該第二方向具有一第二梯度;以及藉由該重新配置的微影系統,執行一第二微影曝光製程,以將該第二一維積體電路圖案成像於該目標物上。
  7. 一種用於極紫外線微影製程的方法,包含:裝設一極紫外線遮罩於一微影系統,其中該極紫外線遮罩包含一積體電路圖案;控制該微影系統之一投影光學盒中的複數個反射鏡,以調制從該極紫外線遮罩繞射而來的光之一相位分佈,其中根據該積體電路圖案決定該調制之相位分佈;以及藉由該極紫外線遮罩以及該些配置的反射鏡,對該微影系統內之一目標物,執行一微影曝光製程。
  8. 如請求項7所述之方法,其中裝設該極紫外線遮罩於該微影系統包含固定該極紫外線遮罩於一配置中,使得該積體電路圖案以一第一方向定向;以及該控制該些反射鏡包含配置該些反射鏡,藉以在該微影系統之一投影光瞳平面上產生該相位分佈,其中該相位分佈沿該第一方向具有一第一梯度。
TW104123110A 2014-07-31 2015-07-16 減輕缺陷可印刷性之方法以及用於極紫外線微影製程的方法 TWI569109B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/448,677 US9964850B2 (en) 2014-07-31 2014-07-31 Method to mitigate defect printability for ID pattern

Publications (2)

Publication Number Publication Date
TW201604658A TW201604658A (zh) 2016-02-01
TWI569109B true TWI569109B (zh) 2017-02-01

Family

ID=55079652

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104123110A TWI569109B (zh) 2014-07-31 2015-07-16 減輕缺陷可印刷性之方法以及用於極紫外線微影製程的方法

Country Status (5)

Country Link
US (3) US9964850B2 (zh)
KR (1) KR101722821B1 (zh)
CN (1) CN105319860B (zh)
DE (1) DE102015106624B4 (zh)
TW (1) TWI569109B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9964850B2 (en) * 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern
US10295911B2 (en) 2016-05-19 2019-05-21 Nikon Corporation Extreme ultraviolet lithography system that utilizes pattern stitching
US10890849B2 (en) 2016-05-19 2021-01-12 Nikon Corporation EUV lithography system for dense line patterning
US11067900B2 (en) 2016-05-19 2021-07-20 Nikon Corporation Dense line extreme ultraviolet lithography system with distortion matching
US10712671B2 (en) 2016-05-19 2020-07-14 Nikon Corporation Dense line extreme ultraviolet lithography system with distortion matching
US10162257B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system, device, and method for printing low pattern density features
US10274818B2 (en) 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with sub-resolution assistant patterns and off-axis illumination
DE102017203879B4 (de) * 2017-03-09 2023-06-07 Carl Zeiss Smt Gmbh Verfahren zum Analysieren einer defekten Stelle einer photolithographischen Maske
US11934105B2 (en) 2017-04-19 2024-03-19 Nikon Corporation Optical objective for operation in EUV spectral region
US11054745B2 (en) 2017-04-26 2021-07-06 Nikon Corporation Illumination system with flat 1D-patterned mask for use in EUV-exposure tool
US11086209B2 (en) 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
US11300884B2 (en) 2017-05-11 2022-04-12 Nikon Corporation Illumination system with curved 1d-patterned mask for use in EUV-exposure tool
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
EP3575875A1 (en) * 2018-05-31 2019-12-04 ASML Netherlands B.V. Measurement apparatus and method of measuring a target
KR20200088543A (ko) 2019-01-14 2020-07-23 삼성전자주식회사 포토 마스크, 이의 제조방법, 및 이를 이용한 반도체 소자의 제조방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000034828A1 (en) * 1998-12-08 2000-06-15 Euv Limited Liability Corporation Method for mask repair using defect compensation
US20050076322A1 (en) * 2003-10-07 2005-04-07 Jun Ye System and method for lithography simulation

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4559931A (en) 1983-03-21 1985-12-24 Fischell Robert Manually actuated fully implantable penile erection device
US4881530A (en) 1988-01-19 1989-11-21 Medical Engineering Corporation Penile prosthesis
US5436114A (en) * 1989-12-06 1995-07-25 Hitachi, Ltd. Method of optical lithography with super resolution and projection printing apparatus
JP3245882B2 (ja) * 1990-10-24 2002-01-15 株式会社日立製作所 パターン形成方法、および投影露光装置
US6821682B1 (en) 2000-09-26 2004-11-23 The Euv Llc Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography
KR20030042536A (ko) 2001-11-23 2003-06-02 주식회사 하이닉스반도체 노광장치 및 노광방법
US6846617B2 (en) * 2002-05-15 2005-01-25 Numerical Technologies Facilitating optical proximity effect correction through pupil filtering
US7946975B2 (en) 2005-04-08 2011-05-24 Ams Research Corporation Fluid reservoir for penile implant devices
CN100470733C (zh) 2006-01-19 2009-03-18 力晶半导体股份有限公司 接触窗开口的制造方法
US20070229944A1 (en) * 2006-03-31 2007-10-04 Lee Sang H Reducing extreme ultraviolet flare in lithographic projection optics
DE102007028172B3 (de) 2007-06-20 2008-12-11 Advanced Mask Technology Center Gmbh & Co. Kg EUV-Maske und Verfahren zur Reparatur einer EUV-Maske
US8376930B2 (en) 2007-09-28 2013-02-19 Fawzy T. Abdelmalek Implantable pump for erectile dysfunction treatment
ES2566149T3 (es) 2008-04-07 2016-04-11 Coloplast A/S Dispositivos de fluido implantables
KR101485754B1 (ko) 2008-09-26 2015-01-26 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용하여 제조되는 포토마스크
NL2003689A (en) * 2008-11-26 2010-05-27 Asml Netherlands Bv Method for a lithographic apparatus.
US8241203B2 (en) 2010-02-12 2012-08-14 Fogarty Terence M Inflatable penile prosthesis with spool valve
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9261793B2 (en) * 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
US9442384B2 (en) 2013-03-13 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9535334B2 (en) 2013-10-31 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process to print low pattern density features
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9964850B2 (en) * 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000034828A1 (en) * 1998-12-08 2000-06-15 Euv Limited Liability Corporation Method for mask repair using defect compensation
US20050076322A1 (en) * 2003-10-07 2005-04-07 Jun Ye System and method for lithography simulation

Also Published As

Publication number Publication date
US20180253008A1 (en) 2018-09-06
US11086227B2 (en) 2021-08-10
US20200310250A1 (en) 2020-10-01
US9964850B2 (en) 2018-05-08
CN105319860B (zh) 2017-11-21
DE102015106624A1 (de) 2016-02-04
KR101722821B1 (ko) 2017-04-05
KR20160016506A (ko) 2016-02-15
CN105319860A (zh) 2016-02-10
DE102015106624B4 (de) 2020-09-17
US10684552B2 (en) 2020-06-16
TW201604658A (zh) 2016-02-01
US20160033866A1 (en) 2016-02-04

Similar Documents

Publication Publication Date Title
TWI569109B (zh) 減輕缺陷可印刷性之方法以及用於極紫外線微影製程的方法
CN106019850B (zh) Euv焦点监控系统和方法
US9442384B2 (en) Extreme ultraviolet lithography process and mask
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
TW201729008A (zh) 極紫光微影製程方法
US9870612B2 (en) Method for repairing a mask
KR20200037055A (ko) 리소그래피 공정 모니터링 방법
KR101761018B1 (ko) 다층 구조체를 갖는 마스크 및 이를 이용한 제조 방법
US9244366B2 (en) Extreme ultraviolet lithography process and mask
US10274818B2 (en) Lithography patterning with sub-resolution assistant patterns and off-axis illumination
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
CN108803231B (zh) 光刻掩模
US20230367205A1 (en) Pellicle design for mask application
US11429027B2 (en) Photolithography method and apparatus
KR101788377B1 (ko) 2-상태 마스크를 이용한 해상도 강화를 위한 리소그래피 방법 및 구조
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
TW201821896A (zh) 微影光罩
KR101791729B1 (ko) 노출 강도를 조정함으로써 극성 불균형을 감소시키는 방법 및 시스템
Tanaka et al. Printability of contact-hole patterns in EUVL using 0.3-NA HiNA optics
JP2016176969A (ja) 露光装置及び半導体装置の製造方法