CN108803231B - 光刻掩模 - Google Patents

光刻掩模 Download PDF

Info

Publication number
CN108803231B
CN108803231B CN201711306343.0A CN201711306343A CN108803231B CN 108803231 B CN108803231 B CN 108803231B CN 201711306343 A CN201711306343 A CN 201711306343A CN 108803231 B CN108803231 B CN 108803231B
Authority
CN
China
Prior art keywords
layer
porous
mask
forming
reflective structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711306343.0A
Other languages
English (en)
Other versions
CN108803231A (zh
Inventor
石志聪
石世昌
陈立锐
郑博中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108803231A publication Critical patent/CN108803231A/zh
Application granted granted Critical
Publication of CN108803231B publication Critical patent/CN108803231B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本公开实施例提供一种光刻掩模。光刻掩模包括基板,其包含低热膨胀材料。光刻掩模也包括反射结构,设置于基板上方。反射结构包括第一层和设置于第一层上方的第二层。至少第二层为多孔。光刻掩模的制造方法包括于基板上方形成多层反射结构,形成多层反射结构包括形成多个重复薄膜对,薄膜对各自包括第一层和多孔第二层。于多层反射结构形成上方盖层。于盖层上方形成吸收层。

Description

光刻掩模
技术领域
本公开实施例涉及光刻掩模,更具体涉及光刻掩模的多层反射结构。
背景技术
半导体集成电路(integrated circuit,IC)产业历经了快速成长,集成电路材料及设计上的进步已产生了数代的集成电路,每一代较前一世代皆具有体积更小且更精密的电路。在集成电路发展的进程上,功能密度(即,每一芯片的内连线装置的数量)逐渐增加的同时,几何尺寸(即,利用工艺步骤可以产生的最小元件(或线))逐渐缩小。此微缩化(scaling down)工艺通常可提供增加产率及降低相关成本的优点。然而,这些进步也增加了处理及制造集成电路的复杂度。并且,为了实现这些进展,相似的发展在集成电路处理与制造中是必需的。举例来说,对更高分辨率的光刻工艺的需求成长。光刻技术之一为极紫外光光刻(extreme ultraviolet lithography,EUVL)。其他技术包括X射线光刻、离子投影光刻、电子束投影光刻和多重电子束无掩模光刻。
EUVL采用的扫描机(scanner)所用的光位于极紫外线(EUV)区,其波长介于约1纳米(nm)至100nm之间。与某些光学扫描机类似,除非EUV扫描机采用反射式而非折射式(也就是使用反射镜而非透镜),一些EUV扫描机可提供4倍缩小的投影晒印。EUV扫描机提供所需的图案至形成于反射掩模上的吸收层(EUV掩模吸收物)上。在目前用于制作集成电路的EUVL中,可采用双光强度掩模(BIM)。EUVL非常类似于以掩模晒印晶片的光刻工艺,差别在于EUVL采用的光位于EUV区(也就是波长为13.5nm)。在此波长范围中,几乎所有的材料都对此种射线具有高吸收度。如此一来,反射式光学元件比折射式光学元件更适用。多层(multi-layered,ML)结构作为EUV的空白掩模。
然而公知的EUV掩模与其制作方法仍具有缺点。举例来说,EUV掩模具有一多层反射结构。公知的EUV掩模无法同时制造出高折射率和低消光系数的多层反射结构。公知的EUV掩模的多层反射结构也会遭受到多层反射结构的薄膜对之间的交互扩散问题。
EUV光刻系统与工艺通常适用于特定目的而无法泛用于所有领域。综上所述,目前亟需新的EUV光刻方法系统以解决上述问题。
发明内容
依据本公开一些实施例,提供一种光刻掩模。上述光刻掩模包括一基板,其包含一低热膨胀材料;以及一反射结构,设置于上述基板上方,其中上述反射结构包括:一第一层和设置于上述第一层上方的一第二层,且其中至少上述第二层为多孔。
依据本公开一些实施例,提供一种进行光刻工艺的方法。上述进行光刻工艺的方法包括使用一光刻掩模进行上述光刻工艺,上述光刻掩模包括:一基板,其包含一低热膨胀材料(LTEM);以及一反射结构,设置于上述基板上方,其中上述反射结构包括多个一第一层和一第二层的重复薄膜对,且其中多个孔洞设置于上述第二层中而非设置于上述第一层中。
依据本公开一些实施例,提供一种光刻掩模的制造方法。上述光刻掩模的制造方法包括:于一基板上方形成一多层反射结构,上述基板包含一低热膨胀材料其中上述形成上述多层反射结构包括形成多个重复薄膜对,其中上述些薄膜对各别包括一第一层和一多孔第二层;于上述多层反射结构上方形成一盖层;以及于上述盖层上方形成一吸收层。
附图说明
以下将配合所附附图详述本公开的实施例,应注意的是,依照工业上的标准实施,以下图示并未按照比例绘制,事实上,可能任意的放大或缩小元件的尺寸以便清楚表现出本公开的特征。而在说明书及附图中,除了特别说明外,同样或类似的元件将以类似的符号表示。
图1显示根据本公开一些实施例所构成的一光刻系统的示意图。
图2显示根据本公开一些实施例所构成的一极紫外光(EUV)掩模。
图3为依据本公开一些实施例的极紫外光(EUV)掩模的多层反射结构的部分剖面示意图。
图4A显示根据本公开一些实施例的斜向沉积(GLAD)工艺。
图4B显示如何利用根据本公开一些实施例的斜向沉积(GLAD)工艺于一基板上形成一材料。
图5显示根据本公开一些实施例的反射多层结构的反射率和反射多层结构的多孔比值之间关系的图。
图6为一流程图,其显示根据本公开一些实施例的极紫外光(EUV)掩模的制造和使用方法。
附图标记说明:
10~光刻系统;
12~射线源;
14~照射器;
16~掩模站点;
18~掩模;
20~投影光学盒;
22~瞳状相位调整器;
24~投影瞳面;
26~半导体基板;
28~基板台;
30~基板;
32~导电层;
34~多层结构;
36~盖层;
38~缓冲层;
40~吸收层;
100~层;
120~层;
130~硅化物层;
200~孔洞;
300~基板;
320~入射蒸气;
340~沉积材料
360~孔洞;
400~倾斜角;
600~图;
610~线;
630~横条;
700~方法;
710、720、730、740~操作;
D、L~横向尺寸;
P~间距;
α、β、~角度。
具体实施方式
以下的公开内容提供许多不同的实施例或范例,以实施本案的不同特征。而本公开以下的公开内容是叙述各个构件及其排列方式的特定范例,以求简化说明。当然,这些特定的范例并非用以限定。例如,若是本公开以下的内容叙述了将一第一特征形成于一第二特征之上或上方,即表示其包含了所形成的上述第一特征与上述第二特征是直接接触的实施例,也包含了尚可将附加的特征形成于上述第一特征与上述第二特征之间,而使上述第一特征与上述第二特征可能未直接接触的实施例。另外,本公开中不同范例可能使用重复的参考符号和/或标记。这些重复是为了简化与清晰的目的,并非用以限定各个实施例和/或所述外观结构之间的关系。
再者,为了方便描述附图中一元件或特征部件与另一(多个)元件或(多个)特征部件的关系,可使用空间相关用语,例如“在...之下”、“下方”、“较下部”、“上方”、“较上部”及类似的用语等。除了附图所绘示的方位之外,空间相关用语用以涵盖使用或操作中的装置的不同方位。所述装置也可被另外定位(例如,旋转90度或者位于其他方位),并对应地解读所使用的空间相关用语的描述。
极紫外光(EUV)光刻工艺因为能够达到制作小尺寸半导体元件的能力,所以已被广泛应用。然而,公知进行EUV光刻工艺的系统和方法仍然具有缺点。举例来说,EUV系统使用光刻掩模,光刻掩模用以进行EUV光刻工艺。这种EUV光刻掩模通常包括由形成多个薄膜对的一多层反射结构以反射EUV射线。为了优化反射结构的性能,理想的材料(用于每一个薄膜对中的其中一层)会具有高折射率(refractive index)(例如,实质上等于1)和低消光系数(absorption coefficient)(例如,实质上等于0)。另外,会想要减少在薄膜对中层与层之间的交互扩散(inter-diffusion)。然而,公知EUV反射结构未能达到上述所有条件。因此,公知EUV光刻掩模的性能并未最佳化。
为了克服前述问题,本公开实施例提供一种光刻掩模(和一种光刻掩模的制造方法),其具有多孔多层反射结构。多孔多层反射结构可有效作为实质上具有高折射率(例如,实质上等于1)和低消光系数(例如,实质上等于0)的材料。多孔多层反射结构也可减少在薄膜对中层与层之间的交互扩散。以下会用图1-图6详细说明本公开实施例。首先,会用图1-图2详细说明本公开实施例的EUV光刻系统。接着,会用图3-图6详细说明本公开实施例的反射多层结构及其制造方法。
图1是根据本公开一些实施例所构成的一光刻系统10的示意图。一般而言,光刻系统10也可称为一扫描机(scanner),其依个别的射线源与曝光模式进行光刻曝光工艺。于此实施例中,光刻系统10是设计将光致抗蚀剂层暴露在极紫外光光线或极紫外光射线下。光致抗蚀剂层为对极紫外光光线感光的材料。光刻系统10使用一射线源12来产生波长范围约在1纳米(nm)与100纳米之间的极紫外光光线。在特定实施例中,射线源12产生波长中心约在13.5纳米的一极紫外光光线。相应地,射线源12也称为极紫外光射线源12。
光刻系统10也采用一照射器(illuminator)14。在各种实施例中,照射器14包含各种折射式光学元件,如单一透镜或具有多个透镜(波带片(zone plates))的透镜系统,或可替代地为反射式光学元件(用于极紫外光光刻系统)的一镜片系统,如单一反射镜或具有多重反射镜的反射镜系统,借以将光由射线源12导向一掩模站点(mask stage)16上,特别是导向固定于掩模站点16上的掩模(mask)18。于此实施例中使用了反射式光学系统且射线源12产生了在极紫外光波长范围的光线时,照射器14采用反射光学元件。在一些实施例中,照射器14包括一偶极照明构件(dipole illumination component)。
在一些实施例中,可操作照射器14于配置反射镜以对照射器14提供一适当照明。在一实施例中,可切换照射器14的反射镜将EUV光反射至不同照明位置。在一些实施例中,在照射器14之前的一站点可额外包括其他可转换的反射镜,可控制上述反射镜与照射器14的反射镜将EUV光导向不同照明位置。在一些实施例中,照射器14用于对掩模18提供轴上照明(on-axis illumination,ONI)。在一实施例中,使用部分同调值(partial coherence)σ上限为0.3的一圆盘照射器14。在一些其他实施例中,照射器14用于对掩模18提供离轴照明(off-axis illumination,OAI)。在一例子中,照射器14为一偶极照射器(dipoleilluminator)。在一些实施例中,偶极照明器的部分同调值上限为0.3。
光刻系统10也包括掩模站点16来固定(secure)掩模18。于一些实施例中,掩模站点16包含了一静电吸盘(e-chuck)来固定掩模18。因为气体分子会吸收极紫外光光线,所以用于极紫外光光刻图案化(EUV lithography patterning)的光刻系统维持在真空环境下以避免极紫外光的强度损失。于本公开实施例中,掩模(mask)、光掩模(photomask)以及掩模(reticle)等用语可互换使用。
于本公开实施例中,光刻系统10为一极紫外光(EUV)光刻系统,并且掩模18为一反射式掩模。掩模18的一种示范性结构将描述如下。掩模18包含具有适当材料的一基板,此适当材料是如一低热膨胀材料(LTEM)或熔凝石英(fused quartz)。在各种例子中,此种低热膨胀材料包含掺杂二氧化硅(SiO2)的二氧化钛(TiO2),或者其他低热膨胀的适当材料。在一些实施例中,一低热膨胀材料(LTEM)包括5%-20%重量百分比的二氧化钛(TiO2)且其热膨胀系数(thermal coefficient of expansion)约低于1.0x 10-6/℃。举例来说,在一些实施例中,掺杂二氧化硅(SiO2)的二氧化钛(TiO2)的LTEM的热膨胀系数在温度每改变摄氏一度时,其变化会小于十亿分的六十(60 parts-per-billion for every 1 degree Celsiusof temperature change)。当然,也可使用热膨胀系数相同或小于掺杂二氧化硅(SiO2)的二氧化钛(TiO2)的其他适当材料。
掩模18还包括沉积在基板上的反射多层(multiple reflective multiplelayers,ML)。上述多层包含多个薄膜对,如钼-硅(Mo/Si)薄膜对(例如是每一个薄膜对中具有一钼层在硅层的上面或下面)。另外,此种多层可包含钼-铍(Mo/Be)薄膜对,或者是可高度反射极紫外光的其他适当材料。
掩模18可还包括一盖层(capping layer),例如钌(Ru),其系设置于上述多层上以保护上述多层。掩模18还包括一吸收层(absorption layer),设置于上述多层上方。上述吸收层系被图案化以定义出一集成电路(IC)的某一层。在其他实施例中,可沉积另一反射层于上述多层上且被图案化以定义出一集成电路的某一层,因而形成一极紫外光相偏移掩模(EUV phase shift mask)。
光刻系统10也包括一投影光学模块或一投影光学盒20(projection optics box,POB),其是用来将掩模18的图案映像(image)到一半导体基板26上,半导体基板26固设于光刻系统10的一基板台(substrate stage)28上。在各种实施例中,POB 20具有折射式光学构件(例如用于紫外线光刻系统)或者替代地具有的反射式光学构件(例如用于极紫外光光刻系统)。POB 20收集从掩模18而来的光,上述光为绕射成为不同绕射级数且带有定义在掩模上的图案的映像。POB 20的放大率可小于一(因此于一目标(target)(例如后述讨论的半导体基板(目标)26)上的影像(image)尺寸小于在掩模上的相应物体(object)尺寸)。照射器14与POB 20两者合称为光刻系统10的一光学模块。
光刻系统10也包括一瞳状相位调整器(pupil phase modulator)22,其用以调整来自掩模18的光,使上述光的光学相位分布于投影瞳面(projection pupil plane)24上。在光学模块中,某一平面具有对应物体(于本实施例中例如为掩模18)的傅立叶转换的场分布,此平面即称作投影瞳面。瞳状相位调整器22的机制为用于调整投影瞳面24上的光的光学相位。在一些实施例中,瞳状相位调整器22包括调整POB 20的反射镜以调整相位的机制。举例来说,可转换及控制POB 20的反射镜以反射EUV光,因而调整穿过POB20的光的相位。
在一些实施例中,瞳状相位调整器22使用位于投影瞳状平面上的瞳状滤光片(pupil filter)。瞳状滤光片可将来自掩模18的EUV光的特定空间频率组成滤除。在一些实施例中,瞳状滤光片为相位瞳状滤光片(phase pupil filter),可用以调整穿过POB 20的光的相位分布。因为相位瞳状滤光片的所有材料均吸收EUV光,因此相位瞳状滤光片仅限于某些光刻系统(如EUV光刻系统)中。
如上所述,光刻系统10也包含基板台28以固定欲图案化的目标(如半导体基板26)。在本实施例中,半导体基板为半导体晶片如硅晶片或其他种类的晶片。在本实施例中,目标(如半导体基板26)上涂布有射线束(如EUV光)敏感的光致抗蚀剂层对。将如前述的多种构件整合以进行光刻曝光工艺。光刻系统10也可包含其他模块,或与其他模块整合(或耦合)。
利用一些实施例来进一步描述掩模18与其形成方法。在一些实施例中,掩模的制作工艺包括两个步骤:制作空白掩模(blank mask),以及图案化掩模。在制作空白掩模的步骤中,沉积适当的层状物(例如反射多层)于适当的基板上,以形成空白掩模。接着,在图案化掩模的步骤中,图案化空白掩模以达集成电路(IC)的某一层的所需设计。接着,已图案化的掩模将电路图案(例如IC的某一层的设计)转移至一半导体晶片上。通过多种光刻工艺,可将上述图案反复地转移至多个晶片上。可用一组掩模来建构完整的IC。
在多种实施例中,掩模18包含适当的结构如双光强度掩模(binary intensitymask,BIM)与相偏移掩模(phase-shifting mask,PSM)。以BIM为例,其包含吸收区(也称为不透明区(opaque region))与反射区(reflective region),可图案化上述吸收区和反射区以定义转移至目标的IC图案。在不透明区中具有吸收物,因此入射的光线几乎完全被吸收物所吸收。在反射区中,移除吸收物使入射光通过多层(ML)产生绕射。PSM可为衰减式PSM(AttPSM)或交替式PSM(AltPSM)。举例来说,PSM包括第一反射层(如反射ML)和依据一IC图案而图案化的第二反射层。在一些实施例中,AttPSM通常因为其吸收物而具有2%至15%的反射率(反射率),而AltPSM通常因为其吸收物而具有大于50%的反射率。
图2显示一实施例的掩模18。在显示的实施例中,掩模18为EUV掩模,其包含LTEM形成的基板30。LTEM材料可包含掺杂SiO2的TiO2,和/或其他已知的低热膨胀材料。在某些实施例中,可于LTEM的基板30的背面下方额外设置导电层32,以利静电吸附作用。在一实施例中,导电层32包括氮化铬(CrN)。在其他实施例中,导电层32包括其他可能的适当成份,例如含钽材料(tantalum-containing material)。
EUV的掩模18包括设置于LTEM的基板30上的一反射多层(reflective ML)结构34。选择反射多层结构34以对特定的射线种类/波长具有高反射率。反射多层结构34包括多个薄膜对,例如钼-硅(Mo/Si)薄膜对(例如是每一个薄膜对中具有一钼层在硅层的上面或下面)。在其他实施例中,此种多层可包含钼-铍(Mo/Be)薄膜对,或者是可高度反射极紫外光的其他适当材料。
请再参考图2,EUV的掩模18也可包括一盖层(capping layer)36,盖层36设置于反射多层结构34上以避免反射多层结构34氧化。在一实施例中,盖层36包括厚度介于约4nm至约7nm之间的硅。EUV的掩模18也可包括缓冲层38,缓冲层38设置于盖层36上,以视为图案化或修复吸收层的蚀刻停止层,其将说明如后述内容。缓冲层38与位于其上的吸收层具有不同的蚀刻特性。在多种实施例中,缓冲层38包括钌(Ru)、例如RuB或RuSi的Ru化合物、铬(Cr)、氧化铬(chromium oxide)或氮化铬(chromium nitride)。
EUV的掩模18也可包括形成于缓冲层38上的一吸收层40。在一些实施例中,吸收层40可吸收导向掩模上的EUV射线。在公知EUV掩模中,吸收层通常由氮化硼钽(TaBN)、氧化硼钽(TaBO)、铬(Cr)、镭(Ra)、或为一或多个下述材料:锕(Ac)、镭(Ra)、碲(Te)、锌(Zn)、铜(Cu)和铝(Al)的适当的氧化物或氮化物(或合金)所组成。
图3为依据本公开一些实施例的光刻掩模的多层反射结构的部分剖面示意图。在本公开实施中,光刻掩模可为一EUV掩模,例如为前述图1、图2的EUV掩模18,且图3显示EUV掩模18的反射多层结构34的一部分。
如上所述,反射多层结构34可具有多个重复薄膜对。在本公开实施例中,每一个薄膜对包括一层100和一层120。在一些实施例中,层100包括钼,且层120包括硅。在其他实施例中,层120不需包括硅,只要其折射率接近1即可,举例来说,在1的几个百分点之内。为了简单起见,如图3所示的反射多层结构34的上述部分显示仅有三对这样的薄膜对,但需了解的是反射多层结构34包括更多这样的薄膜对,举例来说,在一些实施例中,反射多层结构34包括40对薄膜对。又于图3中所示,硅化物层130形成于层100和层120之间的界面上。举例来说,一钼硅化物(MoSi2)层形成于每一个层120的上方或下方。硅化物层130的厚度实质上小于层100和层120的厚度。
依据本公开实施例的各个方面,为了增加本身的折射率且减少本身的消光系数,且降低层100和层120之间的交互扩散,层120可为多孔。更详细地说,在层120中形成有多个孔洞200。在一些实施例中,孔洞200垂直延伸穿过层120,以及穿过位于层120上方或下方的硅化物层130,但是层100没有孔洞200。
孔洞200可有效地将层120转变成一多孔层,相较于非多孔层,其可具有高的折射率和较低的消光系数(absorption coefficient)。这是因为孔洞—例如真空,或甚至空气—的折射率实质上等于1且其消光系数实质上等于0。层120(例如硅)的折射率通常低于1且其消光系数大于0。因此,通过有效地将层120转变成为层120和孔洞200的材料的混合物,会增加最终形成多孔层的折射率,且减少最终形成多孔层的消光系数。另外,孔洞200的存在表示在层100和层120之间有一较小的界面。因为这个较小的界面,也减少了层100和层120之间不想要的交互扩散。
在一些实施例中,利用斜向沉积(glancing angle deposition,GLAD)工艺形成孔洞200,图4A和图4B作为实施例以显示其中的细节。更详细地说,图4A显示用于进行斜向沉积工艺的一系统。于一蒸气源310上方放置一基板300。可以一角度旋转基板300。也可以一(倾斜)角度α倾斜基板300。当旋转且/或倾斜基板300时,蒸气源310可于基板上沉积一想要的材料,如图4B所示的步骤(a)-(d)。举例来说,因为基板300的(倾斜)角度α,入射蒸气320(通过蒸气源310释放)也相对于基板300的表面形成角度α。
如图4B所示的步骤(a)、(b)、(c)和(d)中,入射蒸气320于基板300上方沉积一材料340。步骤(a)、(b)、(c)和(d)显示依时间顺序的沉积进程。可以看出因为入射蒸气320利用角度α沉积到基板300上,这些间隙或孔洞360可于沉积的材料340中形成或被沉积的材料340捕捉于其中。这些孔洞360可形成前述图3的孔洞200。注意沉积的材料340(或至少其一侧边)相对于基板300的表面也可具有一(倾斜)角度β,例如图4B最后的步骤(d)所示。然而,可以了解角度β和角度α彼此不需相同。
再参考图3,在形成反射多层结构34期间,使用GLAD工艺且以一倾斜角形成每一个层120,接着不以倾斜角形成层100。因此,虽然形成具有孔洞200的层120(各别以一倾斜角400),但实质上会形成不具孔洞的层100。如图3所示,因为GLAD工艺会形成倾斜的孔洞200。举例来说,每一个孔洞200,孔洞200的侧边和层100的上表面一起定义出一倾斜角400。倾斜角400可实质上类似于前述图4B的角度β。在一些实施例中,倾斜角400的范围介于约20度和约70度之间。
在一些实施例中,也可形成具有周期性分布的孔洞200。换句话说,孔洞200实质上均匀或平均分布穿过每一个层120。因为周期性分布,间距P可定义为孔洞200的横向尺寸L和层120的一区段的横向尺寸D的总合。注意横向尺寸D也可表示为层130的一区段的横向尺寸。在一些实施例中,间距P的范围为从约5纳米(nm)至约20nm。可利用孔洞200的横向尺寸L除以间距P的值定义为层120的多孔性(porosity)(或多孔比值)。换句话说,层120的多孔性(porosity)(或多孔比值)=L/P。
在一些实施例中,层120的多孔性的范围为从约20%至约90%。在一些实施例中,层120的多孔性的范围为从约40%至约80%。可以了解,间距P和层120的多孔性的范围并非随机选择而是特别配置以优化折射率(例如增加折射率)和消光系数(例如减少消光系数),且也减少了层100和层120之间的交互扩散。举例来说,如果多孔性太小,结果可能无法尽可能达到其目的(增加折射率,减少消光系数,且减少交互扩散)。另一方面,如果多孔性太大,结果于层120上方形成的层100可部分填满或完全填满一或多个孔洞200,因而会干扰孔洞200的功能。在说明书中公开的范围是特别配置于实质上避免这些问题且优化多孔层120的性能。
可以了解,虽然使用GLAD工艺形成图3之前述反射多层结构34,使反射多层结构34形成为多孔结构,GLAD工艺并非为形成多孔结构的唯一方式。在其他实施例中,也可使用离子束工艺和/或蚀刻工艺形成多孔结构。形成多孔结构的这些其他实施例仍可形成类似于前述的结构,举例来说,其他实施例形成的多孔结构具有一(扩散)层130、一(硅)层120、空气间隙或孔洞200或例如钼的低折射率层。也可了解图3所示的多孔结构是表示一更理想形式的多孔结构,且图4B所示的多孔结构系表示一更任意形式的多孔结构。在任何情况之下,无论多孔结构是否为更理想形式更任意形式,孔洞可仍为倾斜的或有角度的。
请参考图5,其显示依据本公开实施例制造的反射多层结构34的反射率和反射多层结构34的多孔比值之间关系的图600。更详细地说,图600的X轴(X-axis)为层120的多孔性(例如上述的L/P)的多孔比值(以%量测),且图600的Y轴(Y-axis)为反射多层结构34的反射率(也以%量测)。图600包括线610,其包括多个资料点。线610中的各别资料点是对应于具有给定多孔比值(例如,X-坐标)的反射多层结构34以及反射多层结构34(例如,Y-坐标)的最终反射率。
可以从图5看出,当多孔比值增加时,反射多层结构34的最终反射率也会增加,直到多孔比值约为80%且反射率约为80%时线趋于平缓(饱和值)。换句话说,当多孔比值超过80%时,不会明显改变反射率。图600也包括一横条(horizontal bar)630,其显示公知多层反射结构的反射率性能(也就是,没有多孔的多层反射结构)。基于图5所示的实施例,可以看出相较于公知多层反射结构,图5所示实施例的多层反射结构的反射率能够增强21.3%。再者,可以了解图5仅显示与增强反射率的一实施例,然其并非用以限定本公开实施例。本公开其他实施例可提供不同的反射率改良值,和/或相较于线610,多孔比值对(VS)反射率的线可具有不同的特性,也显示于图5中。
图6为一流程图,其显示简化的方法700。方法700包括一操作710,于基板上方形成EUV光刻掩模的多层反射结构。基板包含一低热膨胀材料(LTEM)。LTEM基板和多层反射结构形成为EUV光刻掩模的部分。多层反射结构的形成方式包括形成多个重复薄膜对。在一些实施例中,每一个薄膜对包括一第一层和一多孔第二层。
在一些实施例中,多层反射结构的形成方式包括形成一钼层做为第一层,且形成一多孔硅层做为第二层。在这些实施例中,可在第一层和第二层之间形成第一钼硅化物(MoSi2)层,且可在第二层上方形成一第二钼硅化物(MoSi2)层。
在一些实施例中,形成没有孔的第一层,且形成具有多个孔或孔洞的多孔第二层,上述孔或孔洞垂直延伸穿过多孔第二层。在一些实施例中,形成多孔第二层使孔具有一周期性分布。在一些实施例中,形成多孔第二层使孔具有倾斜轮廓。
在一些实施例中,使用一斜向沉积(GLAD)工艺形成多孔第二层。在其他实施例中,使用离子束工艺形成多孔第二层。在一些其他实施例中,使用一道或多道蚀刻工艺形成多孔第二层。
方法700包括一操作720,于多层反射结构上方形成盖层。举例来说,可于多层反射结构上方形成钌(ruthenium)盖层以保护多层反射结构。
方法700包括一操作730,于盖层上方形成吸收层(absorber/absorption layer)。举例来说,图案化吸收层以定义集成电路(IC)的某一层。
方法700包括一操作740,于一半导体工艺中使用EUV光刻掩模。举例来说,在EUV光刻工艺中,EUV光刻掩模可用于图案化EUV光致抗蚀剂。
可以理解的是,可在图6的操作710至740之前、之中、或之后进行额外工艺。为简化说明起见,其余额外步骤于此不特别说明。
基于上述讨论,可知本公开实施例的EUV光刻具有多种优点。然而应理解的是,此处不需说明所有的优点,其他实施例可具有不同优点,且并非所有的实施例都必需具有特定的优点。
上述优点之一为,通过制造EUV光刻掩模的一多孔多层反射结构,可改善EUV光刻掩模的性能。举例来说,在多层反射结构中形成具有间隙或孔洞的硅层。因为孔洞接近于真空,真空的折射率约为1且其消光系数约为0,硅层中存在有间隙或孔洞可以有效地将硅层转变成为其折射率高于硅且其消光系数低于硅的一层。因为多层反射结构主要的目的为反射射线,所以多层反射结构需要增加其折射率且需要降低消光系数。本公开实施例的多孔多层反射结构的另一优点为减少多层反射结构中薄膜对之间的交互扩散。举例来说,硅层的现在为孔洞的部分不会与钼层形成硅/钼界面。硅/钼界面的减少也会转换成为减少硅层和钼层的交互扩散,反而增强EUV光刻掩模的性能。
本公开一些实施例涉及一种光刻掩模。上述光刻掩模包括一基板,其包含一低热膨胀材料;以及一反射结构,设置于上述基板上方,其中上述反射结构包括:一第一层和设置于上述第一层上方的一第二层,且其中至少上述第二层为多孔。
在一些实施例中,其中上述第一层包括钼(Mo);以及上述第二层包括硅(Si)。
在一些实施例中,其中上述反射结构还包括一第一钼硅化物(MoSi2)层,设置于上述第一层和上述第二层之间;以及一第二钼硅化物(MoSi2)层,设置于上述第二层上方。
在一些实施例中,其中第一层不为多孔。
在一些实施例中,其中多个孔洞各别垂直延伸穿过上述第二层。
在一些实施例中,其中上述孔洞为周期性分布。
在一些实施例中,其中上述孔洞为倾斜。
在一些实施例中,其中上述光刻掩模包括为一极紫外光(EUV)光刻掩模。
本公开一些实施例有关于一种进行光刻工艺的方法。使用一光刻掩模进行上述光刻工艺,上述光刻掩模包括:一基板,其包含一低热膨胀材料;以及一反射结构,设置于上述基板上方,其中上述反射结构包括多个一第一层和一第二层的重复薄膜对,且其中多个孔洞设置于上述第二层中而非设置于上述第一层中。
在一些实施例中,其中上述第一层包括钼(Mo)且上述第二层包括硅(Si),且其中上述反射结构还包括一第一钼硅化物(MoSi2)层,设置于上述第一层和上述第二层之间;以及一第二钼硅化物(MoSi2)层,设置于上述第二层上方。
在一些实施例中,其中上述些孔洞于上述第二层中均匀间隔。
在一些实施例中,其中上述第一层的一上表面与上述些孔洞的每一个的一侧边定义一倾斜角。
本公开一些实施例涉及一种光刻掩模的制造方法。上述方法包括:于一基板上方形成一多层反射结构,上述基板包含一低热膨胀材料(LTEM),其中形成上述多层反射结构包括形成多个重复薄膜对,其中上述些薄膜对各别包括一第一层和一多孔第二层;于上述多层反射结构形成上方一盖层;以及于上述盖层上方形成一吸收层。
在一些实施例中,其中形成上述多层反射结构包括形成做为上述第一层的一钼层和形成做为上述多孔第二层的一多孔硅层。
在一些实施例中,其中形成没有孔的上述第一层;以及形成具有多个孔的上述多孔第二层,上述些孔垂直延伸穿过上述多孔第二层。
在一些实施例中,其中形成上述多孔第二层使上述孔具有一周期性分布且具有倾斜轮廓。
在一些实施例中,其中使用一斜向沉积(GLAD)工艺形成上述多孔第二层。
在一些实施例中,其中使用离子束工艺工艺上述多孔第二层。
在一些实施例中,其中使用一道或多道蚀刻工艺形成上述多孔第二层。
在一些实施例中,其中进行形成上述多层反射结构、形成上述盖层且形成上述吸收层以制造一极紫外光(EUV)光刻掩模,且其中上述方法还包括于一半导体工艺中使用上述EUV光刻掩模。
前述内容概述了许多实施例的特征,使本领域普通技术人员可以更佳的了解本公开的各个方面。本领域普通技术人员应该可理解,他们可以很容易的以本公开为基础来设计或修饰其它工艺及结构,并以此达到相同的目的和/或达到与本公开介绍的实施例相同的优点。本领域普通技术人员也应该了解这些相等的结构并不会背离本公开的发明构思与范围。本公开可以作各种改变、置换、修改而不会背离本公开的发明构思与范围。
虽然本公开已以数个较佳实施例公开如上,然其并非用以限定本公开,任何本领域普通技术人员,在不脱离本公开的构思和范围内,当可作任意的更动与润饰,因此本公开的保护范围当视权利要求书所界定者为准。

Claims (15)

1.一种光刻掩模,包括:
一基板,其包含一低热膨胀材料;以及
一反射结构,设置于该基板上方,其中该反射结构包括:多个一第一层和一第二层的重复薄膜对,该第一层和该第二层之间包含一硅化物层,且其中至少该第二层为多孔,其中多个孔洞垂直延伸穿过该第二层和该硅化物层,该第一层不为多孔;
其中该光刻掩模为一极紫外光光刻掩模。
2.如权利要求1所述的光刻掩模,其中:
该第一层包括钼(Mo);以及
该第二层包括硅(Si)。
3.如权利要求1所述的光刻掩模,其中该反射结构还包括:
一第一钼硅化物层,为设置于该第一层和该第二层之间的该硅化物层;以及
一第二钼硅化物层,设置于该第二层上方。
4.如权利要求1所述的光刻掩模,其中所述多个孔洞为周期性分布。
5.如权利要求1所述的光刻掩模,其中所述多个孔洞为倾斜。
6.一种进行光刻工艺的方法,包含:
使用一光刻掩模进行该光刻工艺,该光刻掩模包括:
一基板,包含一低热膨胀材料;以及
一反射结构,设置于该基板上方,其中该反射结构包括多个一第一层和一第二层的重复薄膜对,且其中多个孔洞设置于该第二层中而非设置于该第一层中,该第一层和该第二层之间包含一硅化物层,其中所述多个孔洞垂直延伸穿过该第二层和该硅化物层;
其中该光刻掩模为一极紫外光光刻掩模。
7.如权利要求6所述的方法,其中该第一层包括钼(Mo)且该第二层包括硅(Si),且其中该反射结构还包括:
一第一钼硅化物层,为设置于该第一层和该第二层之间的该硅化物层;以及
一第二钼硅化物层,设置于该第二层上方。
8.如权利要求6所述的方法,其中所述多个孔洞于该第二层中均匀间隔。
9.如权利要求6所述的方法,其中该第一层的一上表面与所述多个孔洞的每一个的一侧边定义一倾斜角。
10.一种光刻掩模的制造方法,包括:
于一基板上方形成一多层反射结构,该基板包含一低热膨胀材料,其中形成该多层反射结构包括形成多个重复薄膜对,其中所述多个薄膜对各别包括一第一层和一多孔第二层,该第一层和该多孔第二层之间包含一硅化物层,其中形成没有孔的该第一层,形成具有多个孔的该多孔第二层,所述多个孔垂直延伸穿过该多孔第二层和该硅化物层;
于该多层反射结构上方形成一盖层;以及
于该盖层上方形成一吸收层;
其中进行形成该多层反射结构、形成该盖层且形成该吸收层以制造一极紫外光光刻掩模,且其中该方法还包括于一半导体制造工艺中使用该极紫外光光刻掩模。
11.如权利要求10所述的方法,其中形成该多层反射结构包括形成作为该第一层的一钼层和形成作为该多孔第二层的一多孔硅层。
12.如权利要求10所述的方法,其中形成该多孔第二层使所述多个孔具有一周期性分布且具有倾斜轮廓。
13.如权利要求10所述的方法,其中使用一斜向沉积工艺形成该多孔第二层。
14.如权利要求10所述的方法,其中使用离子束工艺形成该多孔第二层。
15.如权利要求10所述的方法,其中使用一道或多道蚀刻工艺形成该多孔第二层。
CN201711306343.0A 2017-04-27 2017-12-11 光刻掩模 Active CN108803231B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762490874P 2017-04-27 2017-04-27
US62/490,874 2017-04-27
US15/798,937 US11086209B2 (en) 2017-04-27 2017-10-31 EUV lithography mask with a porous reflective multilayer structure
US15/798,937 2017-10-31

Publications (2)

Publication Number Publication Date
CN108803231A CN108803231A (zh) 2018-11-13
CN108803231B true CN108803231B (zh) 2023-12-12

Family

ID=63915584

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711306343.0A Active CN108803231B (zh) 2017-04-27 2017-12-11 光刻掩模

Country Status (3)

Country Link
US (3) US11086209B2 (zh)
CN (1) CN108803231B (zh)
TW (1) TWI745502B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11086209B2 (en) * 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
US11454877B2 (en) 2018-10-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet light reflective structure including nano-lattice and manufacturing method thereof
US11531262B2 (en) * 2019-12-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blanks and methods for depositing layers on mask blank

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1065532A2 (en) * 1999-07-02 2001-01-03 Asm Lithography B.V. Multilayer extreme-ultraviolet mirror with enhanced reflectivity and lithographic projection apparatus comprising the mirror
CN101558359A (zh) * 2006-11-16 2009-10-14 株式会社尼康 表面处理方法及表面处理装置、曝光方法及爆光装置、以及元件制造方法
NL2003396A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
CN103592816A (zh) * 2012-08-17 2014-02-19 国际商业机器公司 一种极紫外光刻掩模和其制造方法
WO2014129527A1 (ja) * 2013-02-22 2014-08-28 Hoya株式会社 反射型マスクブランクの製造方法、及び反射型マスクの製造方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10319005A1 (de) * 2003-04-25 2004-11-25 Carl Zeiss Smt Ag Reflektives optisches Element, optisches System und EUV-Lithographievorrichtung
SG124351A1 (en) 2005-01-14 2006-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR100972863B1 (ko) * 2008-04-22 2010-07-28 주식회사 하이닉스반도체 극자외선 리소그라피 마스크 및 그 제조 방법
JP5476982B2 (ja) 2009-12-25 2014-04-23 信越化学工業株式会社 チタニアドープ石英ガラスの選定方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP5737070B2 (ja) 2010-09-02 2015-06-17 信越化学工業株式会社 チタニアドープ石英ガラス及びその製造方法
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9964850B2 (en) * 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern
US10036951B2 (en) 2015-05-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and fabrication methods thereof
US9766536B2 (en) * 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
US10527928B2 (en) * 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
US11086209B2 (en) * 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1065532A2 (en) * 1999-07-02 2001-01-03 Asm Lithography B.V. Multilayer extreme-ultraviolet mirror with enhanced reflectivity and lithographic projection apparatus comprising the mirror
CN101558359A (zh) * 2006-11-16 2009-10-14 株式会社尼康 表面处理方法及表面处理装置、曝光方法及爆光装置、以及元件制造方法
NL2003396A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
CN103592816A (zh) * 2012-08-17 2014-02-19 国际商业机器公司 一种极紫外光刻掩模和其制造方法
WO2014129527A1 (ja) * 2013-02-22 2014-08-28 Hoya株式会社 反射型マスクブランクの製造方法、及び反射型マスクの製造方法

Also Published As

Publication number Publication date
US20180314144A1 (en) 2018-11-01
US20230384663A1 (en) 2023-11-30
US11086209B2 (en) 2021-08-10
TWI745502B (zh) 2021-11-11
US20210364907A1 (en) 2021-11-25
TW201839495A (zh) 2018-11-01
CN108803231A (zh) 2018-11-13
US11809075B2 (en) 2023-11-07

Similar Documents

Publication Publication Date Title
US11086227B2 (en) Method to mitigate defect printability for ID pattern
US9488905B2 (en) Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9285671B2 (en) Mask for use in lithography
US11809075B2 (en) EUV lithography mask with a porous reflective multilayer structure
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
US11073755B2 (en) Mask with multilayer structure and manufacturing method by using the same
US9996013B2 (en) Extreme ultraviolet lithography process and mask
US9442384B2 (en) Extreme ultraviolet lithography process and mask
CN108227414B (zh) 掩模结构与掩模制程方法
KR101690373B1 (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US11982936B2 (en) Photomask and method of fabricating a photomask
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant