TW201729008A - 極紫光微影製程方法 - Google Patents
極紫光微影製程方法 Download PDFInfo
- Publication number
- TW201729008A TW201729008A TW105143316A TW105143316A TW201729008A TW 201729008 A TW201729008 A TW 201729008A TW 105143316 A TW105143316 A TW 105143316A TW 105143316 A TW105143316 A TW 105143316A TW 201729008 A TW201729008 A TW 201729008A
- Authority
- TW
- Taiwan
- Prior art keywords
- pattern
- offset
- features
- lithography
- illumination
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 158
- 230000008569 process Effects 0.000 title claims abstract description 81
- 238000000233 ultraviolet lithography Methods 0.000 title description 8
- 238000001459 lithography Methods 0.000 claims abstract description 65
- 230000005855 radiation Effects 0.000 claims abstract description 56
- 238000013461 design Methods 0.000 claims abstract description 48
- 239000000758 substrate Substances 0.000 claims abstract description 43
- 210000001747 pupil Anatomy 0.000 claims abstract description 31
- 239000004065 semiconductor Substances 0.000 claims abstract description 14
- 238000000206 photolithography Methods 0.000 claims description 9
- 238000003384 imaging method Methods 0.000 abstract description 15
- 238000001900 extreme ultraviolet lithography Methods 0.000 abstract description 5
- 238000005286 illumination Methods 0.000 description 105
- 239000000463 material Substances 0.000 description 48
- 230000000694 effects Effects 0.000 description 38
- 230000003287 optical effect Effects 0.000 description 31
- 230000002745 absorbent Effects 0.000 description 27
- 239000002250 absorbent Substances 0.000 description 27
- 230000005404 monopole Effects 0.000 description 27
- 239000010410 layer Substances 0.000 description 26
- 238000012937 correction Methods 0.000 description 24
- 238000004088 simulation Methods 0.000 description 17
- 238000010586 diagram Methods 0.000 description 16
- 239000011295 pitch Substances 0.000 description 14
- 238000012986 modification Methods 0.000 description 13
- 230000004048 modification Effects 0.000 description 13
- 238000004458 analytical method Methods 0.000 description 11
- 239000011358 absorbing material Substances 0.000 description 10
- 229920002120 photoresistant polymer Polymers 0.000 description 10
- 239000006096 absorbing agent Substances 0.000 description 9
- 230000008859 change Effects 0.000 description 8
- 230000001965 increasing effect Effects 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 7
- 238000000059 patterning Methods 0.000 description 6
- 238000009826 distribution Methods 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 238000002310 reflectometry Methods 0.000 description 4
- 229910004535 TaBN Inorganic materials 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- -1 aluminum-copper chromium Chemical compound 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000007405 data analysis Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 238000006073 displacement reaction Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 1
- 238000001015 X-ray lithography Methods 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- XTDAIYZKROTZLD-UHFFFAOYSA-N boranylidynetantalum Chemical compound [Ta]#B XTDAIYZKROTZLD-UHFFFAOYSA-N 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 229910000423 chromium oxide Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000012938 design process Methods 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000001352 electron-beam projection lithography Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000007737 ion beam deposition Methods 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
- G03F1/24—Reflection masks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/36—Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70008—Production of exposure light, i.e. light sources
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70058—Mask illumination systems
- G03F7/70091—Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
- G03F7/70433—Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/705—Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Theoretical Computer Science (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- General Engineering & Computer Science (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Abstract
一種極紫光微影製程方法,適用於一半導體裝置,步驟包括:提供一積體電路之特徵之一圖案;選取一極紫光波長輻射束之一光瞳之一配置,其中上述配置係為一非對稱、單極配置;判斷特徵之圖案介於一模擬成像以及一設計成像之間之至少一偏差;修改一參數以處理上述至少一偏差,其中參數為一設計特徵、一光罩特徵以及一微影製程參數之至少一者;以及利用選取之配置以及修改參數將上述特徵之圖案曝光至一基板上。
Description
本發明實施例係有關於極紫光微影製程方法。
半導體積體電路(integrated circuit,IC)已經歷快速成長。積體電路材料及設計之技術演進,已開創積體電路之各個世代,其中每一世代相較於前一個世代具有更小且更複雜之電路。於積體電路之演進過程中,功能密度(functional density,即單位晶片面積的內連元件數量)已廣泛地增加,而幾何尺寸(geometric size,即使用製程所能造出之最小元件尺寸)已縮減。上述之演進通常透過提高生產效率以及降低相關成本以提供利益。而上述之演進亦增加積體電路處理以及製造之複雜性。為了這些演進,積體電路之處理以及製造需相似之對應發展。舉例來說,執行更高解析度之微影製程之需求係逐漸增加。微影技術其中之一為極紫光微影(EUVL)。其它技術包括X射線微影、離子束投影微影、電子束投影微影以及多重電子束無遮罩微影。
極紫光微影係採用利用極紫光(EUV)區域中的光之掃描器。極紫光掃描器係於反射遮罩上所形成之吸收層(”極紫光”遮罩吸收材)上提供預期之圖案。對於極紫光輻射而言,所有材料皆為高度吸收的。因此,係使用反射光學器件而
非折射光學器件;亦使用反射遮罩。期望可執行具有更高之圖像對比度之極紫光製程,同時可準確地反映包括目標基板上之圖案設置之設計需求。
本發明一實施例提供一種用於半導體裝置之極紫光微影製程方法,步驟包括:提供一積體電路之特徵之一圖案;選取一極紫光波長輻射束之一光瞳之一配置,其中配置係為一非對稱、單極配置;判斷特徵之圖案之一模擬成像與一設計成像之間的至少一偏差;修改一參數以處理上述至少一偏差,其中參數為一設計特徵、一光罩特徵以及一微影製程參數之至少一者;以及利用選取之配置以及修改參數將特徵之圖案曝光至一基板上。
本發明另一實施例提供一種用於積體電路特徵之圖案優化方法,包括:模擬用於一積體電路之特徵之一圖案之一極紫光微影製程,其中模擬之步驟包括定義一單一且非對稱極之照射模式;判斷介於上述模擬之步驟以及特徵之圖案之一設計之間之至少一偏差,其中至少一偏差係為一圖案偏移、一最佳對焦偏移以及一散焦圖案偏移之一者;以及修改極紫光微影製程之一參數或者特徵之圖案以減少上述至少一偏差。
本發明另一實施例提供一種圖案曝光方法,包括:選取一極紫光波長輻射束之一照射模式,其中照射模式為一非對稱配置;判斷介於利用非對稱配置曝光之一圖案以及設計資料中所定義之一對應圖案之間之至少一偏差,其中至少一偏差為一圖案偏移、一最佳對焦偏移以及一散焦圖案偏移之一
者;決定一補償參數以減少至少一偏差,其中決定補償參數之步驟包括提供至少一模型以及一規則以選取補償參數;以及利用選取之照射模式以及補償參數將特徵之圖案曝光至一基板上。
100‧‧‧微影製程方法
102-114‧‧‧步驟流程
1100‧‧‧基板曝光方法
1102-1112‧‧‧步驟流程
1200‧‧‧微影系統
1202‧‧‧輻射源
1204‧‧‧照射器
1206‧‧‧遮罩
1208‧‧‧投影系統
1210‧‧‧基板
1212‧‧‧遮罩台
1300‧‧‧計算系統
1302‧‧‧處理器
1304‧‧‧非暫態電腦可存取儲存介質
1306‧‧‧視頻控制器
1308‧‧‧網路通訊裝置
200‧‧‧圖案
202‧‧‧主要特徵
204‧‧‧場
302‧‧‧吸收材材料
304‧‧‧多層膜堆疊
308‧‧‧遮罩
310‧‧‧輻射
310A‧‧‧光瞳
312‧‧‧第一極
314‧‧‧第二極
316‧‧‧反射之輻射
380‧‧‧遮罩
400、404‧‧‧光瞳
408、410、412、414‧‧‧極
502‧‧‧空間圖像
600‧‧‧方法
602-608‧‧‧步驟流程
A、B、C‧‧‧入射角
a‧‧‧角度
H‧‧‧高度
O‧‧‧原點
r1‧‧‧半徑
本發明實施例可透過閱讀以下之詳細說明以及範例並配合相應之圖式以更詳細地了解。需要強調的是,依照業界之標準操作,各種特徵部件並未依照比例繪製,並且僅用於說明之目的。事實上,為了清楚論述,各種特徵部件之尺寸可以任意地增加或減少。
第1圖係顯示根據本發明各種實施例中所述之微影製程之流程圖。
第2圖係顯示根據本發明一實施例所述之示例性積體電路之示意圖。
第3圖係顯示根據本發明一實施例所述之入射遮罩之輻射束之示意剖視圖。
第4A、4B、4C、4D圖係顯示根據本發明一個或者多個實施例所述之用於光瞳之照射模式是各種示範實施例之示意俯視圖。
第5圖係顯示根據本發明一個或者多個實施例所述之各個照射模式之空間圖像之圖示。
第6圖係顯示根據本發明一個或者多個實施例所述之提供補償參數方法之流程圖。
第7、8A、8B、9A以及9B圖係顯示有關第1圖中所示之方
法實施例之關於偏差問題之資料和/或補償參數之圖示。
第10A圖係顯示根據本發明實施例所述之過間距散焦圖案偏移(through pitch defocus pattern)之圖示。
第10B圖係顯示根據本發明實施例所述之選擇間距散焦圖案偏移(selected pitch defocus pattern)之圖示。
第10C圖係顯示根據本發明一些實施例所述之用於一給定光瞳配置之基於圖案密度之反射之表格。
第10D以及10E圖係顯示根據本發明實施例所述之用以改變光的散射之補償參數應用之實施例。
第11圖係顯示根據本發明實施例所述之於基板上執行微影曝光製程之實施例之流程圖。
第12圖係顯示用以實施包括第11圖中所示之方法之微影系統之示意圖。
第13圖係顯示用以實施包括第1以及6圖中所示之方法之電腦系統之示意圖。
本發明接下來將會提供許多不同的實施例以實施本發明中不同的特徵。各特定實施例中的組成及配置將會在以下作描述以簡述本發明。這些為實施例並非用於限定本發明。此外,一第一元件形成於一第二元件“之下”或“上”可包含實施例中的該第一元件與第二元件直接接觸,或也可包含該第一元件與第二元件之間更有其它額外元件使該第一元件與第二元件無直接接觸。此外,在本說明書的各種例子中可能會出現重複的元件符號以便簡化描述,但這不代表在各個實施例及
/或圖示之間有何特定的關連。
此外,空間相關術語,例如“下面(underlying)”、“下方(below)”、“下部(lower)”、“上方(overlying)”、“上部(upper)”等空間相關術語在此被用於描述圖中例示之一個元件或特徵部件與另一元件或特徵部件之關係。空間相關術語可包括設備於使用或操作中除了圖中描繪之方位以外之不同方位。設備可以其它方式被定向(旋轉90度或處於其它方位),並且在此使用之空間相關描述詞應可被相應地理解。
請參閱第1圖。第1圖係顯示一種於基板上成像圖案之微影製程方法100。值得注意的是,微影製程方法100包括於基板上成像圖案之步驟,但於其它實施例中,此一步驟並非為必需的。舉例來說,微影製程方法100之實施例可決定與圖案相關之微影和/或設計之參數或者特徵,並可儲存或者以其它方式保存這些參數以便實施於後續之成像製程中。同樣地,微影製程方法100可包括其它在此未具體示出之步驟;和/或在此所示之步驟可以以與微影製程方法100之流程圖中所述之順序不同之順序執行。
微影製程方法100可用以決定參數和/或利用所述之參數對半導體裝置(例如積體電路)執行微影製程。然而,本領域技術人員可理解其它裝置(例如LED、微機電系統(micro-electromechanical systems,MEMS)和/或其它包括或者不包括積體電路之裝置)亦可受益於本發明實施例之技術內容,並位於本發明實施例所揭露之範圍內。
微影製程方法100亦可定義和/或執行極紫光輻射
微影(extreme ultraviolet lithography,EUVL)製程。極紫光輻射(亦可稱為極紫外光)包括波長範圍位於約1~100奈米之間之輻射。於一特定之實施例中,極紫光微影利用波長約為13.5奈米之光線。(值得注意的是,本領域技術人員應可理解本發明實施例中所使用之數值或者數值之範圍包括相應於裝置製造步驟和/或系統操作之控制參數於一定範圍內偏離特定值之數值或範圍。)微影製程方法100亦可適用於已知或者後續所發展之具有其它波長之微影製程(例如深紫外線(Deep UV,DUV)微影製程、x射線(例如軟x射線)微影製程)。
微影製程方法100起始於方塊102,提供一圖案。此圖案可為積體電路(IC)之一部份,並包括例如欲利用單一微影製程(例如設置於單一遮罩上)所形成之特徵。參考第2圖之示例,第2圖係顯示包括多個主要特徵(主多邊形)202之圖案200。不具有主特徵之剩餘區域被稱為場(field)204。主多邊形係為積體電路特徵或者積體電路特徵之一部份,圖案200將成像至基板(例如晶圓)上。示例性的主要特徵202可包括例如定義介層窗接點層中之介層窗接點(或者接點層中之接點)之穿孔開口、內連線、閘極結構線、摻雜輪廓、主動區、芯軸或者其它例如使用於雙圖像化製程(double patterning process)中之特徵、和/或半導體裝置以及積體電路之典型的以及形成於半導體基板上之各種其它特徵。主要特徵202具有寬度W並具有間距P。儘管寬度W以及間距P於圖案200中係為常數,但並非必要的。同樣地,主要特徵202具有一垂直方位。於其它實施例中,主要特徵202可為正交的、水平的、肘狀的
(elbow-shaped)、和/或具有半導體裝置之特徵之任何其它典型配置。
於一實施例中,圖案200係作為積體電路設計(例如積體電路設計製程之邏輯設計階段、物理設計階段、佈局與繞線階段、和/或其它階段)之一部份。於一實施例中,圖案200係位於具有多邊形(如圖所示)之資料檔案(例如GDSII檔案格式、DFII檔案格式、和/或其它典型之佈局格式)中。於一實施例中,圖案200係為用以形成光罩之資料準備(data preparation)所定義的設計之一部份。圖案200係顯示主要特徵202,對為光罩所準備之設計資料而言,這些特徵可具有應用於例如襯線(serif)、改變之邊緣、散射條(scattering bars)或者其它次解析輔助圖案(sub-resolution assist features;SRAF)(未顯示於第2圖中)之各種光學鄰近效應修正(OPC)特徵。以下將提出關於這些光學鄰近效應修正特徵之討論。於一些實施例中,圖案200係顯示形成於如下所述之光罩本身上之圖案(或者部份圖案)。
接著,微影製程方法100進入方塊104,選擇一照射模式。本發明實施例所使用之照射模式係描述輻射之配置或者位置,或換言之,照射系統之光瞳平面中輻射束之空間強度分佈。因此,照射模式亦可稱為光瞳之配置。於方塊104中所選擇之照射模式可為非對稱照射模式。除此之外,非對稱照射可為光瞳之單極(亦稱為”monopole”),其中單極係自光瞳之中心偏移,或換言之,為非對稱定位的。
光瞳可為來自極紫光輻射之完全可用照明場。因
此,照射模式係為光瞳之確定部份(determined portion)。如下所述,照射模式可由可控照射模式選擇裝置提供,可控制照射模式選擇裝置包括例如可切換反射鏡、濾光器、波帶片(zone plate)、磁性元件、反射元件、或者其它用以引導、成形以及控制極紫光輻射之元件。利用給定之照射模式提供空間強度分佈之圖案化裝置(例如光罩)之平面上係提供輻射相對於圖案化裝置之入射角之給定分佈,以下將配合第3圖提出更詳細之說明。
為了達到預期之目的(包括於用以曝光給定圖案之微影製程期間強化極紫光之強度),照射模式係根據方塊102之積體電路圖案決定。因此,方塊104中所選擇之照射模式可對應至積體電路之一特定層或一個層之部份。可對積體電路之每個圖案重複微影製程方法100;因此,可對應於積體電路之不同層和/或積體電路中之不同圖案選擇和/或使用不同之照射模式。
第3圖係顯示光瞳(標示為310A)的輻射310。第3圖係顯示具有配置為雙極照射模式(dipole illumination mode)之輻射310。第一極312(亦稱為上極(up-pole))以及第二極314(亦稱為下極(down-pole))係顯示於圖中,藉此以提供雙極配置(例如y雙極配置表示其係定向於光瞳310A之y軸上)。第3圖係顯示入射至圖案化裝置上、遮罩380上、以及介於入射輻射之第一極312以及第二極314之間之不同入射角之分佈。圖中更顯示經遮罩308反射後之一已圖案化、反射之輻射316。
以下將提出有關第3圖中之元件之更詳細描述。第3圖係顯示用於極紫光遮罩308(亦稱為光罩(photomask)或者光罩(reticle))之輻射束310。典型地,遮罩308包括沉積於基板306上之多層膜堆疊304(multi-layer film stack)。可選擇多層膜疊堆304使其對所選擇之用於相關微影製程中之輻射類型和/或波長提供高反射率。於一典型之示例中,多層膜堆疊304中之膜對(film pair)之數量範圍為20至80,然而亦可為任何數量之膜對。作為一個示例,通常約40至50個交替的矽層與鉬層係形成於基板上用以反射輻射。或者多層膜304可包括Mo/Be膜對或者於給定波長下具有高反射率之任何合適之材料。每層多層膜堆疊304之厚度係取決於給定之波長。
基板306之低熱膨脹材料((low thermal expansion material,LTEM)可包括TiO2、摻雜之SiO2(doped SiO2)、或者具有低熱膨脹性質之其它低熱膨脹材料。吸收材材料(亦可為圖案化膜)302可設置於多層膜304上以圖案化入射之輻射束。吸收材材料302可作為自多層膜304所反射之入射輻射的吸收材料和/或相移材料(phase shift material)。因此,吸收材材料302可被稱為吸收材(absorber)。吸收材材料302可定義將成像於目標基板上之主要特徵,例如前述第2圖中所討論之主要特徵,並定義半導體裝置或者其一部份(例如接點、閘極結構、內連線、介層窗接點等)。吸收材材料302亦可被圖案化以包括次解析輔助圖案(SRAFs),例如散射條纹。於一實施例中,吸收材材料302為氮化鉭、鉭氮化硼、碲、鉭硼氧化物、鉻、氧化鉻、氮化鈦、鉭、鈦或者鋁-銅鉻,包括氮化物、
氧化物以及其合金。於一些實施例中,吸收材材料302可包括多個層。
光罩308之每一層可透過各種方法形成,包括物理氣相沉積(physical vapor deposition,PVD)程序、電鍍程序、化學氣相沉積(chemical vapor deposition,CVD)程序、離子束沉積、旋轉塗佈(spin-on coating)、金屬有機物分解法(metal-organic decomposition,MOD)、和/或本領域已知之其它方法。
回到輻射束的說明,遮罩308之照射可為離軸照射(off-axis illumination,OAI)。離軸照射可透過介於中射線軸線(mid-ray axis)以及垂直於遮罩平面入射輻射310之方向之間之角度定義,即如第3圖所示之角度A。於一實施例中,輻射310具有大約6度之角度A。可調整入射角A以實現於每個介面反射之輻射產生最大建設性干涉(constructive interference)以及多層膜304之輻射之最小吸收。
儘管介於中射線軸線以及遮罩之垂直方向之間之入射角為A,如第3圖所示,整個光瞳實際上係呈現自B至C度變化之入射角範圍。於一實施例中,角度B至C之範圍自大約1度至大約11度。換言之,上極312係經歷相對於遮罩308之垂直方向大約為B之入射角;而下極314則經歷相對於遮罩308之垂直方向大約為C之入射角。入射角C可大於入射B。隨著入射角改變,每一極之反射光316之角度亦隨之改變。以下將討論因光罩之3D效應,使該變化涉及圖案之再現差異。
極紫光遮罩具有圖案化(吸收材)層,而此圖案
化層係沉積於會引入遮罩拓樸效應(topography effect)之反射多層堆疊的堆疊之上。對於整個光瞳而言,如圖所示,其角度範圍(例如A、B、C)可取決數值孔徑(numerical aperture,NA)以及遮罩上以角度A為中心之系統的照明。吸收層之圖案以及其高度H係產生一”遮影效應(shadow effect)”,其係取決於來自照射光瞳之入射角。使用如圖所示之雙極照射模式,雙極之底極(bottom pole)具有較大之離軸入射角,而頂極(top pole)相對於遮罩之垂直方向則具有小的入射角。由於上述之差異,兩個極部份地因為3D光罩特徵(例如吸收層)之遮影效應而經過非常不同之成像條件。如第3圖所示,更多來自極312的光被吸收材所阻擋。同時,由於極314具有較小之角度,因此具有較少之遮影衝擊(shadowing impact)。遮蔽衝擊取決於吸收材之厚度以及光的斜向入射(oblique incidence)。由於來自底極的光具有較大之入射角,因此更多輻射被吸收材所阻擋,而來自頂極之輻射具有較小之角度,因此遮影效應之衝擊較小。具體地以第3圖作為示例,具有高度H之吸收材材料302影響反射之輻射束316之能量。隨著底極314以及頂極312之角度不同,吸收材材料302之干擾(例如遮影效應)將以不同之方式影響來自每個極314、312的光。
考慮到這一點,本發明實施例發現光瞳中的極在不同配置下可提供了不同之反射率、3D遮罩之遮蔽之不同效果和/或對由光罩308所定義之圖案之再現性之不同影響(例如吸收材材料302)。舉例來說,可透過遮罩(例如吸收材)之3D(陰影)效應來減少圖像之對比度。利用這一點,本發明實施
例係提供用以增加圖像對比度之方法以及系統,例如透過給定圖案之非對稱單極照射模式之選擇性決定(例如選擇極的位置、半徑以及尺寸)。
繼續微影製程方法100之方塊104之討論,選擇用以配置極紫光輻射之光瞳之照射模式的步驟可包括判斷非對稱單極照射模式之多個特徵。這些特徵可包括極之大小(”開啟”部份之直徑)、光瞳中極的徑向位置、來自給定原點之角度、和/或光瞳之極的其它特徵。第4A、4B、4C以及4D係顯示非對稱單極照射模式之示例性以及非限制性之實施例(除非所附之申請專利範圍中所具體描述之範圍)。再次說明,這些照射模式僅用以作為示範實施例,以及單極可位於其它實施例中之光瞳之中心算起之任何半徑處,並且與光瞳上之給定原點成任何角度。於第4A、4B、4C以及4D圖中,較大之圓表示全光瞳,而虛線點表示極,包括其照明角、徑向位置以及光瞳中之大小。
參閱第4A圖之示例,圖中係顯示光瞳400中具有非對稱配置之單一的極408。極408相對於由光瞳400之原點O所定義之軸線係配置為大約180度。極408亦可作為下極。
於第4A圖中,照射模式具有單極408,其中單極408係為自放射源傳遞光之”開啟”狀態之區域,而光瞳400之其它部份則為”關閉”狀態(例如事先使用適當之反射鏡、波帶片、濾光器、孔隙等阻擋輻射)。對第4A圖中之示例而言,單極408之極紫光將被引導至遮罩,而”關閉”部份將不被傳遞。於一實施例中,單極408係提供0.91之對比度。於一實施例中,單極
408係提供2.88之常態化影像指數斜率(normalized image log slope,NILS)。於一實施例中,單極408係提供8.07之曝光寬容度(exposure latitude,EL)。
參閱第4B圖之示例,圖中係顯示光同402中具有非對稱配置之單一的極410。極410相對於光瞳402之原點O所定義之軸線係配置為大約0度。極410亦可作為上極。
於第4B圖中,照射模式具有單極410,其中單極410係為自放射源傳遞光之”開啟”狀態之區域,而光瞳402之其它部份則為”關閉”狀態(例如事先使用適當之反射鏡、波帶片、濾光器、孔隙等阻擋輻射)。對於第4B圖中之示例而言,單極410極紫光將被引導至遮罩,而”關閉”部份不被傳遞。於一實施例中,單極410係提供0.79之對比度。於一實施例中,單極410係提供2.48之常態化影像指數斜率。於一實施例中,單極410係提供6.94之曝光寬容度。
參考第4C圖之示例,圖中係顯示光瞳404中具有非對稱配置之單一的極412。極412係配置為與光瞳404之原點O形成一角度”a”。於所示之實施例中,角度”a”相對於光瞳404之原點O所定義之軸線大約為45度。然而,在其它實施例中,單極之角度可為其它之角度。
如前所述,於第4C圖中,照射模式具有單極412,其中單極412係為自放射源傳遞光之”開啟”狀態之區域,而光瞳404之其它部份則為”關閉”狀態(例如事先使用適當之反射鏡、波帶片、濾光器、孔隙等阻擋)。對第4C圖中之示例而言,單極412之極紫光將被引導至遮罩,而”關閉”部份則不會被傳
遞。
參閱第4D圖之示例,圖中係顯示光瞳406中具有非對稱配置之單極414。極414相對於光瞳406之原點O所定義之軸線係配置為大約195度;然而,在其它實施例中,亦可為其它之相對位置。極414更顯示極414係設置於距離光瞳406之中心點半徑”r1”處。r1可為大於0之任何值,其中極仍然位於光瞳406之邊界內。值得注意的是,於任何給定之r1處,該相同之配置亦適用於第4A、4B以及4C圖之極408、410以及412。
於第4D圖中,照射模式具有單極414,其中單極414係為自放射源傳遞光之”開啟”狀態之區域,而光瞳406之其它部份則為”關閉”狀態(例如事先使用適當之反射鏡、波帶片、濾光器、孔隙等阻擋輻射)。對第4D圖中之示例而言,單極414之極紫光將被引導至遮罩,而”關閉”部份將不會被傳遞。第4D圖係顯示照射模式亦可包括調整輻射束之分佈(例如極之半徑)。極的外徑向長度(σ outer)和/或內徑向長度(σ inner)亦可被改變。
方塊104中所選擇之照射模式可根據方塊102中所提供之圖案來選擇。舉例來說,於一些實施例中,可了解照射模式對於類似之圖案類型為有效的。僅作為一個示例,可選擇極相對於光瞳之原點之角度,使其平行於圖案之主要特徵之配置。
接著,微影製程方法100進入方塊106,執行一模擬。該模擬係提供使用方塊104所選擇之非對稱照射模式下方塊102之圖案的有效成像程度分析。該模擬可包括產生和/或分
析所選擇之照射模式的圖像對比度之空間圖像。空間圖像可提供與其它照射模式或配置比較之相對圖像對比度。這將於後續之第5圖提出更進一步之討論。該模擬亦可提供圖案之模擬輪廓的輸出,其可與圖案之設計輪廓(例如由設計資料所定義之圖案)進行比較。於一實施例中,模擬輪廓係與圖案之佈局設計進行比較。
參閱第5圖之示例,圖中係顯示y軸為強度以及x軸為奈米之空間圖像。對給定圖案而言,空間圖像係顯示單極曝光(例如單極上(pole_up)(參閱第4A圖)以及單極下(pole_down)(參閱第4B圖))係提供了相較於雙極照射配置更高之圖像對比度(NILS)。空間圖像之比較可用以選擇於圖案之生產中實現具有較高圖像對比度之極配置。
該模擬可參考吸收材之圖案(例如位置、高度、材料類型)來考量極之入射角(離軸照射),參閱第3圖,以決定圖像之對比度和/或圖案之再現之有效性。
於微影製程方法100以及方塊106之實施例中,除了模擬之外或者代替模擬,可透過分析使用所選擇之非對稱照射模式進行曝光之測試晶圓選擇最佳非對稱照射模式。
如前所述,分析模擬和/或測試結果以決定非對稱照射是否提供合適之圖像對比度和/或圖案再現性。若是,則微影製程方法100進入方塊110,在此將所選擇之非對稱照射模式設定至給定圖案(方塊102)。若需要額外之圖像對比度,則方法100回到方塊104,於方塊106中選擇以及分析額外和/或替代之非對稱照射模式,直到決定與方塊102之圖案相關之適
當照射模式為止。於一些實施例中,所選擇之合適照射模式係為非對稱之單極照射模式。
接著,微影製程方法100進入方塊112,決定以及應用(或套用)補償參數。補償參數係用以調整設計所定義之圖案的輪廓以及位置與使用所選擇之照射模式成像圖案時所產生之圖案的輪廓以及位置(例如使用非對稱單極照射模式)之間的任何差異。
差異可包括[1]圖案偏移、[2]最佳對焦偏移、和/或[3]散焦圖案偏移(defocused pattern shift)。圖案偏移之偏差(disparity)係為成像圖案相對於設計圖案於橫向方向上之位置(沿x或y方向之位移)任何長度(奈米)之改變。最佳對焦偏移為極紫光曝光期間最佳對焦平面之深度(沿z方向之距離)之移動。散焦圖案偏移係指透過散焦(例如焦平面外)於x或y方向上之圖案偏移量(距離)。
前述之用以補救和/或減少上述差異之補償參數包括(但不限於)對於積體電路設計之修改、於下線後對設計資料之設計之修改(包括由OPC(包括光罩增強技術、次解析輔助圖案、散射條、主要特徵形狀、尺寸或者位置之改變;在本發明實施例中被稱為OPC)所執行之修改)、用於可製造性設計(design for manufacturability,DFM)技術之修改(包括設計以及製程修改)、對設計資料上(例如佈局文件或透過OPC規則或模型)所執行之裝置特徵佈局(例如形狀或者大小)之修改、製程參數之修改(包括例如焦深(depth of focus)、劑量、數值孔徑(NA)之照射參數)。這些補償參數之調整用
以減少和/或消除經歷非對稱單極照明後所產生之差異。於實施例中,一個或者多個這些補償參數中之調整係解決圖案偏移、最佳對焦偏移、和/或散焦圖案偏移之問題。
於實施例中,微影製程方法100包括透過應用模型或者基於規則之決定以確定需要哪些補償參數以減輕差異(例如圖案偏移、最佳對焦偏移、散焦圖案偏移)。這些模型以及規則表可透過自一個或者多個圖案之模擬和/或實驗曝光所收集之數據來構建。這些模型和規則亦可包括於其它製程中所使用之資料庫,例如光學鄰近效應修正模型以及規則。第6圖係顯示建立和/或使用這些模型以及規則以決定補償參數之一實施例。
因此,第6圖之方法600更詳細地顯示第1圖之微影製程方法100之方塊112的一實施例。方法600開始於方塊602,其提供決定之照射模式以及極配置。方塊602可基本上類似於前述第1圖之微影製程方法100之方塊104、108和/或110。
方法600接著進入方塊604,執行使用方塊602所提供之照射配置而產生之圖案圖像的分析。此分析可包括運作多個模擬和/或測試多個晶圓,以理解如何於成像過程中利用所提供之照射配置呈現或再現給定圖案。這些模擬可包括例如圖案配置(例如特徵間距、寬度、方位、密度)、光罩材料以及配置(例如提供遮影效應之吸收材材料以及高度)、輻射波長、離軸照射角度、微影參數(例如聚焦深度、和/或微影模擬之其它典型輸入)。
於方塊604中所執行之分析係提供了圖案成像差
異之辨識。差異可包括[1]圖案偏移、[2]最佳對焦偏移、和/或[3]散焦圖案偏移。圖案偏移之偏差係為成像圖案相對於設計圖案於橫向方向上之位置(沿x或y方向之位移)任何長度(奈米)之改變。最佳對焦偏移為極紫光曝光期間最佳對焦平面之深度(沿z方向之距離)之移動。散焦圖案偏移為極紫光曝光期間相對於偏離對焦平面之圖案放置位置之改變。可使用模擬和/或實驗數據以辨識偏差。以下將參考方塊606以及補償參數之決定討論用以分析以及偏差辨識(透過模擬和/或實驗實施例提供)之一些工具。
方法600接著進入方塊606,建立模型以及規則以決定於方塊604中所辨識之偏差的補償參數。所應用之規則以及模型可透過模擬數據和/或實驗數據產生或者建立。於實施例中,規則以及模型係儲存於電腦可讀取媒介中,其係提供與給定圖案類型所辨識之每種類型之偏差相關之補償參數。規則以及模型可包括光學鄰近效應修正(OPC)規則以及模型。
以下所討論之每個差異包括分析數據(參閱第7~10圖),其係顯示如何取得數據(模擬和/或實驗結果),然後分析以建立關於補償參數選擇之決策工具,即以建立決定補償各種圖案之偏差之補償參數之一組模型和/或規則。
圖案偏移:
於一實施例中,辨識包括於給定方向(例如基板上橫向於x方向或者y方向)上產生給定奈米長度之圖案偏移之偏差。於實驗實施例中,可透過模擬和/或測試多個晶圓以辨識圖案偏移。於一實施例中,光學鄰近效應修正(OPC)之補
償參數可用以補償圖案偏移。舉例來說,光學鄰近效應修正規則和/或光學鄰近效應修正模型可辨識預測之圖案偏移。光學鄰近效應修正規則和/或光學鄰近效應修正模型可透過於相反方向上移動遮罩本身之特徵(例如主要特徵)以補償辨識之圖案偏移(透過光學鄰近效應或者其它方法辨識)。舉例來說,透過增加或者修改散射條或其它次解析輔助圖案以於相反方向上將圖案移動期望之距離。散射條或者次解析輔助圖案係為光學鄰近效應修正(OPC)特徵,可用以改變輻射之繞射等級(diffraction order),使其產生更平均的場(例如後續第10圖所討論)。(因此,散射條亦可用以移動最佳聚焦以考慮最佳對焦偏移之偏差,後續將提出討論)。
於一實施例中,辨識一方向上包括給定奈米長度之圖案偏移之偏差。於另一實施例中,於具有接近1之反射率(n)之光罩上提供吸收材之補償參數可用以補償和/或減少圖案偏移。
第7圖係顯示具有單極照射模式之水平圖案偏移。x軸係顯示主要特徵之間距,例如第2圖中所示之間距P。y軸係顯示於第一方向上一些奈米長度之偏移。圖中係顯示兩種吸收材類型”A”以及”B”,每個係使用同一照射模式之輻射進行照射(如第7圖之右上方之光瞳中所示)。如第7圖所示,第二吸收材類型(B)以相同之單極照明提供較少之偏移。因此,第7圖係顯示補償參數可包括修正或者改變光罩上之吸收材材料。於另一實施例中,具有n~1之吸收材係提供減少之圖案偏移。於一實施例中,第7圖中之吸收材A係為TaBN,以及吸收
材B係為Te。
最佳對焦偏移
於一實施例中,辨識於z方向上包括給定奈米長度之最佳對焦平面偏移之偏差。可透過模擬和/或實驗微影製程以辨識最佳對焦平面偏移。於一實施例中,決定改變或者修改光罩上之吸收材材料類型之補償參數。
參考第8A、8B圖之示例,圖中係顯示兩個吸收材類型A以及B,每個係使用同一照射模式之輻射進行照射(於第8A以及8B圖之右上方之光瞳中係顯示分別以單極上(single pole-up)以及單極下(single pole-up)照射)。y軸係顯示主要特徵之間距,例如第2圖中所示之間距P(例如於x方向上)。x軸係顯示最佳對焦(例如於z方向)之偏移之奈米尺寸。如圖所示,吸收材B(S_Up Best F_B以及S_Down Best F_B)相較於吸收材A(S_Up Best F_A以及S_Down Best F_A)具有更窄之最佳對焦範圍。於一實施例中,該範圍約為10奈米。於一實施例中,吸收材B具有較大之n值(例如更接近~1)。於另一實施例中,吸收材B係為Te,以及吸收材A係為TaBN。因此,圖中係顯示修改或者改變吸收材材料之補償參數係為減少和/或補償由單極照射模式所產生之任何增加最佳對焦範圍之一可能方法。
接著參閱第9A、9B圖,圖中係顯示第9A、9B圖中之光瞳所表示之單極照射模式之最佳對焦之圖形表示。第9A、9B圖係提供y軸為以奈米為單位之常態化至0之最佳對焦平面(例如於z方向上)以及x軸為以奈米為單位之主要特徵之間距
(例如於x方向上)。第9A圖係顯示具有吸收材A之光罩之照射;第9B圖係顯示具有吸收材B之光罩之照射。第9A、9B圖之資料點可透過實驗曝光和/或模擬產生,並且透過以奈米為單位之最大對焦平面(分別為A S_up M和B S_up M)以及以奈米為單位之最小對焦平面(分別為A S_up m和B S_up m)表示之。因此,提供兩個相應曲線之間之對焦平面之範圍。第9A、9B圖係提供最佳對焦點(分別為A S_Up Best F以及B S_Up Best F)。
第9A、9B圖之比較係顯示吸收材A以及B可對最佳對焦之偏移具有相對小的影響。於一實施例中,吸收材B具有較大之n值(例如更接近~1)。於另一實施例中,吸收材B係為Te,以及吸收材A係為TaBN。因此,圖中係顯示修改或者改變吸收材材料之補償參數係為減少和/或補償由單極照射模式所產生之最佳對焦範圍偏差之一可能方法。於一實施例中,最佳對焦偏移並不會影響圖案成像,因為單極曝光之共同焦點深度(depth of focus,DOF)並不會比雙極曝光更窄。
於一實施例中,辨識包括最佳對焦平面之偏移之偏差,並決定提供或者調整光學鄰近效應修正特徵(例如散射條或其它次解析輔助圖案或者主要特徵佈局的修改)之補償參數。如下所述,輔助特徵(例如散射條)可改變繞射等級(振幅和/或相位)以補償最佳對焦平面中之偏移。
最佳對焦平面亦可隨著不同光瞳設計偏移。因此,於一實施例中,辨識一,此偏差包括最佳對焦平面中之偏移,並且提供用以修改光瞳設計之補償參數(例如非對稱和/
或非對稱位置修改(例如角度等))。
散焦圖案偏移
於一實施例中,辨識一偏差,此偏差包括散焦圖案偏移。散焦圖案偏移可透過模擬和/或實驗微影製程辨識。於一實施例中,辨識並應用用以調整設計、製程或者相關之設計資料(例如光學鄰近效應修正特徵)之補償參數。
第10A圖係透過各種間距顯示散焦圖案偏移。如圖所示,y軸上係顯示以奈米為單位之圖案中心之偏移;x軸上係顯示以奈米為單位之散焦。將可理解的是,理想為近水平線或者斜率接近0。於一實施例中,第10A圖之資料之分析用以改變圖案之設計,使其間距提供斜率更接近零的線。舉例來說,54nm間距圖案可被修改或者被成像看似為22nm間距圖案,以提供接近零之斜率。於一實施例中,該修改係減小主要特徵之線寬,這將有效地減小具有小的特徵尺寸(例如20nm特徵尺寸)之積體電路之遮影效應。因此,第10A圖之資料分析係顯示可透過用於製造和/或設計改變(例如間距修改)之使用設計以處理散焦圖案偏移中之偏差。
上述之特徵亦顯示於第10B圖中,而第10B圖更顯示給定圖案間距之x軸以奈米為單位之散焦平面偏移以及y軸以奈米為單位之圖案中心偏移。於一實施例中,第10B圖顯示約66奈米之圖案間距。資料DOM_33 SB_0係顯示當使用不具有額外輔助特徵(例如散射條紋)之非對稱單極曝光圖案時,圖案之圖案偏移之資料集。如資料DOM_33 SB_0所示,其係經歷明顯之偏移(斜率大於0)。資料DOM_33 SB_6係顯示當使用
相同之非對稱單極但具有額外輔助特徵(例如散射條紋)曝光圖案時,圖案之圖案偏移之資料集。如資料DOM_33 SB_6所示,其並未經歷明顯之偏移(例如斜率接近零)。因此,第10B圖之資料分析係顯示可透過使用光學鄰近效應修正(例如散射條紋之放置)以處理散焦圖案偏移中之偏差。散射條紋或者其它光學鄰近效應修正特徵於一個間距之圖案中(例如間距66(第10B圖))之放置係允許圖案作用或者表現為其具有更有利之間距(例如間距為22nm(參閱第10A圖))以改善圖案散焦移位。
第10C、10D、10E圖係提供減少散焦圖案偏移之圖示。第10C圖之表格係顯示對給定之照射模式而言,照射模式之反射可提供有助於散焦圖案偏移之不平均散射等級。具有給定照射模式之入射光線因光罩之存在而被繞射為各種繞射等級,例如第0級繞射等級光線、第-1級繞射等級光線以及第+1級繞射等級、第-2級繞射等級光線等。由於圖案以及陰影之影響,收集並提供至用於曝光之基板之光線可為不同的,且有時為不平均的。透過第10圖之表格之圖案以及光瞳反射列之反射係顯示對於密集圖案、半隔離圖案以及孤立圖案而言,反射光線為不同的。此一不平均散射可引起散焦圖案偏移。因此,圖案之密度亦被考慮於建立用以決定補償參數之規則以及模型中。
補償參數可用以減少第10C圖之表格中所示之不平均散射等級,例如可製造性之設計以及其它次解析輔助圖案之散射條。可製造性之設計可改變曝光,使第二級繞射變得更
強以補償不平均(參閱第10C圖之表格之第二列)。第10D圖係顯示入射至具有圖案化吸收層302之遮罩308之光線,光線基本上係類似於第3圖所討論之內容。如第10D圖所示,其對應至第10C圖之表格之第2列,於反射之光瞳中並未取得第-2級之繞射光線。為了彌補上述之情況,第10E圖係透過增強第-2級之繞射光線使其於曝光製程中可被搜集器所取得。上述方法可透過修改佈局資料和/或光罩上之主要特徵(例如修改寬度)實現。可透過優化主要特徵尺寸、形狀或者間距以提供對繞射等級更佳之平均。進而提供第10A~10D圖中所示之”散焦圖案偏移之改進。舉例來說,於第10B圖中,將主要特徵之線寬自33nm調整至15nm使得斜率自0.2提高至0.01。或者或另外,可透過增加散射條紋或者其它光學鄰近效應修正特徵至圖案以平均繞射,以實現對繞射等級之較佳平均。舉例來說,增加散射條紋可使線1000之焦點深度變得更大。
因此,前述之討論係提供不同資料之收集以及分析,以及不同補償參數之辨識以及設定,以減少使用非對稱、單極照射模式之照明可能產生之偏差。資料以及分析技術可結合為模型和/或規則,使模型和/或規則可提供給定圖案以及給定照射模式、要應用之補償參數之決定或者選擇、以及參數之值。模型和/或規則可使用第6、7、8A、8B、9A、9B、10A、10B、10C以及10D圖中所呈現之資料以及分析以產生可應用於給定輸入圖案之模型和/或規則。方塊606中所提供之模型和/或規則可決定給定圖案之一個或者多個偏差之補償參數,並可以同樣之方式實施於任何數量之圖案。
方法600接著進入方塊608,設定補償參數。於一實施例中,可決定以及設定積體電路之給定圖案或者部份的補償參數。如前所述,補償參數之設定可包括對積體電路設計、物理佈局、遮罩資料(例如光學鄰近效應修正)、遮罩材料或者設計、製造(例如曝光)製程參數、和/或影響設計圖案以及成像圖案之再現性之旋鈕之修改。用於給定圖案之上述補償參數可儲存於電腦可讀取介質中,並可將補償參數應用於任何給定積體電路設計中之圖樣之製造設定(例如儲存庫)中。
因此,參考方法600以及方法100之方塊112,補償參數可加以選擇及應用。如前所述,於一實施例中,所辨識之偏差可為圖案偏移和/或散焦圖案偏移。第6圖之模型以及規則可用以透過選擇光學鄰近效應修正(OPC)之補償參數類型以決定補償參數。於另一實施例中,可透過多個散射條之補償參數值。散射條可以被選擇為使其對於圖案偏移之偏差以相反方向偏移圖案。其它光學鄰近效應修正特徵亦可用於以相反方向移動圖案,包括由基於光學鄰近效應修正規則或者基於模型之程序所提供之圖案。另外或者替代地,可選擇散射條使其提供反射輻射之繞射等級更平均之散射以處理散焦圖案偏移偏差。參閱例如第10D圖之分析。亦如前所述,於一實施例中,透過規則以及模型所辨識之用以處理圖案偏移之另一補償參數可為光罩上之吸收材圖案之配置。第6圖之模型以及規則可用以決定應用於可減少最佳對焦偏移之偏差之光罩之吸收材類型。模型以及規則可用以辨識反射率需求和/或特定材料。
亦如前所述,於一實施例中,所辨識之偏差可為
散焦圖案偏移。第6圖之模型以及規則可透過選擇用於可製造性之設計之補償參數類型以決定補償參數。於另一實施例中,補償參數值係修改等待圖案化之主要特徵之線寬。另外或者替代地,可選擇次解析輔助圖案使其提供反射輻射之繞射等級更平均之散射,以處理散焦圖案偏移偏差。參閱例如第10D圖之分析。
如前所述,於一實施例中,所辨識之偏差可為最佳對焦偏移。第6圖之模型以及規則可用以決定應用至光罩之可減少最佳對焦偏移之偏差之吸收材類型。模型以及規則可辨識反射率需求和/或特定材料。
再次參閱第1圖,於執行方塊112後,決定並應用包括如第6圖之方法600所討論之補償參數,微影製程方法100進入方塊114,使用所應用之補償參數將圖案曝光至基板。
方塊114包括使用極紫光微影製程之曝光,此極紫光微影製程具有設定之光瞳配置或者照射模式以及所使用之補償參數。方塊114係配合第11、12圖提出更進一步之討論。
第11圖提供曝光基板之方法1100。基板曝光方法100可提供第1圖之微影製程方法100之方塊114之實施例。基板曝光方法100係可結合第12圖之方塊圖,第12圖係顯示可用以執行基板曝光方法100之步驟之示例性極紫光微影系統1200。
基板曝光方法100開始於方塊1102,將光罩(遮罩或光罩)加載至微影系統。光罩可基本上類似於第3圖中所討論之極紫光光罩。光罩可包括如方法100之方塊102以及第2圖之示例所定義之圖案。圖案可具有應用於其上之某些補償參
數,包括改變線寬、應用光學鄰近修正特徵(例如散射條)和/或其它修改(例如第6圖中所討論)。微影系統可為極紫光微影系統,其示例性方塊圖係描述於第2圖中。第12圖係顯示用以執行微影曝光製程之微影系統1200之實施例。
於一實施例中,微影系統1200係設計為透過極紫光曝光基板1210上之光阻(”resist”或者”photoresist”)層之極紫光微影系統。極紫光微影系統1200採用輻射源1202以產生極紫光輻射(亦稱為極紫光),例如具有自約1nm至約100nm範圍內之波長之極紫光。於一特定示例中,極紫光輻射源1202係產生具有以約13.5nm為中心之波長之極紫光。示例性輻射源包括例如將氙、鋰、錫之元素轉換為等離子體狀態,但並不以此為限。
基板曝光方法100接著進入方塊1104,將基板(亦稱為晶圓)加載至極紫光微影系統中。第12圖係顯示極紫光系統1200中之基板1210。基板1210具有設置於其上之光阻(”resist”或者”photoresist”)層。光阻層對極紫光輻射為敏感的。
基板曝光方法100接著進入方塊1106,將微影系統之照射系統設定至與遮罩上所定義之積體電路圖案相關之照射模式。照射模式可為雙極照射、環形照明、全光瞳照明和/或單極照射模式,例如當執行第1圖之方法100時,前面所述以及包括第4A、4B、4C、4D圖中之示例。
極紫光微影系統1200係顯示照射模式系統1204。於各種實施例中,照射模式系統1204包括各種反射光學器件,
例如單個反射鏡或者具有多個反射鏡之反射鏡系統,以將來自輻射源1202之光線引導至遮罩1206上。於本實施例中,照射器1204可用以配置反射鏡以提供離軸照射(OAI)以照射遮罩1206。於實施例中,照射模式系統1204可用以提供光瞳之期望配置,例如,提供單極照射(例如非對稱)、雙極照射、環形照明或者全光瞳照射。於一些實施例中,例如如前所述,照射模式系統1204可用以提供包括由第4A、4B、4C以及4D圖中所示之光瞳之非對稱、單極配置。照射模式系統可包括可切換元件(例如反射鏡),以將極紫光反射至不同照射位置、濾光器或者孔徑、和/或以其它方法引導輻射。
基板曝光方法100接著進入方塊1108,使用所設置之照射模式對目標執行曝光製程。極紫光微影系統1200亦包括用以固定光罩1206之遮罩台1212(在本發明實施例中,遮罩、光罩(mask)以及光罩(reticle)之術語用於指相同之物件)。遮罩1206可為反射遮罩,例如第3圖所述之極紫光微影之典型遮罩。
極紫光微影系統1200亦採用投影系統1208以將遮罩1206之圖案成像至固定於微影系統1200之基板台1214上之目標1210(例如半導體晶圓)上。投影系統1208可具有折射光學部件或者反射光學步件。自遮罩1206反射之輻射(例如圖案化輻射)係透過投影系統1208進行收集。
基板曝光方法100接著進入方塊1110,執行顯影製程。顯影劑溶液可施加至曝光光阻。
基板曝光方法100更進入方塊1112,執行透過圖案
化光阻層對基板之製程。於一實施例中,透過圖案化光阻層之開口蝕刻基板或目標之材料層,從而將積體電路圖案轉移至基板或者下面之材料層。於更進一步之實施例中,下層材料層係為設置於半導體基板上之層間介電(interlayer dielectric)層。蝕刻製程將於對應之層間介電層中形成接點或者介層窗接點。於其它實施例中,蝕刻可用以形成閘極結構線。於另一實施例中,離子植入製程係透過圖案化光阻層之開口施加至半導體基板,從而根據積體電路圖案於半導體基板中形成摻雜特徵。於此情況下,圖案化光阻層係作為離子植入遮罩。亦可使用其它圖案化。
本發明之不同實施例中可存在各種優點。於一示例中,本發明之實施例之一優點係提供增強曝光強度和/或更高之圖像對比度。舉例來說,與例如雙極照射之對稱照射模式相比。於一些實施例中,此優點可透過選擇較佳之單極照射模式實現。單極照射可為非對稱的,需要進行某些補償以精確地再現所預期之圖案。然而,非對稱照射模式之優點可能涉及成像圖案以及預期設計圖案之間之其它偏差。這些偏差可透過開發辨識用以允許使用非對稱照射實現生產極紫光微影方法之補償參數之模型以及規則進行處理。
第13圖係為可用以執行本發明實施例之技術以及方法之計算系統1300之系統示意圖。計算系統1300可包括處理器1302(例如微控制器或者專用中央處理單元(CPU))、非暫態電腦可存取儲存介質1304(例如硬碟、隨機存取記憶體(RAM)、光碟(CD-ROM)等)、視頻控制器1306(例如圖
形處理單元(GPU))、以及網路通訊裝置1308(例如乙太網路控制器或者無線通訊控制器)。在這方面,於一些實施例中,計算系統1300為可編程的,並可編程為執行包括關於給定圖案之模擬照射模式、決定補償參數或值、和/或準備設計資料庫以形成光罩之程序。因此,可理解的是根據本發明之計算系統1300之任何操作可透過計算系統1300使用儲存於可由處理系統存取之非暫態電腦可讀取介質上或其中之相應指令實現。在這方面,計算系統1300可用以執行一個或者多個關於第1、6圖中所描述之任務、和/或產生第5、7、8A、8B、10A、10B圖之輸出。
本實施例可採用全硬體之實施例、全軟體之實施例或者包含硬體以及軟體元件之實施例之形式。此外,本發明之實施例可採用有形之電腦可存取之電腦程式產品或者提供可由電腦或者任何指令執行系統使用或者連接之程式碼之電腦可讀取介質之形式。為了描述之目的,有形之電腦可用或電腦可讀取介質可為可儲存供指令執行系統、設備或者裝置使用或與其連接之任何裝置。介質可包括非易失性記憶體,包括磁碟、固態硬碟、光學儲存裝置、快取記憶體、隨機存取記憶體(RAM)。
電腦系統1300可用以操作和/或與極紫光微影系統1200耦接。
因此,本發明一實施例中係提供一種用於半導體裝置之極紫光微影製程方法。提供一積體電路之特徵之一圖案。選取一極紫光波長輻射束之一光瞳之一配置。所選取之配
置為一非對稱、單極配置。判斷特徵之圖案介於一模擬成像以及一設計成像之間之至少一偏差。接著修改一參數以處理上述至少一偏差,其中參數為一設計特徵、一光罩特徵以及一微影製程參數之至少一者。利用所選取之配置以及修改參數將特徵之圖案曝光至一基板上。
根據本發明另一實施例,選取配置之步驟包括選取具有一更高的圖像對比度之非對稱、單極配置。
根據本發明另一實施例,至少一偏差為一圖案偏移、一最佳對焦偏移以及一散焦圖案偏移中之一者。
根據本發明另一實施例,修改參數之步驟包括調整一光學鄰近效應修正特徵。
根據本發明另一實施例,調整光學鄰近效應修正特徵之步驟包括增加一散射條。
根據本發明另一實施例,選取配置之步驟包括選取位於光瞳之一頂端邊緣之非對稱、單極配置。
根據本發明另一實施例,修改微影製程參數之步驟包括改變極紫光微影製程中之一焦深。
根據本發明另一實施例,修改設計特徵之步驟包括改變特徵部件之上述圖案中之一特徵的線寬。
根據本發明另一實施例,判斷偏差之步驟包括辨識一圖案偏移於一第一方向上之一偏移奈米長度,以及修改參數之步驟包括利用一光學鄰近效用修正技術於一第二方向上將圖案偏移上述偏移奈米長度。
本發明一實施例中亦提供另一方法。實施例包括
模擬用於一積體電路之特徵之一圖案之一極紫光微影製程,模擬之步驟包括定義一單一且非對稱極之照射模式。模擬可提供特徵之圖案之模擬圖像。判斷介於模擬圖像以及特徵之圖案之設計之間之至少一偏差。偏差可為一圖案偏移、一最佳對焦偏移、和/或一散焦圖案偏移。修改極紫光微影製程或者特徵之圖案之一參數以減少至少一偏差。
根據本發明另一實施例,修改參數之步驟包括修改極紫光微影製程之一參數。
根據本發明另一實施例,修改步驟為選取用於極紫光微影製程中之一光罩上之一吸收層之一構圖(composition)。
根據本發明另一實施例,參數為特徵之圖案之參數。
根據本發明另一實施例,修改特徵之圖案之參數的步驟包括改變特徵之圖案的間距。
根據本發明另一實施例,修改參數之步驟包括於特徵之圖案執行一光學鄰近效應修正技術。
根據本發明另一實施例,執行光學鄰近效應修正技術之步驟包括增加一散射條至特徵之圖案中,以使從一遮罩反射之一光束於極紫光微影製程中具有更強之一繞射等級。
本發明一實施例亦提供一種圖案曝光方法。方法包括選取一極紫光波長輻射束之一照射模式,其中照射模式為一非對稱配置。判斷介於利用上述非對稱配置曝光之一圖案以及設計資料中所定義之一對應圖案之間之至少一偏差,其中至
少一偏差為一圖案偏移、一最佳對焦偏移以及一散焦圖案偏移之一者。決定一補償參數以減少至少一偏差,其中決定補償參數之步驟包括提供至少一模型以及一規則以選擇補償參數。接著,利用所選取之照射模式以及補償參數將特徵之圖案曝光至一基板上。
根據本發明另一實施例,判斷至少一偏差之步驟包括辨識圖案偏移,以及決定補償參數之步驟包括選取一光學鄰近效應修正之補償參數類型。
根據本發明另一實施例,判斷至少一偏差之步驟包括辨識散焦圖案偏移,以及決定補償參數之步驟包括選取一光學鄰近效應修正之補償參數類型並提供次解析輔助圖案。
根據本發明另一實施例,判斷至少一偏差之步驟包括辨識圖案偏移,以及決定補償參數之步驟包括修改於曝光上述基板期間所使用之一光罩上的一吸收材料。
前述之實施例或者示例已概述本發明之特徵,本領域技術人員可更佳地理解本發明實施例之各個方面。本領域技術人員應當理解,他們可輕易地使用本發明實施例作為用於設計或者修改其它過程以及結構以實施相同之目的和/或實現本發明所介紹之實施例或示例之相同優點。本領域技術人員可理解的是,上述等效構造並未脫離本發明實施例之精神和範圍,並且可於不脫離本發明實施例之精神和範圍進行各種改變、替換和更改。
100‧‧‧微影製程方法
102-114‧‧‧步驟流程
Claims (1)
- 一種極紫光微影製程方法,適用於一半導體裝置,包括:提供一積體電路之複數特徵部件之一圖案;選取一極紫光波長輻射束之一光瞳之一配置,其中上述配置係為一非對稱、單極配置;判斷上述特徵部件之上述圖案之一模擬成像與一設計成像之間的至少一偏差;修改一參數以處理上述至少一偏差,其中上述參數為一設計特徵、一光罩特徵以及一微影製程參數之至少一者;以及利用上述選取之配置以及上述修改後的參數將上述特徵部件之上述圖案曝光至一基板上。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/040,049 US9886543B2 (en) | 2016-02-10 | 2016-02-10 | Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201729008A true TW201729008A (zh) | 2017-08-16 |
Family
ID=59497750
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105143316A TW201729008A (zh) | 2016-02-10 | 2016-12-27 | 極紫光微影製程方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US9886543B2 (zh) |
CN (1) | CN107065447A (zh) |
TW (1) | TW201729008A (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10495967B2 (en) | 2017-09-28 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of mask simulation model for OPC and mask making |
TWI723292B (zh) * | 2017-10-11 | 2021-04-01 | 荷蘭商Asml荷蘭公司 | 圖案化製程之最佳化流程 |
Families Citing this family (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10636140B2 (en) * | 2017-05-18 | 2020-04-28 | Applied Materials Israel Ltd. | Technique for inspecting semiconductor wafers |
DE102018200167A1 (de) * | 2018-01-08 | 2019-07-11 | Carl Zeiss Smt Gmbh | Pupillenfacettenspiegel, Beleuchtungsoptik und optisches System für eine Projektionsbelichtungsanlage |
DE102018105322A1 (de) | 2018-03-08 | 2019-09-12 | Carl Zeiss Smt Gmbh | Verfahren zum Betreiben einer industriellen Maschine |
JP7054365B2 (ja) * | 2018-05-25 | 2022-04-13 | キヤノン株式会社 | 評価方法、露光方法、および物品製造方法 |
US11249384B2 (en) * | 2018-06-29 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mask for EUV lithography and method of manufacturing the same |
CN110765724B (zh) * | 2019-10-26 | 2023-04-18 | 东方晶源微电子科技(北京)有限公司 | 一种掩模优化方法及电子设备 |
US20220128899A1 (en) * | 2020-10-22 | 2022-04-28 | D2S, Inc. | Methods and systems to determine shapes for semiconductor or flat panel display fabrication |
CN113168086A (zh) * | 2021-03-19 | 2021-07-23 | 长江存储科技有限责任公司 | 用于设计光掩模的系统和方法 |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7245356B2 (en) * | 2003-02-11 | 2007-07-17 | Asml Netherlands B.V. | Lithographic apparatus and method for optimizing illumination using a photolithographic simulation |
US7648802B2 (en) * | 2004-02-24 | 2010-01-19 | The Regents Of The University Of California | Phase shifting test mask patterns for characterizing illumination and mask quality in image forming optical systems |
US7564556B2 (en) * | 2007-04-02 | 2009-07-21 | Taiwan Semiconductor Manufacturing Company | Method and apparatus for lens contamination control |
EP2404218B1 (en) | 2009-03-04 | 2019-04-03 | ASML Netherlands BV | Illumination system, lithographic apparatus and method of forming an illumination mode |
US8764995B2 (en) | 2010-08-17 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof |
EP2579100A3 (en) * | 2011-10-03 | 2017-12-06 | ASML Holding N.V. | Inspection apparatus, lithographic apparatus, and device manufacturing method |
US8691476B2 (en) | 2011-12-16 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV mask and method for forming the same |
US8715890B2 (en) | 2012-01-31 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor mask blanks with a compatible stop layer |
US8709682B2 (en) | 2012-02-08 | 2014-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mask and method for forming the mask |
US8628897B1 (en) | 2012-07-05 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography process and mask |
US8841047B2 (en) | 2012-04-02 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography process and mask |
US8877409B2 (en) | 2012-04-20 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reflective mask and method of making same |
US8722286B2 (en) | 2012-05-31 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Devices and methods for improved reflective electron beam lithography |
US8765330B2 (en) | 2012-08-01 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Phase shift mask for extreme ultraviolet lithography and method of fabricating same |
US8679707B2 (en) | 2012-08-01 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a lithography mask |
US8828625B2 (en) | 2012-08-06 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same |
US8785084B2 (en) | 2012-09-04 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for mask fabrication and repair |
US8765582B2 (en) | 2012-09-04 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for extreme ultraviolet electrostatic chuck with reduced clamp effect |
US8753788B1 (en) | 2013-01-02 | 2014-06-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus of repairing a mask and a method for the same |
US9823585B2 (en) * | 2015-03-31 | 2017-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV focus monitoring systems and methods |
-
2016
- 2016-02-10 US US15/040,049 patent/US9886543B2/en active Active
- 2016-12-27 TW TW105143316A patent/TW201729008A/zh unknown
-
2017
- 2017-02-09 CN CN201710071207.1A patent/CN107065447A/zh active Pending
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10495967B2 (en) | 2017-09-28 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of mask simulation model for OPC and mask making |
TWI679487B (zh) * | 2017-09-28 | 2019-12-11 | 台灣積體電路製造股份有限公司 | 積體電路製造方法及其製造系統 |
US10962875B2 (en) | 2017-09-28 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of mask simulation model for OPC and mask making |
TWI723292B (zh) * | 2017-10-11 | 2021-04-01 | 荷蘭商Asml荷蘭公司 | 圖案化製程之最佳化流程 |
US11137690B2 (en) | 2017-10-11 | 2021-10-05 | Asml Netherlands B.V. | Flows of optimization for patterning processes |
US11480882B2 (en) | 2017-10-11 | 2022-10-25 | Asml Netherlands B.V. | Flows of optimization for patterning processes |
TWI803834B (zh) * | 2017-10-11 | 2023-06-01 | 荷蘭商Asml荷蘭公司 | 圖案化製程之最佳化流程 |
US11886124B2 (en) | 2017-10-11 | 2024-01-30 | Asml Netherlands B.V. | Flows of optimization for patterning processes |
Also Published As
Publication number | Publication date |
---|---|
CN107065447A (zh) | 2017-08-18 |
US9886543B2 (en) | 2018-02-06 |
US20170228490A1 (en) | 2017-08-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201729008A (zh) | 極紫光微影製程方法 | |
US10684552B2 (en) | Method to mitigate defect printability for ID pattern | |
CN106019850B (zh) | Euv焦点监控系统和方法 | |
KR101751576B1 (ko) | 극자외선 리소그래피 공정, 및 쉐도우 현상이 감소되고 강도가 향상된 마스크 | |
JP5198588B2 (ja) | モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置 | |
TWI545619B (zh) | 應用於微影裝置之改良型偏極化設計 | |
KR102405701B1 (ko) | 패턴화 디바이스, 그 제조 방법, 및 패턴화 디바이스 설계 방법 | |
TWI614567B (zh) | 用以改良圖案化程序之電腦實施方法及電腦程式產品 | |
JP2006114898A (ja) | リソグラフィ装置およびデバイス製造方法 | |
JP4398852B2 (ja) | プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法 | |
US20190035630A1 (en) | Method for Integrated Circuit Manufacturing with Directed Self-Assembly (DSA) | |
TWI620034B (zh) | 用於微影模擬的電腦實施方法及電腦程式產品 | |
TWI651760B (zh) | 基於位移之疊對或對準 | |
TWI718017B (zh) | 繞射圖案導引之源光罩最佳化的方法及裝置 | |
KR20210094040A (ko) | 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법 | |
TWI834463B (zh) | 用以判定局部焦點之軟體、方法及系統 | |
TWI820359B (zh) | 用於增強成像至基板上之圖案的目標特徵之方法和系統 | |
Wang | Key challenges in EUV mask technology: actinic mask inspection and mask 3D effects | |
TW202343149A (zh) | 用以判定局部焦點之軟體、方法及系統 | |
TW202407459A (zh) | 具有曲線元件之微影圖案表示 | |
LUNG | Resolution enhancement techniques (RET) for immersion lithography |