TWI728483B - 執行和監控微影製程之方法 - Google Patents

執行和監控微影製程之方法 Download PDF

Info

Publication number
TWI728483B
TWI728483B TW108135295A TW108135295A TWI728483B TW I728483 B TWI728483 B TW I728483B TW 108135295 A TW108135295 A TW 108135295A TW 108135295 A TW108135295 A TW 108135295A TW I728483 B TWI728483 B TW I728483B
Authority
TW
Taiwan
Prior art keywords
test pattern
lithography
line
pattern
lines
Prior art date
Application number
TW108135295A
Other languages
English (en)
Other versions
TW202026755A (zh
Inventor
李志傑
黃世鈞
張世明
謝艮軒
嚴永松
劉如淦
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202026755A publication Critical patent/TW202026755A/zh
Application granted granted Critical
Publication of TWI728483B publication Critical patent/TWI728483B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7026Focusing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • G03F9/7053Non-optical, e.g. mechanical, capacitive, using an electron beam, acoustic or thermal waves
    • G03F9/7061Scanning probe microscopy, e.g. AFM, scanning tunneling microscopy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種執行微影製程的方法,包括提供測試圖案。測試圖案包括以第一間距排列的第一組線、以第一間距排列的第二組線,並且更包括在第一組線與第二組線之間的至少一條參考線。用提供不對稱的單極照明分佈的輻射源曝光測試圖案,以在基板上形成測試圖案結構。測試圖案結構接著被測量,並且將量測的距離與微影參數的偏移相關聯。基於微影參數的偏移調整微影製程。

Description

執行和監控微影製程之方法
本揭露實施例係有關於一種微影製程之方法,特別係有關於一種監控微影製程之方法。
半導體積體電路(integrated circuit,IC)產業經歷了快速增長。在積體電路演變過程中,功能密度(即,每晶片面積的互連裝置的數量)通常增加,而幾何尺寸(即,使用製造製程可製成的最小構件(或線))減小。這種尺寸微縮化的製程通常藉由提高生產效率以及降低相關成本提供益處。然而,這樣的尺寸微縮化也伴隨著包含這些積體電路的裝置的設計以及製造的複雜性增加。製造的並行進步使得越來越複雜的設計能夠以精確以及可靠的方式製造。
例如,一些進步補償了在微影極限附近發生的光學效應以及處理缺陷。在許多示例中,使用一組光微影遮罩(photolithographic masks)在半導體基板上限定並形成積體電路特徵。遮罩具有由透射以及/或反射區域形成的圖案。在微影曝光期間,輻射(像是紫外光)在撞擊塗佈在基板上的光阻之前穿過遮罩或從遮罩反射。藉由將圖案曝光到光阻上轉移遮罩,然後選擇性地去除光阻以顯示圖案。然後基板經過利用剩餘光阻的形狀以在基板上製成電路特徵的處理步驟。當處理步驟完成時,施加另一光阻並使用下一遮罩曝光基板。以這種方式,形成多層特徵以產生最終電路。
當曝光設備用輻射照明遮罩時,必須為輻射提供合適的參數,像是焦點位置。確保參數像是焦點位置可能是昂貴且耗時的。因此,期望改善精確監控微影製程參數。
根據本揭露的一些實施例,提供一種執行半導體裝置之微影製程之方法,包括提供具有複數個線的測試圖案,複數個線以第一間距以及第二間距排列;曝光測試圖案以在半導體基板上形成具有測試圖案的測試結構;測量測試結構,其中測量包括判斷複數個線的第一線與複數個線的第二線之間的距離,其中複數個線的至少第三線插入第一線與第二線之間;以及使距離與微影參數的偏移相關聯;以及將電路圖案曝光在另一半導體基板上之前調整微影參數。
根據本揭露的一些實施例,提供一種執行半導體裝置之微影製程之方法,包括提供測試圖案,其中測試圖案包括以第一間距排列的第一組線,以第一間距排列的第二組線,並且其中測試圖案更包括在第一組線與第二組線之間的至少一條參考線;用提供不對稱的單極照明分佈的輻射源曝光測試圖案,以在基板上形成測試圖案結構;測量測試圖案結構,其中測量包括判斷第一組線的第一線與第二組線的第二線之間的距離;以及將距離與微影參數的偏移相關聯;基於微影參數的偏移調整微影製程;以及執行調整的微影製程以將電路圖案曝光到另一個基板上。
根據本揭露的一些實施例,提供一種監控微影製程之方法,包括使用微影系統的第一照明模式在複數個第一半導體基板上執行曝光製程;使用微影系統的第二照明模式在另一半導體基板上執行監控曝光製程,其中監控曝光製程包括照射測試圖案的第一區域以提供對稱繞射;以及照射測試圖案的第 二區域以提供不對稱繞射;測量曝光在另一半導體基板上的測試圖案,以判斷測試圖案的第二區域的特徵的移位;以及使用判斷的移位以判斷微影系統的散焦量。
100、200:系統
102:輻射源
104:照明器
106、218、1008:遮罩
108、212:遮罩載台
110:投影光學模組
112:基板
114:基板載台
116:光阻
118:控制系統
202:控制裝置
204:輻射源
206:孔洞
208:濾光器
210:照明光學系統
214:投影光學系統
216:基板載台
300、400:方法
302、304、306、308、310、312、314、316、318、320、322、402、404、406、408、1002、1004、1012、1014:方塊
500、502、1102:測試圖案
504A、504A'、504B、504B'、508A、508A'、508B、508B':線特徵/線/特徵
506、510:間隔特徵
512、514、516、518、520、522:測量
600、602、700、702、1104、1106:圖表
800、800':光瞳
802、802':單極
804、804':分佈
806、806':極
900:微影環境
902:控制系統
904:處理資源
906:媒體
908:製造接口/控制接口
910:掃描電子顯微鏡
912:輸入/輸出接口
1006、1016:輻射
1006'、1016':照明
1010、1020:照明分佈
1108、1110A、1110B、1112、1114A、1114B、1116、1118A、1118B:尺度
當閱讀所附圖式時,從以下的詳細描述能最佳理解本揭露之各方面。需要強調的是,按照產業標準慣例,不同特徵並未一定按照比例繪製。事實上,可任意的放大或縮小不同特徵的大小及幾何尺寸,以做清楚的說明。
第1圖是根據本揭露之各種實施例的微影系統的方塊圖。
第2圖是根據本揭露之各種實施例的另一微影系統的方塊圖。
第3圖是根據本揭露之各種實施例的監控微影系統的監控參數的方法的流程圖。
第4圖是根據本揭露之各種實施例的準備測試圖案的方法的流程圖。
第5A圖以及第5B圖是根據本揭露之各種實施例的示例的測試圖案。
第6A圖、第6B圖、第7A圖以及第7B圖是根據本揭露之各種實施例的測試圖案以及對應的微影製程參數的測量的圖表(或圖)的示例。
第8A圖、第8B圖、第8C圖以及第8D圖是根據本揭露之各種實施例的照明模式分佈的示例。
第9圖是根據本揭露之各種實施例的微影環境的方塊圖。
第10圖以及第12圖是根據本揭露之各種實施例的測試圖案的曝光的各個方面的方塊圖。
第11A圖-第11C圖顯示根據本揭露之各種實施例的從示例的測試圖案獲得的測量。
第13圖顯示實現第3圖的方法的生產線的方塊圖。
以下的揭露內容提供許多不同的實施例或示例,以實施本揭露的不同特徵。以下敘述各個構件及其排列方式的特定的示例以求簡化本揭露的說明。當然,這些特定的示例並非用以限定本發明。例如,若是以下敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。此外,本揭露可能在不同示例中使用重複的參考符號以及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例以及/或所述外觀結構之間的關係。
此外,在本揭露中提到的特徵形成到、連接到、以及/或耦接到另一特徵上,可以包括特徵以直接接觸形成的實施例,並且也可以包括附加特徵可插入形成在特徵之間,使得特徵可以不直接接觸的實施例。此外,空間相關用詞,例如:「較低的」、「較高的」、「水平」、「垂直」、「上方」、「在...上方」、「下方」、「在...下方」、「上」、「下」、「頂部」、「底部」、「右」、「左」等及其衍生用詞(例如,「水平地」、「向下地」、「向上地」等等),用於簡化本揭露中一特徵與另一特徵的關係。此間隔相關用詞旨在涵蓋包括這些特徵的裝置的不同方位。
在此描述的先進微影製程、方法、系統以及材料可被使用在許多應用中,包括鰭式場效電晶體(fin-type field effect transistors,FinFET)。例如,鰭片(fins)可以被圖案化以在特徵之間產生相對緊密的間隔,本揭露非常適合例如用於控制以及/或監控這樣的製程。此外,可以使用在此討論的微影系統以及方法來處理用於形成鰭式場效電晶體的鰭片的間隔物(spacers),也稱為心軸(mandrels)。
隨著特徵尺寸縮小,遮罩特徵與形成在(曝光於)基板上的特徵之間的差異給予裝置性能更大的影響。在一簡單線的示例中,各種影響可能傾向於使此線末端變圓而不是產生清晰的角,並且可能傾向於產生不規則寬度的線。這些影響可以來自例如照明源、遮罩、微影系統、製造製程(例如,顯影、蝕刻、沉積等)以及/或其他來源。隨著特徵的尺寸縮小,這些變化的影響可能會加劇,因為這些變化保持相同或變大,而因此缺陷相對於特徵增長。
為了補償這一點,本揭露的許多示例開發了要形成在遮罩上的測試圖案的佈局,並且依次,將測試圖案曝光在基板上以形成測試圖案結構。分析基板上的測試圖案結構以判斷可以與可能偏離目標的微影參數相關聯的測量(例如,圖案的各方面的移位)。此分析可以包括對測試圖案結構的各種尺度的測量,其指示與微影參數偏移相關或指示微影參數偏移的圖案移位。判斷的微影參數的示例是焦點參數(例如,最佳焦點)以及劑量參數。使用測試結構的分析,可以修改最佳焦點以及/或劑量以用於將來的曝光。在一些實施例中,藉由使用測試圖案結構確認最佳焦點以及/或劑量。在一些這樣的示例中,這允許在積體電路(ICs)的生產期間使用監控方案(monitoring scheme)。例如,曝光製程可以在也形成電路圖案的基板樣品上形成測試圖案結構。
如下所述,為了提供指示微影參數偏移的測試圖案結構的測量,必須仔細設計測試圖案並且仔細選擇所述圖案的測量。在下面的一些實施例中,測試圖案被設計用於與不對稱輻射源一起使用以曝光測試圖案,即使這樣的照明分佈(profile)不使用於曝光積體電路裝置的主圖案,即電路圖案。而是,可使用提供對稱照射的照明分佈曝光基板上的電路圖案。因此,在一些實施例中,給定源的照明分佈簡單地被改變以用於測試圖案的曝光,然後在曝光測試圖案之後回到其基線(baseline)照明分佈而不需要移動目標基板。
還如下所述的,本揭露提供了用於監控微影曝光製程的技術。參 考第1圖描述用於曝光可藉由所述方法監控的目標基板的系統之示例。在這方面,第1圖是根據本揭露之各種實施例的系統100(例如,微影系統)的方塊圖。系統100(例如,微影系統)(也可以稱為掃描器(scanner))可操作以利用特徵輻射源以及曝光模式執行微影曝光製程。在所示實施例中,系統100(例如,微影系統)是極紫外(extreme ultraviolet,EUV)微影系統,其被設計使用具有波長範圍在約1nm以及約100nm之間的極紫外輻射以曝光目標基板。在一些示例的實施例中,系統100(例如,微影系統)包括生成具有波長中心約13.5nm的極紫外輻射的輻射源102。在一個這樣的實施例中,極紫外輻射源102利用雷射產生電漿(laser-produced plasma,LPP)藉由使用雷射將像是錫微滴(droplets of tin)的介質加熱成高溫電漿以生成極紫外輻射。
系統100(例如,微影系統)也可以包括照明器(illuminator)104,其聚焦以及成形(shape)由輻射源102產生的輻射。照明器104可以包括折射光學構件,折射光學構件包括單片透鏡以及/或陣列透鏡(例如,波帶片(zone plate)),並且可以包括反射光學構件,反射光學構件包括單片鏡以及/或鏡陣列。為了清楚起見,已經減少了如第1圖所示的光學構件的數量,並且在實際的實施例中,照明器104包括數十個或甚至數百個透鏡以及/或鏡。光學構件被排列以及對準以將由輻射源102發射的輻射投射到保持在遮罩載台108中的遮罩106上。照明器104的光學構件也可以沿著光路使輻射成形以在遮罩106上產生特定的照明圖案。
在被遮罩106吸收或從遮罩106反射之後,輻射被引導通過投影光學模組110,也稱為投影光學盒(Projection Optics Box,POB)。類似於照明器104,投影光學模組110可以包括折射光學構件,折射光學構件包括單片透鏡以及/或陣列透鏡(例如,波帶片),並且可以包括反射光學構件,反射光學構件包括單片鏡以及/或鏡陣列。投影光學模組110的光學構件被排列以及對準以引導從遮罩 106反射的輻射,並將其投射到保持在基板載台114的目標基板112上,像是半導體基板(例如,半導體晶圓)或任何其它合適的基板。除了引導輻射之外,投影光學模組110的光學組件也可以沿著輻射路徑放大、縮小、聚焦輻射以及/或者使輻射成形。
由投影光學模組110投射在基板112上的輻射藉由造成設置在目標基板上的光敏材料的變化而曝光基板112。在一個示例中,基板112包括具有光阻116的半導體基板。光阻116曝光於輻射的部分經過化學轉變(chemical transition),使得它們對顯影過程或多或少地敏感。在示例的實施例中,在曝光之後,光阻116經過曝光後烘烤、顯影、漂洗以及乾燥以完成轉變。在基板112上執行的後續處理步驟可以使用剩餘的光阻116的圖案以選擇性地處理基板112的部分。
如上所述,許多影響可能造成形成在光阻116的圖案與預期的圖案不同。圖案的差異可能由系統100的各方面所造成。例如,系統100提供的照明可以橫在基板112上或在與基板112類似的複數個基板的處理之間變化。換句話說,即使假定無缺陷的光學元件以及遮罩,系統100內的光束路徑以及其他光學影響的複雜性可能造成劑量(即,曝光強度)橫在給定基板112上的表面變化,並且可能造成劑量從一基板到另一基板變化。類似地,由於光束路徑、光學元件的品質、基板112的變化、光阻116表面的不規則、環境因素像是振動以及/或其他因素,投影特徵的焦點可能橫在基板112上以及在基板之間變化。因此,在下面的示例中,可能影響在光阻116中形成的特徵的製程條件(像是劑量變化、焦點變化),在系統用於曝光給定基板112的期間被監控以及修改(如果需要),或者被修改以用於處理後續目標基板。
應注意的是,控制系統118在系統100(例如,微影系統)中被示出。控制系統118可包括可接收或儲存曝光參數像是焦點值或劑量值的控制單元。 此外,控制系統118控制系統100的曝光設備的每個部分,包括輻射源102、基板載台114、照明器104、投影光學模組110、遮罩載台108以及/或系統100(例如,微影系統)的其他構件。控制系統118可以位於系統100(例如,微影系統)內或與之耦合。類似於控制系統118的控制系統902的進一步討論在下面的第9圖中提供。
遮罩106包括在遮罩基板上形成的限定圖案的各種層。遮罩106具有基板,可包括低熱膨脹材料(Low Thermal Expansion Material,LTEM),像是石英、低熱膨脹材料玻璃、矽、碳化矽、二氧化矽、氧化鈦、Black Diamond®(應用材料公司的產品名稱)、以及/或其他合適的遮罩基板。遮罩106可以包括設置在遮罩基板上的反射結構,像是多層鏡(MultiLayer Mirror,MLM)。多層鏡可包括多個定制厚度以及/或材料的交替材料層,以實現在每個材料界面處反射的輻射的最佳建設性干涉,同時減少光吸收。在示例的實施例中,多層鏡包括40對交替的鉬以及矽(Mo-Si)層。在又一個示例的實施例中,多層鏡包括20到80對交替的鉬以及鈹(Mo-Be)層。到達遮罩的反射結構的輻射被反射回來用於曝光目標基板112的光阻116。可以存在各種其他層,像是(多個)覆蓋層以及提供圖案化的(多個)吸收層。
雖然上面描述了極紫外系統,但是在其他實施例中,可操作以適合與本方法以及系統一起使用的基板的提供曝光的微影系統可以包括各種其他波長的源,包括透射型光學系統。第2圖是透射型光學系統的高度簡化的方塊圖的示例。
類似於系統100,系統200(例如,曝光系統)包括控制裝置202,其可以實質上類似於上面的控制系統118。系統200(例如,曝光系統)包括輻射源204、孔洞206、濾光器208、照明光學系統210、遮罩載台212、投影光學系統214以及基板載台216。基板載台216可以定位基板112,實質上類似於如上所 述。應注意的是,可選擇光阻116的類型以適合於所使用的輻射源。
在一些實施例中,輻射源204可以是深紫外(deep ultraviolet,DUV)輻射源。深紫外光源可以包括激發惰性氣體分子,例如氬(Ar)、氪(Kr)或氙(Xe),以與鹵素分子反應,像是氟(F)以及氯(Cl)。深紫外輻射源204的示例包括氟化氪準分子雷射(excimer laser)(例如波長約248nm)或氟化氬準分子雷射(例如波長約193nm)。設置在遮罩載台212上的遮罩218可以是透射遮罩。如同上面討論的反射遮罩,此透射遮罩可以進一步實現解析度提升技術(resolution enhancement techniques)像是相移(phase-shifting)、離軸照明(off-axis illumination,OAI)、光學鄰近校正(optical proximity correction,OPC)以及/或其他合適的特徵。在一些實施例中,微影系統是浸沒式微影系統。
結合微影系統的伴隨構件的輻射源102以及/或204可被操作以在曝光製程期間提供入射遮罩106、218的不同照明模式或分佈。例如,在目標基板的曝光期間,可以修改結合伴隨構件的輻射源102以及/或204以提供第一照明模式以及不同的第二照明模式。照明模式可以在曝光場(例如,光瞳(pupil))的“開”以及“關”的部分中不同。在一些實施例中,至少一種照明模式是離軸的、不對稱的(例如,單極)照明。在一些實施例中,另一種照明模式是對稱的多極(例如,偶極、四極)照明,其可以是離軸或在軸上的照明。在一些實施例中,另一種照明模式是對稱照明,包括單極、環形或其他形狀的照明,其可以是離軸或在軸上的照明。
在一個實施例中,對於極紫外方法以及/或系統,可以藉由調節源提供不對稱照明,例如,經由使用軟體。對於深紫外方法以及/或系統,藉由調節源,源可以提供不對稱照明,例如,藉由向校準系統提供偏移,此校準系統將單極移動到一偏移位置(例如,從中心位置),藉由物理性地阻擋一部分輻射,例如,阻擋偶極照明的一極,或者藉由修改掃描器本身的輸出。
第8A圖以及第8B圖示出了不對稱的單極照明模式或分佈。照明分佈可以藉由光瞳的“開”部分的極的尺寸(例如,直徑、形狀以及尺度)、極的徑向位置、極從給定原點的角度、極的數量、以及/或光瞳“開”部分的其他配置來限定。第8A圖以及第8B圖僅為示例而非限制性的。較大的圓圈表示完整的光瞳,而較小的圓圈表示極(或“透射輻射”的“開”部分),而可用光瞳的剩餘部分處於“關”狀態。參考第8A圖以及第8B圖的示例,示出了光瞳800、800',其具有在光瞳800、800'內不對稱設置的各自的單極802、802'。極802、802'是不對稱的,因為它們不是對稱於光瞳800、800'的中心。極802、802'表示“開”狀態的傳遞輻射,而光瞳800的其他部分處於“關”狀態。應注意的是,極802、802'的圓形形狀僅為了便於參考。極可以是其他類似的形狀,包括由內外西格瑪(inner and outer sigma)以及開角(open angle)限定的形狀。照明分佈可以藉由可控照明模式選擇裝置提供,此可控照明模式選擇裝置包括像是可切換鏡、濾光器、波帶片、磁性元件、反射元件、透鏡或用於引導、成形以及控制輻射的其他手段。第8C圖以及第8D圖示出了其他照明模式或分佈804以及804',其中照明分佈提供對稱照明。極806以及806'分別在光瞳內並圍繞光瞳800、800'的中心點或軸線對稱定向。如上所述,極806、806'的圓形形狀僅供參考。第8C圖以及第8D圖的照明模式可以用於電路圖案的曝光,像是參考方法300的方塊320所討論的。
當使用曝光製程在基板上形成電路圖案時,像是上述描述的系統100以及系統200的微影系統包括焦點控制構件,以最小化如果基板不在合適的焦點位置而可能發生的失焦狀態。理想地控制微影系統,使得在垂直(高度)方向上提供“最佳焦點”位置(例如,藉由基板載台或其他構件的移動)。然而,隨著電路圖案的特徵尺寸減小,焦點深度(depth of focus,DOF)也減小,而導致製程可接受的表現只可容忍較小的焦點偏移範圍。因此,隨著電路圖案尺寸的減小,控制焦點位置變得越來越重要,因此,需要精確的聚焦測量方法以及 系統。然而,焦點偏移源包括系統的環境振動以及內部控制的精確度(例如,推進一處理批次到下一處理批次)。期望以越來越小的尺度監控近來的焦點偏移的來源。在這方面,在此討論的方法以及系統的某些實施例在監控焦點方面是有效的,包括小於10奈米(nm)的尺度。
現在參考第3圖,示出了一種監控微影機台像是曝光機台或是掃描器的參數的方法300。根據本揭露的各種實施例,可以被監控的一個參數可以是目標基板的表面上的焦點位置(例如,最佳焦點)。另一個參數可以是曝光劑量。
方法300從提供微影系統的方塊302開始。此提供的微影系統可以是一種實現反射或透射微影類型的光學曝光方法。微影系統的示例包括分別地參考第1圖以及第2圖上面所討論的系統100以及系統200。需注意的是,可以在方法300之前、期間以及之後提供附加步驟,並且對於方法300的其他實施例,可以替換或消除所描述的一些步驟。在一些實施例中,方法300的方塊302包括使用微影機台處理一個或多個基板,像是在基板上形成電路圖案。可以使用在光遮罩的照射分佈中為對稱的照明模式或分佈來執行此曝光。
方法300進行到方塊304,其中開發為了下述的監控步驟的測試圖案。測試圖案可以包括於X方向或Y方向定向的複數個線以及間隔(焦平面的垂直方向被稱為Z方向)。提供測試圖案使得其適合用於判斷如下述由於從標稱散焦(nominal defocus)或照明系統劑量的漂移(drifting)引起的圖案偏移。標稱散焦可以是照明系統固有的散焦量以及/或被表徵在照明系統的起始點。換句話說,為一個基線。它可以包括在晶圓處理之前被理解為存在於照明系統中的散焦(例如,被判斷為預防性維護或機台鑑定檢查的一部分)。
在一個實施例中,藉由提供具有離軸、不對稱的單極照明的照明分佈的輻射束的系統,測試圖案被設計用於離軸照明。如上所述,不對稱單極 照明分佈提供光瞳的配置,其中一極(例如,單極)從光瞳的中心(例如,完全可用的照明場)偏移。不對稱照明可以偏移到輻射場中心的任何方向(例如,右方、左方、上方、下方)。也如上所述,包括不對稱單極照明的照明分佈可以由可控照明模式選擇裝置提供,此可控照明模式選擇裝置包括像是可切換鏡、濾光器、波帶片、磁性元件、反射元件或其他引導、成形以及控制輻射的手段。應注意的是,在一些實施例中,不對稱照明可以不是一個單極而是其他照明分佈(例如,多極),但是具有關於光瞳中心點的不對稱特性。
在一個實施例中,藉由在測試圖案的一些部分中以第一間距提供線/間隔以及在測試圖案的其他部分中以第二間距提供線/間隔,測試圖案被設計用於使用離軸、不對稱的單極照明。間距可以測量為線的寬度加上相鄰間隔的寬度。具有第一間距的測試圖案部分以照明分佈照射時,發出光的不對稱繞射。也就是說,來自遮罩要朝向目標基板傳送的輻射是複數個級別(第1級、第0級、第2級),其在狀態上並不對稱並且不重合。因此,由於從遮罩反射且特別是從具有第一間距的圖案反射的輻射(在極紫外的情況下)的反射角的差異,圖案的某個位置將導致更多的反射光。也就是說,某些繞射級被遮蔽並且某些繞射級朝向目標基板反射,造成繞射圖案的不對稱。在不對稱繞射中,繞射輻射的繞射級之間存在光程差,其取決於散焦量,這導致圖案在其形成(曝光)作為在目標基板上的結構時移位。提供達成不對稱繞射的圖案的測試圖案部分可在此被稱為偏移測試圖案(例如,寬間距)。
如上所述,測試圖案可以進一步設計為在測試圖案的其他部分中包括(除了偏移測試圖案之外)以第二間距(不同於偏移測試圖案的間距)提供的線/間隔。當圖案具有第二間距時,測試圖案的此部分以相同的照明分佈照明時提供對稱繞射。提供對稱繞射的測試圖案的部分可以稱為參考圖案(例如,密集間距)。對稱繞射使繞射級之間的光學路徑相同,從而提供會聚 (convergence)。因此,無論是否散焦,都會再現參考圖案而沒有圖案移位。不對稱/對稱繞射的詳細描述如第12圖所示。將參考圖案以及偏移測試圖案組合成單個測試圖案允許圖案組合的測量以判斷圖案偏移量,並將其與微影參數關聯。也就是說,由偏移測試圖案提供的不對稱繞射與參考圖案相比呈現圖案移位,並且圖案移位可以與微影機台的參數相關聯或相關。測量成像的測試結構圖案,採用包括參考圖案以及偏移測試圖案,以判斷與各種照明分佈相關聯的微影機台的參數。
因此,在方塊304中,提供了測試圖案,其包括作為偏移測試圖案的第一間距以及作為參考圖案的第二間距的特徵,其允許量化對應或可以與下述的微影曝光的參數(例如,散焦量以及/或劑量)相關聯的圖案的移位。測試圖案的設計以下面第4圖的方法更詳細地描述。
在一些實施例中,測試圖案可包括複數個線特徵以及沿X方向重複排列的間隔圖案。在一些實施例中,測試圖案可包括複數個線特徵以及在Y方向上重複排列的間隔圖案。測試圖案可以包括交替的線/間隔配置、狹縫(slots)配置、交替的接線(contact)/孔配置。圖案類型可以基於要被圖案化的裝置層。例如,在一個實施例中,裝置圖案用於接線/孔裝置層,因此,測試圖案也被設計為接線/孔。
參考第5A圖以及第5B圖,分別示出了測試圖案500以及502。在一個實施例中,所示的測試圖案500以及502是已經形成在半導體基板上的測試圖案結構。在其他實施例中,所示的測試圖案500以及502是在光遮罩(或簡稱遮罩)上定義的測試圖案。測試圖案500以及502可以形成在遮罩上,像是關於上面討論的系統100(例如,微影系統)以及/或系統200所描述的。在一個實施例中,測試圖案500用於監控以及/或控制極紫外系統,像是上面參考第1圖描述的系統100(例如,微影系統)。在一個實施例中,測試圖案502用於監控以及/ 或控制深紫外系統,像是上面參考第2圖描述的系統200。在一個實施例中,測試圖案502用於監控以及/或控制浸沒式微影系統。
測試圖案500包括複數個線特徵504A、504A'、504B、504B'以及插入線特徵504A、504A'、504B、504B'的複數個間隔特徵506。線特徵504A以第一間距以及第一寬度提供。線特徵504B以第二間距以及第二寬度提供。線特徵504A具有比線特徵504B更大的寬度以及間距。較大的寬度以及間距特徵,像是504A,可以稱為寬間隔以及寬臨界尺寸(critical dimension,CD)。較小的寬度以及間距特徵,像是504B,可以稱為密集間距以及密集臨界尺寸。需注意的是,雖然示出了單一線特徵504A,但是這並非限制的。
在一個實施例中,線特徵504A以及504B之間可以存在緩衝圖案。緩衝圖案(例如,特徵)可以提供更厚的特徵以便改善圖案組的可圖案化性,並減輕光阻顯影(例如,光阻塌陷)問題。
測試圖案502包括複數個線特徵508A、508B、508B'以及插入線特徵508A、508B、508B'的複數個間隔特徵510。線特徵508A以第一間距以及第一寬度提供。線特徵508B以第二間距以及第二寬度提供。線特徵508A具有比線特徵508B更大的寬度以及間距。
可以選擇線特徵504B/508B以提供可提供參考圖案的寬度以及間距。換句話說,提供線特徵504B/508B,使得用方塊310提供的照明分佈發生對稱繞射,並且即使散焦,圖案也不會實質上移位。因此,具有線504B的測試圖案500的部分提供以可提供參考圖案的部分。類似地,具有線508B的測試圖案502的部分提供以可提供參考圖案的部分。
可以選擇線特徵504A/508A以提供可提供偏移測試圖案的寬度以及間距。換句話說,提供線特徵504A/508A,使得用方塊310提供的照明分佈發生不對稱繞射,並且圖案將以如下述可與散焦量相關的方式移位。因此,具 有線504A的測試圖案500的部分提供以可提供偏移測試圖案的部分。類似地,具有線508A的測試圖案502的部分提供以可提供偏移測試圖案的部分。
然後,方法300進行到方塊306,其中在遮罩上形成測試圖案。在一個實施例中,測試圖案500形成在反射遮罩上,像是遮罩106,如上面參考第1圖所討論的。在一個實施例中,測試圖案502形成在透射遮罩上,像是遮罩218,如上面參考第2圖所討論的。
在一個實施例中,測試圖案500形成在適於極紫外微影的反射遮罩上。在一個實施例中,測試圖案502形成在適合於深紫外微影的透射遮罩上。然而,本揭露的原理也適用於其他遮罩類型。
然後,方法300進行到方塊308,其中使用所提供的微影系統曝光一個或複數個目標基板(例如,晶圓)。在一些實施例中,電路圖案曝光在目標基板上。可以使用具有與用於曝光測試圖案的照明分佈不同的照明分佈的輻射曝光電路圖案。在一個實施例中,用於曝光電路圖案的照明分佈是對稱照明,如上所述。例如,照明分佈可以是全光瞳、多極(例如,偶極,四極)配置、單極、環形環或其他形狀的照明,條件是光瞳的“開”部分是對稱的。可以離軸或在軸上提供照明分佈。在一些實施例中,方塊308被省略。
然後,方法300進行到方塊310,其中執行朝向測試圖案的曝光。測試圖案在目標基板上的曝光可以使用與上述相同的源,但是提供不同的照明模式或分佈。例如,在一些實施例中,使用第一照明模式執行方塊308的曝光,並且使用不同於第一照明模式的第二照明模式執行方塊310。在一個實施例中,第二照明模式是離軸不對稱照明。換句話說,用於方塊310的照明的照明分佈是從相對於光軸傾斜並且不對稱於光軸的方向。例如,照明分佈可以是單極或其他提供光瞳的“開”部分的其他照明相對於光瞳的區域為不對稱。因此,在方法300的方塊308以及方塊310之間,調整提供給遮罩的照明形狀。例如,在方塊310中 提供不對稱的離軸單極照明。
在一些實施例中,測試圖案結構形成在與上面在方塊308中討論的電路圖案相同的基板上。例如,在一些實施例中,測試圖案可以形成在基板的切口(kerf)區域或虛設(dummy)區域。在一些實施例中,測試圖案結構具有約1平方微米(μm)的總面積。因此,在一些實施例中,儘管在方塊308以及310之間改變了照明分佈,但是基板(包括電路圖案以及測試圖案)不移動而是保持在載台上,像是第1圖的基板載台114或第2圖的基板載台216。需注意的是,在方塊310中曝光的測試圖案包括參考圖案以及偏移測試圖案。換句話說,參考圖案以及偏移測試圖案在單次曝光製程中同時曝光。
然後,方法300進行到方塊312,其中在其上曝光有測試圖案結構的基板(例如,晶圓)上執行所形成的測試圖案結構的複數個測量。可以藉由掃描電子顯微鏡(scanning electron microscope,SEM)進行測量。應注意的是,在一些實施例中,掃描電子顯微鏡不僅在測試圖案的曝光之後執行,也在所述圖案的顯影之後執行。
使用測試圖案500的示例,測量已形成在目標基板上的測試圖案500結構以判斷圖案移位的各個方面。在一個實施例中,線(或多邊形)特徵504B'被識別以測量。特定線特徵504B'可以藉由實驗以及/或模擬數據被識別,以提供其與曝光參數(例如,散焦)的相關性(correlation)的合適靈敏度。也就是說,線特徵504B'可以被判斷,使得基於線特徵504B'的位置相對於微影參數的尺寸測量的結果圖基本上是平坦的,如下面包括關於第11A圖-第11C圖進一步詳細討論的。在一個實施例中,線特徵504B'被識別為從偏移測試圖案特徵504A算起的第n線特徵,其中n是大於1的整數。在另一實施例中,n等於3。在另一實施例中,n等於5。在一些實施例中,n是2到6之間的數字。線特徵504B'的識別(以及“n”的識別)在下面描述。總而言之,“n”值的選擇是陣列中鄰近效應消失的特徵數。 因此,“n”可以是更大的值並且仍然在本揭露的範圍內。在一個實施例中,在線特徵504B'(例如,上部以及下部)之間執行測量512(稱為總和(SUM))。在一個實施例中,測量514(稱為間隔-上方(Space_top)或簡稱為S_上方)在線特徵504B'與最近的線特徵504A的邊緣之間。在一個實施例中,測量516(稱為間隔-下方(Space_low)或簡稱S-下方)在線特徵504B'與最近的線特徵504A的邊緣之間。在一些實施例中,單一線504A插入第一組線504B以及第二組線504B之間;因此,間隔-下方516以及間隔-上方514被測量到相同線504A的不同邊緣。測量512、514以及516中的每一個可以藉由掃描電子顯微鏡(SEM)執行並且儲存,像是由控制系統儲存。
使用測試圖案502的示例,測量已經在目標基板上形成的測試圖案502結構,以判斷圖案移位的各個方面。在一個實施例中,線(多邊形)特徵508B'被識別以測量。特定線特徵508B'可以藉由實驗以及/或模擬數據被識別,以提供其與曝光參數(例如,散焦)的相關性的合適靈敏度。也就是說,線特徵508B'可以被判斷,使得基於線特徵508B'的位置相對於微影參數的尺寸測量的結果圖基本上是平坦的,如下面包括關於第11A圖-第11C圖進一步詳細討論的。在一個實施例中,線特徵508B'被識別為從偏移測試圖案特徵508A算起的第n線特徵,其中n是大於1的整數。在又一實施例中,像是第5B圖中所示,n等於5。在另一個實施例中,n等於3。在一些實施例中,n是2到6之間的數字。
在一個實施例中,在線特徵508B'(例如,上部以及下部)之間執行測量518(稱為總和)。在一個實施例中,測量520(稱為間隔-右方或簡稱為S-右方)在線特徵508B'與最近的線特徵508A的邊緣之間。在一個實施例中,測量522(稱為間隔-左方或簡稱為S-左方)在線特徵508B'與最近的線特徵508A的邊緣之間。在一些實施例中,單一線508A插入第一組線508B以及第二組線508B之間;因此,間隔-右方520以及間隔-左方522被測量到相同線508A的不同 邊緣。測量518、520以及522中的每一個可以藉由掃描電子顯微鏡(SEM)執行並且儲存,像是由控制系統儲存。
第5A圖以及第5B圖為示例性的並且可以提供其他結構。其他實施例可能包括引入圖案的第一間距以及第二間距並提供其合適測量。在一些實施例中,合適的測量是[1]總和值、[2]間隔-第一值以及[3]間隔-第二值(其中間隔-第一以及間隔-第二可以是左方以及右方或上方以及下方,如第5A圖以及第5B圖所示)。總和值的測量等於值[2]加上值[3]加上1*寬臨界尺寸,寬臨界尺寸由測試圖案提供。參考第5B圖,值[2]間隔-第一值可以是4*密集間距+1*寬間隔。寬間隔可以由測試圖案提供。值[3]可以是4*密集間距+1*寬間隔。參考第5A圖,值[2]間隔-第一值可以是2*密集間距+1*寬間隔。寬間隔可以由測試圖案提供。值[3]可以是2*密集間距+1*寬間隔。
然後,方法300進行到方塊314以及316,其中在方塊312中獲得的測量數據被用於判斷曝光過程的一個或多個參數。在一些實施例中,省略了方塊314或316中的一個。在一些實施例中,方塊314的劑量的判斷可以被執行,但不被使用於修改微影系統的參數。
在一個實施例中,方法300進行到方塊314,其中使用在方塊312中獲得的測量以判斷曝光劑量。例如,在一個實施例中,最佳化的曝光劑量(optimized exposure dose,EOP)被判斷。並得知曝光劑量隨圖案密度而變化。
參考第6A圖以及第6B圖,示出了示例圖表600以及602。在一個實施例中,圖表(或圖)600與極紫外系統相關聯,像是上面參考第1圖所討論的系統100(例如,微影系統)。在一個實施例中,圖表602與深紫外微影系統相關聯,像是類似於上面參考第2圖所討論的系統200的浸沒式微影系統。圖表600以及602分別示出散焦(nm)與總和(nm)測量的圖。圖表600以及602中的每一個示出多個最佳化的曝光劑量水平604、606以及608。最佳化的曝光劑量水 平606提供額定或基線劑量。最佳化的曝光劑量水平604顯示降低的最佳化的曝光劑量水平,或90%的額定或基線劑量。最佳化的曝光劑量水平608顯示最佳化的增加的曝光劑量水平,或110%的額定或基線劑量。在一個實施例中,圖表600的最佳化的曝光劑量水平608顯示113%的額定或基線劑量。在所示實施例中,提供了三個最佳化的曝光劑量水平,然而,可以在圖表600以及/或602上提供任何數量的最佳化的曝光劑量水平。
在一些實施例中,對於給定的臨界尺寸(CD)或臨界尺寸範圍提供圖表600以及/或602。與圖表600以及/或602相關聯的臨界尺寸可以實質上類似於上面討論的測試圖案的偏移測試圖案的臨界尺寸。在一些實施例中,與圖表600以及/或602相關聯的臨界尺寸是與使用微影系統形成的電路圖案相關聯的臨界尺寸。
可以使用來自極紫外/深紫外系統處理的基板的實驗以及/或模擬數據來生成圖表600以及/或602。具體而言,可以獲得、圖示以及儲存與劑量/散焦以及得到的總和測量有關的顯著的(例如,100秒或1000秒)數據點,以與方法300一起使用。需注意的是從圖表600以及602,最佳化的曝光劑量水平604、606、608的每一個分別實質上是線性的;換句話說,對於給定的最佳化的曝光劑量水平,總和測量實質上是恆定的。如在此所討論的,包括以上參考方塊304以及以下參考第11A圖-第11C圖,可以選擇總和測量(例如,包括第n多邊形的選擇),使得產生這種線性特性。
在一個實施例中,在方法300的方塊312中判斷測試圖案500的總和測量512,並且基於此測量,判斷圖表600的最佳化的曝光劑量水平604、606或608之一為對應的最佳化的曝光劑量水平。例如,在一個實施例中,總和被判斷為527nm,因此,最佳化的曝光劑量判斷為對應於最佳化的曝光劑量水平604。因此,微影系統在其當前操作中提供的最佳化的曝光劑量水平被判斷為額定值 的90%。
在一個實施例中,在方法300的方塊312中判斷測試圖案502的總和測量518,並且基於此測量,判斷圖表602的最佳化的曝光劑量水平604、606或608之一為對應的最佳化的曝光劑量水平。例如,在一個實施例中,總和被判斷為1051nm,因此,最佳化的曝光劑量判斷為對應於最佳化的曝光劑量水平604。因此,微影系統在其當前操作中提供的最佳化的曝光劑量水平被判斷為額定值的90%。
然後,方法300進行到方塊316,其中也使用在上面討論的方塊312中獲得的測量來判斷焦點參數(最佳焦點)。最佳焦點是圖像對比具有最大值,圖案的圖像對比被劃分為線/間隔之間的亮區以及暗區以及過渡區中的中間區域。
參考第7A圖以及第7B圖,示出了示例的圖表700以及702。在一個實施例中,圖表700與極紫外系統相關聯,像是上面參考第1圖所討論的系統100(例如,微影系統)。在一個實施例中,圖表702與深紫外微影系統相關聯,像是類似於上面參考第2圖所討論的系統200的浸沒式微影系統。圖表700以及702分別示出散焦(nm)與上面討論的測試圖案的測量,亦稱間隔差(Delta S),或間隔-上方514以及間隔-下方516之間的差異(參考圖表700),或者間隔-左方522以及間隔-右方520之間的差異(參考圖表702)。圖表700以及702中的每一個圖示複數個最佳化的曝光劑量水平704、706以及708。最佳化的曝光劑量水平706提供額定或基線劑量。最佳化的曝光劑量水平704顯示降低的最佳化的曝光劑量水平,或90%的額定或基線劑量。最佳化的曝光劑量水平708顯示增加的最佳化的曝光劑量水平,或110%的額定或基線劑量。在所示實施例中,提供了三個最佳化的曝光劑量水平,然而,可以在圖表700以及/或702上提供任何數量的最佳化的曝光劑量水平。
在一些實施例中,對於給定的臨界尺寸(CD)或臨界尺寸範圍提供圖表700以及/或702。與圖表700以及/或702相關聯的臨界尺寸可以實質上類似於上面討論的測試圖案的偏移測試圖案的臨界尺寸。在一些實施例中,與圖表700以及/或702相關聯的臨界尺寸是與使用微影系統形成的電路圖案相關聯的臨界尺寸。
可以使用實驗以及/或模擬數據生成圖表700以及/或702。具體而言,可以獲得、圖示以及儲存與劑量/散焦以及得到的間隔差測量有關的顯著的(例如,100秒或1000秒)數據點,以與方法300一起使用。需注意的是從圖表700以及702,最佳化的曝光劑量水平604、606、608的每一個分別實質上是線性的;換句話說,對於給定的最佳化的曝光劑量水平。如在此所討論的,包括以上參考方塊304以及以下參考第11A圖-第11C圖,可以選擇間隔差(例如,包括選擇第n個多邊形),使得產生這種線性特性。
在一個實施例中,使用在方法300的方塊312中提供的測量以判斷測試圖案500的間隔差測量。在一個實施例中,將間隔-上方514減去間隔-下方516提供以奈米為單位的間隔差。基於方塊314,得知圖表700的最佳化的曝光劑量水平704、706或708之一為對應的最佳化的曝光劑量水平。基於間隔差以及所選擇的最佳化的曝光劑量水平(例如,使用圖表600),判斷圖表700的圖上的點,其提供對應的散焦值(nm)以被判斷。例如,在一個實施例中,間隔差(對於測試結構500)被判斷為1nm並且最佳化的曝光劑量被判斷為額定的90%,如上面在方塊314中所討論的。因此,散焦水平被判斷為-10nm偏移。
在一個實施例中,使用方法300的方塊312中提供的測量以判斷測試圖案502的間隔差測量。在一個實施例中,將間隔-左方522減去間隔-右方520,提供奈米級的間隔差。基於方塊314,得知圖表700的最佳化的曝光劑量水平704、706或708之一為對應的最佳化的曝光劑量水平。基於間隔差以及所選擇的最佳 化的曝光劑量水平,判斷圖表702上的點,其提供對應的散焦值(nm)以被判斷。例如,在一個實施例中,間隔差(對於測試結構502)被判斷為-8nm並且最佳化的曝光劑量被判斷為額定的110%,如上面在方塊314中所討論的。因此,散焦水平被判斷為-10nm偏移。
在一些微影製程中,伯桑曲線(Bossung curves)可用於理解製程參數;伯桑曲線提供臨界尺寸作為焦點以及曝光劑量的函數。然而,對於測試結構的給定臨界尺寸測量,伯桑曲線可以提供關於最佳化的曝光劑量/散焦組合指示微影系統的模糊性(即,伯桑曲線上可以存在複數個條件,每個條件提供不同的散焦值)。因此,本方法300提供了判斷唯一最佳焦點的優點。例如,藉由如上所述測量所識別的目標多邊形的總和或差異(參考關於第5A圖以及第5B圖的討論),此資訊不限於如同根據伯桑曲線的單一間隔或單一臨界尺寸。因此,避免了提供使用伯桑曲線可能發生的不同處理條件而相同臨界尺寸輸出值的模糊性。
然後,方法300進行到方塊318,其中修改曝光條件的參數以用於後續基板的曝光製程。需注意的是,可以執行方法300,使得可以針對給定數量的批次執行上面參考方塊310描述的測試圖案的一次曝光。在一個實施例中,方塊310(以及在基板上的結果測試結構的分析)可以每批次執行一次。在另一個實施例中,方塊310(以及在基板上的測試結構的分析)可以每幾個(例如50-100)批次進行一次。
在一個實施例中,基於方法300的方塊314以及316的上述分析在掃描器中修改參數。在一個實施例中,修改焦點參數。焦點修改可以是掃描器相對於目標基板的位置的修改。例如,焦點控制單元接收焦點測量結果並提供修改,例如,垂直軸上的基板的驅動控制。在一些實施例中,基於方塊316的結果,在理解系統的焦點條件的情況下修改其他參數。例如,可以修改臨界尺寸 目標。需注意的是,對於微影系統的給定源,焦點參數像是最佳焦點可以是相同的,無論照明模式是以對稱或是不對稱的方式提供的。換句話說,其與照明分佈無關,並且照明分佈在方塊308以及方塊310之間改變。因此,當切換回用於曝光電路圖案的基線(例如,生產)照明模式時,曝光可以由於現在量化的散焦條件而被停止在適當的臨界尺寸。例如,在一個實施例中,由於修改額定臨界尺寸讀出值的散焦條件被判斷,曝光可以在臨界尺寸=49nm而不是50nm的基線處停止。在一個實施例中,可以操縱曝光劑量(EOP)用於電路圖案的曝光。
然後,第3圖的方法300可以繼續到方塊320,其中使用在方塊318中判斷的修改參數在目標基板上曝光電路圖案。應注意的是,在一些實施例中,可以不修改曝光機台的參數,而從方塊314以及/或316獲得的結果可以確認機台的當前設置,並且曝光可以按原樣繼續。
電路圖案可以對應於構成製造的積體電路裝置的各種構件的金屬、氧化物或半導體層的圖案。各層結合形成各種積體電路特徵。例如,電路圖案的一部分可以包括待形成在半導體基板(像是矽晶圓)中的各種積體電路特徵,例如主動區域、閘極(gate electrodes)、源區域以及汲區域(source and drain regions)、金屬線、接觸孔(contact holes)、接觸插塞(contact plugs)、通孔(via holes)、通孔插塞(via plugs)以及用於接合墊(bonding pads)的開口,以及設置在半導體基板上的各種材料層。
用於測試圖案以及電路圖案的曝光的基板可以是半導體晶圓。半導體晶圓包括矽基板或其上形成有材料層的其他適當基板。其他合適的基板材料包括另一種合適的基本半導體,例如金剛石或鍺;合適的化合物半導體,像是碳化矽、砷化銦或磷化銦;或合適的合金半導體,像是碳化矽鍺、磷化鎵砷或磷化鎵銦。半導體晶圓可以更包括各種摻雜區域、介電特徵以及多層內連接線(multilevel interconnects)(在隨後的製造步驟中形成)。電路圖案可以用於 各種製程。例如,電路圖案可以用於離子佈值製程中以在半導體晶圓中形成各種摻雜區域,用於蝕刻製程中以形成半導體晶圓中的各種蝕刻區域,以及/或其他合適的製程。
在一些實施例中,方法300可以繼續執行其他半導體裝置製造製程以形成與曝光到基板上的電路圖案相關聯的電路裝置,如方塊322所示。電路圖案可以與各種積體電路裝置相關聯,像是金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors,MOSFET)、互補金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)電晶體、雙極接面電晶體(bipolar junction transistors,BJT)、高壓電晶體、高頻電晶體、p通道以及/或n通道場效電晶體(p-channel and/or n-channel field effect transistors,PFETs/NFET)(包括FinFETs)、二極管或其他合適的元件。
現在參考第4圖,示出了可以應用於方法300的方塊304的附加細節,其包括開發用於監控微影曝光系統的測試圖案。應注意的是,如上所述,測試圖案的顯影不僅指線/間隔圖案、線/間隔間距以及線/間隔寬度,還指當形成為在基板上的測試圖案結構時,對所述圖案進行的測量,像是參考方法300的方塊312所討論的。
在一個實施例中,方法400可以從判斷參考圖案的方塊402開始,並且與判斷偏移測試圖案的方塊404同時進行。參考圖案以及偏移圖案中的每一個可以結合使用以形成測試圖案,像是上面討論的第5A圖以及第5B圖的示例性測試圖案。偏移測試圖案以及參考圖案實質上類似於上面討論的。
參考第10圖,示出了照明模式以及測試圖案間距的示例性比較,其示出了參考圖案以及偏移測試圖案選擇的判斷。方塊(或列)1002以及1004示出了照明分佈1010,其可用於在目標基板上製造電路圖案。方塊1002以及1004的照明分佈1010提供對稱分佈。應注意的是,照明分佈1010可被最佳化以用於 電路圖案的形成。藉由照明分佈1010限定的輻射1006入射示例的遮罩1008。遮罩1008可以是反射或透射遮罩,實質上類似於上面討論的遮罩106以及/或218。藉由遮罩1008圖案化之後的輻射被示為透射照明1006'。關於方塊1002以及1004,透射照明具有所示的複數個示例的繞射級-第1級以及第0級。通常,在散焦的條件下,某些輻射級可能被消除,從而造成圖案位置移位。需注意的是,在示例的透鏡之前以及之後示出透射照明1006';然而,這僅為圖示,並且可能存在許多將照明1006'引導到基板的透鏡或鏡。
相比之下,方塊1012以及1014示出了照明分佈1020,其可以用於根據本揭露的實施例在目標基板上製造測試圖案。方塊1012以及1014的照明分佈1020提供不對稱的單極分佈。由照明分佈1020限定的輻射1016被示為入射示例的遮罩1008。再次,遮罩1008可以是反射或透射遮罩,實質上類似於上面討論的遮罩106以及/或218。藉由遮罩1008圖案化之後的輻射被示為透射照明1016'。透射照明1016'被示為具有複數個示例的繞射級-示出了第1級以及第0級。對於方塊1014,藉由遮罩1008圖案化之後的輻射被示為具有所示的第1級以及第0級並且是不對稱的透射照明1016'。通常,在散焦的條件下,某些繞射的輻射級(在極紫外微影的情況下)可能被消除,從而造成圖案位置移位。相比之下,應注意的是,方塊1012的第0級以及第1級是對稱的。這是由於方塊1012的遮罩1008的線特徵寬度/間距允許成像圖案不移位。因此,方塊1012中提供的圖案示出參考圖案。
因此,第10圖示出了判斷給定照明分佈的參考圖案(寬度/間距)的方式。也就是說,期望判斷提供來自遮罩的對稱反射的多邊形寬度/間距,如方塊1012所示。這允許給定量的散焦,再現圖案實質上不移位。相反地,期望判斷提供來自遮罩的不對稱反射而提供的間距,如方塊1014中對於偏移測試圖案所示。這允許給定量的散焦,再現的圖案移位一定量。如上所述,移位量可 以與散焦量相關聯。偏移測試圖案(例如,在方塊1012中提供)可以實質上類似於形成的電路圖案的尺度。
然後,方法400可以進行到方塊406,其中判斷測試圖案上進行的複數個測量。複數個測量可以實質上類似於上面參考方塊312所討論的測量。第11A圖-第11C圖示出了用於從測試圖案1102獲得的測量的三個示例的選項。測試圖案1102可以由上面討論的方塊402以及404判斷以及/或實質上類似於上面討論的測試圖案,包括參考方塊304以及第5A圖以及第5B圖。測試圖案1102被示出為在目標基板上形成為測試圖案結構並且適合於藉由測量裝置像是掃描電子顯微鏡而獲得其尺度。
在選項A中示出了尺度1108以及尺度1110A/B的測量。可以使用掃描電子顯微鏡測量尺度1108以及/或1110A/B。在選項B中示出了尺度1112以及尺度1114A/B的測量。可以使用掃描電子顯微鏡測量尺度1112以及/或1114A/B。如圖所示,與選項A相比,選項B測量包括相鄰間隔,終止於下一個相鄰線/多邊形。在選項C中示出了尺度1116以及尺度1118A/B的測量。可以使用掃描電子顯微鏡測量尺度1116以及/或1118A/B。需注意的是,測量尺度1116以及1118A/B,使得它們包括複數個多邊形/線,像是上面參考方塊312所討論的,例如,測量直到第n個多邊形/線。
對於示出的選項A、選項B以及選項C中的每一個分別是複數個圖表。每個圖表1104示出了對於複數個劑量(額定的百分比)分別相對於散焦(nm)圖示的關聯尺度1108、1112或1116(nm)。每個圖表1106示出了關聯的:測量1110A以及1110B的差異、1114A以及1114B的差異、或1118A以及1118B的差異,分別針對複數個劑量(額定的百分比)對於散焦(nm)圖示。
選項A的圖表1104示出了對於給定劑量的尺度1108差異約1.5以及約1.8奈米之間。相比之下,選項B的圖表1104示出了0.2奈米的尺度1112的差 異。此外,選項C的圖表1104示出了0.1奈米的尺度1116的測量差異。選項C的圖表1104產生最線性的響應。因此,選項B提供了改善的(超過選項A的)用於將測量(1112)與劑量/焦點相關聯的準確度,如上面參考方塊314所討論的。類似地,選項C提供了改善的(超過選項A以及B)用於將測量(1116)與劑量/焦點相關聯的準確度,如上面參考方塊314所討論的。類似地,個別的圖表1106在選項C提供比選項B更大的相關係數(R),其中提供了對選項A的改進。因此,第11A圖-第11C圖示出了判斷所選測試圖案的測量標準的重要性。
來到第12圖,示出了支持解釋使用不對稱源以曝光測試圖案的方塊圖,如上面參考第4圖的方塊404與基線(對稱照明)相比較所述。與不對稱源相比,偶極照明模式被示為入射遮罩,其提供圖案化光如第1級以及第0級透射所示。如第12圖左方所示,這些是對稱的,因此,儘管焦點發生變化,但在它們的組合中沒有看到偏移。相比於此,對於第12圖的右方部分以及提供不對稱源,圖案偏移由偏移量化,因為在對稱的圖案光之間沒有干涉。因此,此方法的某些實施例依賴於不對稱源,提供了超過對稱源的驚人益處。
在各種實施例中,藉由使用專用的固定功能計算元件以及執行軟體指令的可編程計算元件的組合來執行此技術。因此,應理解的是,方法300的任何步驟可以由計算系統使用儲存在處理系統可存取的非暫時性機器可讀媒體上或其中的相應指令來實現。參考第9圖描述這種系統以及非暫時性機器可讀媒體的示例。在這方面,第9圖是根據本揭露的各種實施例的微影環境900的方塊圖。
微影環境900包括控制系統902。控制系統902包括處理資源904,其可包括任何數量以及類型的處理元件,像是中央處理單元(Central Processing Units,CPU)、圖形處理單元(Graphical Processing Units,GPU)、特殊應用積體電路(application specific integrated circuit,ASIC)、微控制器、以及/或其他合 適的處理元件。處理資源904耦合到有形非暫時性機器可讀媒體906以執行儲存在媒體906上的指令。出於本描述的目的,有形非暫時性機器可讀媒體906可以是可以儲存程式以供指令執行系統、設備、或裝置使用或與之結合使用的任何設備。媒體906可以包括非永久性儲存器包括磁儲存器、固態儲存器、光學儲存器、快取記憶體、以及/或電池支持的隨機存取儲存器(Random Access Memory,RAM)。在各種示例中,媒體906儲存使得處理資源904執行微影系統914的判斷參數的方法300的製程的指令,其可以實質上類似於上面參考第1圖以及第2圖描述的系統100以及/或200。在一些實施例中,媒體906儲存使得處理資源904執行下面參考第10圖描述的方法1000的指令,其包括判斷適用於判斷微影系統的參數的測試圖案佈局。
為了此目的,控制系統902可以包括製造接口908,其向像是上面討論的系統100(例如,微影系統)或系統200的微影系統發送以及接收信號。控制系統902也可以包括輸入/輸出(Input/Output,I/O)接口912,用於與使用者以及/或其他計算系統通信測試資訊以及結果。因此,輸入/輸出接口912可以包括用於影像輸出的控制器(例如,圖形處理單元)、使用者輸入(例如,用於鍵盤、滑鼠、筆輸入裝置、觸控板等的控制器)、網路控制器(例如,以太網以及/或無線通信控制器)、以及/或其他合適的輸入/輸出控制器。控制系統902可以實質上分別類似於第1圖以及第2圖的控制系統118以及控制裝置202。
第13圖示出了在產線上實現第3圖的方法。如圖所示,每個“J”批次提供測試圖案的樣品,然而,任何區間都是可能的。第一批次包括由如方法300的方塊308所示曝光的電路圖案。對於第一批次,接著提供基線曝光以及測試圖案的測量。這如第3圖的方法300的方塊310以及312所示。在一個實施例中,基線曝光提供給定參數或一組參數(例如,劑量、焦點)。在批次J中,在執行批次J的電路圖案的照射之前或之後(方塊308),如方塊310所示,執行測試結 構的另一次曝光。如方塊312所示測量來自此曝光的圖像,並且從批次1的基線圖像判斷差異。在一個實施例中,藉由給定值判斷焦點偏移。然後此資訊用於調整生產曝光條件,如方法300的方塊318所示。在所示實施例中,對J+2批次進行調整,但是“2”可以是任何數字,取決於生產曝光線的產量。此調整允許J+2批次在結果焦點方面與批次1匹配。
在批次2J,在執行批次J的電路圖案的照射之前或之後(方塊308),如方塊310所示,執行測試結構的另一次曝光。如方塊312所示,測量來自此曝光的圖像,並且從批次1的基線圖像判斷的差異。在一個實施例中,藉由給定值判斷焦點偏移。然後此資訊用於調整生產曝光條件,如方法300的方塊318所示。在所示實施例中,對2J+2批次進行調整,但是“2”可以是任何數字,取決於生產曝光線的產量。此調整允許2J+2批次在結果焦點方面與批次1匹配。這可以在整個生產中繼續。
因此,在一些實施例中提供了用於監控以及/或控制微影機台的系統以及方法,像是用於極紫外或浸沒式微影曝光的微影機台。藉由判斷其測試結構以及/或測量標準,可以獲得測試結構的偏移與散焦量之間的適當相關性。這可以用於以較低成本以及較少時間密集的方式監控以及/或控制電路圖案的曝光。此技術在沒有電路圖案的情況下在晶圓上施加一次額外曝光(用第二照明,不對稱照明)。然後藉由掃描電子顯微鏡測量曝光的特徵。然後從掃描電子顯微鏡圖像中提取焦點資訊。因此,本揭露提供了方法的示例,包括執行半導體裝置的微影製程的方法。此方法可以包括提供具有多條線的測試圖案,所述複數個線以第一間距以及第二間距排列。曝光測試圖案以形成在半導體基板上具有測試圖案的測試結構。測量測試結構包括判斷複數個線的第一線以及複數個線的第二線之間的距離,其中複數個線中的至少第三線插入第一線以及第二線之間,並且將距離與微影參數的偏移相關聯。然後在將電路圖案曝光在另一半 導體基板上之前調整微影參數。在一些實施例中,其中判斷距離是使用掃描電子顯微鏡執行。在一些實施例中,其中微影參數是焦點或劑量。在一些實施例中,其中第三線係以第二間距設置。在一些實施例中,其中測量測試結構更包括判斷第三線與第一線之間的第二距離;以及判斷第三線與第二線之間的第三距離。在一些實施例中。方法更包括將第二距離以及第三距離之間的差值與另一微影參數相關聯。在一些實施例中,其中另一微影參數是最佳焦點。
執行微影製程的另一種方法包括提供測試圖案,測試圖案包括以第一間距排列的第一組線、以第一間距排列的第二組線,並且更包括在第一組線與第二組線之間的至少一條參考線。用提供不對稱的單極照明分佈的輻射源曝光測試圖案,以在基板上形成測試圖案結構。方法包括測量測試圖案結構。測量包括判斷第一組線的第一線與第二組線的第二線之間的距離;以及將距離與微影參數的偏移相關聯。基於微影參數的偏移調整微影製程。執行調整的微影製程以將電路圖案曝光到另一個基板上。在一些實施例中,其中第一線距離至少一條參考線至少三條線。在一些實施例中,其中第二線距離至少一條參考線至少三條線。在一些實施例中,其中執行調整的微影製程是極紫外(EUV)製程。在一些實施例中,其中執行調整的微影製程是浸沒式微影製程。在一些實施例中,其中測量包括判斷第一線與至少一條參考線的第一邊緣之間的第二距離,以及判斷第二線與至少一條參考線的第二邊緣之間的第三距離。在一些實施例中,其中提供測試圖案包括在反射遮罩上形成測試圖案。在一些實施例中,其中提供測試圖案包括在透射遮罩上形成測試圖案。
監控微影製程之方法的另一實施例包括使用微影系統的第一照明模式在複數個第一半導體基板上執行曝光製程。使用微影系統的第二照明模式在另一半導體基板上執行監控曝光製程。監控曝光製程包括照射測試圖案的第一區域以提供對稱繞射,以及照射測試圖案的第二區域以提供不對稱繞射。 測量曝光在另一半導體基板上的測試圖案,以判斷測試圖案的第二區域的特徵的移位。使用判斷的移位以判斷微影系統的散焦量。在一些實施例中,方法更包括使用判斷的散焦量以調整微影系統的參數,以在執行監控曝光製程之後曝光複數個第二半導體基板。在一些實施例中,其中使用第一照明模式執行曝光複數個第二半導體基板。在一些實施例中,其中第一照明模式是對稱照射。在一些實施例中,其中第二照明模式是不對稱照射。
前面概述數個實施例之特徵,使得本技術領域中具有通常知識者可更好地理解本揭露的各方面。本技術領域中具有通常知識者應理解的是,可輕易地使用本揭露作為設計或修改其他製程以及結構的基礎,以實現在此介紹的實施例之相同目的及/或達到相同優點。本技術領域中具有通常知識者亦應理解的是,這樣的等效配置不背離本揭露的精神以及範圍,且在不背離本揭露之精神以及範圍的情況下,可對本揭露進行各種改變、替換以及更改。
300:方法
302、304、306、308、310、312、314、316、318、320、322:方塊

Claims (14)

  1. 一種執行半導體裝置之微影製程之方法,包括:提供具有複數個線的一測試圖案,該等複數個線以一第一間距以及一第二間距排列;曝光該測試圖案以在一半導體基板上形成具有該測試圖案的一測試結構;測量該測試結構,其中該測量包括:判斷該等複數個線的一第一線與該等複數個線的一第二線之間的一距離,其中該等複數個線的至少一第三線插入該第一線與該第二線之間;以及使該距離與一微影參數的一偏移相關聯;以及將一電路圖案曝光在另一半導體基板上之前調整該微影參數。
  2. 如申請專利範圍第1項所述之執行半導體裝置之微影製程之方法,其中判斷該距離是使用一掃描電子顯微鏡執行。
  3. 如申請專利範圍第1項所述之執行半導體裝置之微影製程之方法,其中該微影參數是焦點或劑量。
  4. 如申請專利範圍第1項所述之執行半導體裝置之微影製程之方法,其中該第三線係以該第二間距設置。
  5. 如申請專利範圍第1項所述之執行半導體裝置之微影製程之方法,其中測量該測試結構更包括:判斷該第三線與該第一線之間的一第二距離;以及判斷該第三線與該第二線之間的一第三距離。
  6. 如申請專利範圍第5項所述之執行半導體裝置之微影製程之方法,更包括:將該第二距離以及該第三距離之間的一差值與另一微影參數相關聯,其中該另一微影參數是一最佳焦點。
  7. 一種執行半導體裝置之微影製程之方法,包括:提供一測試圖案,其中該測試圖案包括以一第一間距排列的一第一組線,以該第一間距排列的一第二組線,並且其中該測試圖案更包括在該第一組線與該第二組線之間的至少一條參考線;用提供一不對稱的單極照明分佈的一輻射源曝光該測試圖案,以在一基板上形成一測試圖案結構;測量該測試圖案結構,其中該測量包括:判斷該第一組線的一第一線與該第二組線的一第二線之間的一距離;以及將該距離與一微影參數的一偏移相關聯;基於該微影參數的該偏移調整一微影製程;以及執行該調整的微影製程以將一電路圖案曝光到另一個基板上。
  8. 如申請專利範圍第7項所述之執行半導體裝置之微影製程之方法,其中該第一線距離該至少一條參考線至少三條線,以及其中該第二線距離該至少一條參考線至少三條線。
  9. 如申請專利範圍第7項所述之執行半導體裝置之微影製程之方法,其中執行該調整的微影製程是一極紫外(EUV)製程或是一浸沒式微影製程。
  10. 如申請專利範圍第7項所述之執行半導體裝置之微影製程之方法,其中該測量包括判斷該第一線與該至少一條參考線的一第一邊緣之間的一第二距離,以及判斷該第二線與該至少一條參考線的一第二邊緣之間的一第三距離。
  11. 如申請專利範圍第7項所述之執行半導體裝置之微影製程之方法,其中提供該測試圖案包括在一反射遮罩或一透射遮罩上形成該測試圖案。
  12. 一種監控微影製程之方法,包括: 使用一微影系統的一第一照明模式在一複數個第一半導體基板上執行一曝光製程;使用該微影系統的一第二照明模式在另一半導體基板上執行一監控曝光製程,其中該監控曝光製程包括:照射一測試圖案的一第一區域以提供一對稱繞射;以及照射該測試圖案的一第二區域以提供一不對稱繞射;測量曝光在該另一半導體基板上的該測試圖案,以判斷該測試圖案的該第二區域的特徵的一移位;以及使用該判斷的移位以判斷該微影系統的一散焦量。
  13. 如申請專利範圍第12項所述之監控微影製程之方法,更包括:使用該判斷的散焦量以調整該微影系統的一參數,以在執行該監控曝光製程之後曝光複數個第二半導體基板,其中使用該第一照明模式執行曝光該等複數個第二半導體基板。
  14. 如申請專利範圍第12項所述之監控微影製程之方法,其中該第一照明模式是對稱照射,以及該第二照明模式是不對稱照射。
TW108135295A 2018-09-28 2019-09-27 執行和監控微影製程之方法 TWI728483B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738198P 2018-09-28 2018-09-28
US62/738,198 2018-09-28
US16/227,939 2018-12-20
US16/227,939 US10962892B2 (en) 2018-09-28 2018-12-20 Lithography process monitoring method

Publications (2)

Publication Number Publication Date
TW202026755A TW202026755A (zh) 2020-07-16
TWI728483B true TWI728483B (zh) 2021-05-21

Family

ID=69947346

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135295A TWI728483B (zh) 2018-09-28 2019-09-27 執行和監控微影製程之方法

Country Status (4)

Country Link
US (2) US10962892B2 (zh)
KR (1) KR102257460B1 (zh)
CN (1) CN110967934B (zh)
TW (1) TWI728483B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111430261B (zh) * 2020-05-21 2023-01-24 中国科学院微电子研究所 一种光刻机工艺稳定性检测方法及装置
US20230305407A1 (en) * 2020-07-28 2023-09-28 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
CN115167019A (zh) * 2022-07-15 2022-10-11 苏州华星光电技术有限公司 曝光缺陷感知装置、显示终端及曝光缺陷感知方法
EP4343436A1 (en) * 2022-09-20 2024-03-27 ASML Netherlands B.V. Optical alignment system and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040223137A1 (en) * 2001-10-10 2004-11-11 Littau Michael E. Determination of center of focus by cross-section analysis
TW201102769A (en) * 2009-07-14 2011-01-16 Nanya Technology Corp Integrated alignment and overlay mark
TW201324061A (zh) * 2011-11-01 2013-06-16 Kla Tencor Corp 用於測量多節距的重疊目標幾何
TWI432915B (zh) * 2008-04-03 2014-04-01 Powerchip Technology Corp 監控微影製程之方法與監控標記

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3615181B2 (ja) * 2001-11-06 2005-01-26 株式会社東芝 露光装置の検査方法、焦点位置を補正する露光方法、および半導体装置の製造方法
WO2006012388A2 (en) 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
JP2009104024A (ja) 2007-10-25 2009-05-14 Panasonic Corp 露光マスク、フォーカス測定方法及びパターン形成方法
CN101169594B (zh) * 2007-11-23 2010-06-09 上海微电子装备有限公司 一种光刻机成像质量测量方法
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9411223B2 (en) * 2012-09-10 2016-08-09 Globalfoundries Inc. On-product focus offset metrology for use in semiconductor chip manufacturing
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9304403B2 (en) 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9134633B2 (en) 2013-12-23 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dark field inspection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US20160033879A1 (en) * 2014-07-30 2016-02-04 GlobalFoundries, Inc. Methods and controllers for controlling focus of ultraviolet light from a lithographic imaging system, and apparatuses for forming an integrated circuit employing the same
US10401740B2 (en) * 2015-05-15 2019-09-03 Kla-Tencor Corporation System and method for focus determination using focus-sensitive overlay targets
KR102066588B1 (ko) 2015-06-12 2020-01-15 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
CN108369389B (zh) * 2015-12-21 2021-06-18 Asml荷兰有限公司 用于测量光刻设备的聚焦性能的方法和图案形成装置及设备、器件制造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040223137A1 (en) * 2001-10-10 2004-11-11 Littau Michael E. Determination of center of focus by cross-section analysis
TWI432915B (zh) * 2008-04-03 2014-04-01 Powerchip Technology Corp 監控微影製程之方法與監控標記
TW201102769A (en) * 2009-07-14 2011-01-16 Nanya Technology Corp Integrated alignment and overlay mark
TW201324061A (zh) * 2011-11-01 2013-06-16 Kla Tencor Corp 用於測量多節距的重疊目標幾何

Also Published As

Publication number Publication date
CN110967934A (zh) 2020-04-07
US20200103766A1 (en) 2020-04-02
US10962892B2 (en) 2021-03-30
CN110967934B (zh) 2022-08-05
US11467509B2 (en) 2022-10-11
KR102257460B1 (ko) 2021-06-01
US20210286274A1 (en) 2021-09-16
KR20200037055A (ko) 2020-04-08
TW202026755A (zh) 2020-07-16

Similar Documents

Publication Publication Date Title
TWI728483B (zh) 執行和監控微影製程之方法
KR100871505B1 (ko) 노광장치 및 방법
KR100588182B1 (ko) 노광장치와 노광방법
TWI616724B (zh) 微影裝置及元件製造方法
TWI672558B (zh) 度量衡裝置、度量衡目標及判定一邊緣粗糙度參數之方法
JP4968589B2 (ja) 基板処理方法、フォトマスクの製造方法及びフォトマスク、並びにデバイス製造方法
US10871716B2 (en) Metrology robustness based on through-wavelength similarity
US8029954B2 (en) Exposure method and memory medium storing computer program
JP2003318086A (ja) 照明光学系、当該照明光学系を有する露光装置及びデバイス製造方法
US7947433B2 (en) Exposure method
JP4398852B2 (ja) プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法
JP2006179937A (ja) リソグラフィ装置、エキシマ・レーザ、およびデバイス製造方法
JP2007123333A (ja) 露光方法
US20070031744A1 (en) Lithography process optimization and system
JP2011129907A (ja) リソグラフィ装置用改善偏光設計
TW201805735A (zh) 用於euv投射微影之照射光學單元與光學系統
TWI687780B (zh) 評估極紫外光微影設備焦距控制之方法、控制極紫外光微影製程之方法、及極紫外光微影設備
TW202013089A (zh) 光學無光罩
JP2007294934A (ja) 計測方法及び装置、露光装置及び方法、調整方法、並びに、デバイス製造方法
KR20210007275A (ko) 오버레이 보정 방법, 및 그 보정 방법을 기초로 한 포토리소그라피 방법, 반도체 소자 제조방법 및 스캐너 시스템
US11782352B2 (en) Lithography process monitoring method
US11016399B2 (en) Method for controlling a manufacturing apparatus and associated apparatuses
TWI844923B (zh) 使用帶電粒子檢測系統之圖案化參數判定
EP3467589A1 (en) Determining edge roughness parameters
TW202326293A (zh) 圖案化器件缺陷偵測系統及方法