CN116802780B - 自主基板处理系统 - Google Patents

自主基板处理系统 Download PDF

Info

Publication number
CN116802780B
CN116802780B CN202280009021.2A CN202280009021A CN116802780B CN 116802780 B CN116802780 B CN 116802780B CN 202280009021 A CN202280009021 A CN 202280009021A CN 116802780 B CN116802780 B CN 116802780B
Authority
CN
China
Prior art keywords
machine learning
process chamber
measurements
learning model
trained machine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202280009021.2A
Other languages
English (en)
Other versions
CN116802780A (zh
Inventor
P·潘达
连磊
韩鹏昱
T·J·伊根
P·阿吉
E·莫
A·J·汤姆
L·M·泰德斯奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202410595417.0A priority Critical patent/CN118522667A/zh
Publication of CN116802780A publication Critical patent/CN116802780A/zh
Application granted granted Critical
Publication of CN116802780B publication Critical patent/CN116802780B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4155Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by programme execution, i.e. part programme or machine function execution, e.g. selection of a programme
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31368MAP manufacturing automation protocol
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Artificial Intelligence (AREA)
  • Manufacturing & Machinery (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Human Computer Interaction (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种基板处理系统包括:一个或多个传输腔室;多个工艺腔室,连接至一个或多个传输腔室;以及计算设备,连接至多个工艺腔室中的每一者。所述计算设备用于:在第一工艺腔室内执行工艺期间或之后接收由第一工艺腔室的传感器产生的第一测量;基于使用第一经训练的机器学习模型处理所述第一测量来确定第一工艺腔室应要维护;在已经对第一工艺腔室执行了维护之后,在第一工艺腔室内执行调理工艺期间或之后接收由传感器产生的第二测量;以及基于使用第二经训练的机器学习模型处理所述第二测量来确定第一工艺腔室准备好重新投入使用。

Description

自主基板处理系统
技术领域
本公开的实施例涉及自主或半自主基板处理系统、工具和/或工艺腔室。
背景技术
传统地,由工艺腔室执行的制造配方是以机械方式应用且在不对原位条件作出反应的情况下应用的静态配方。此外,对何时对工艺腔室执行维护及何时使工艺腔室重新投入使用的确定是静态地基于设定的时间表及预定的配方来作出的。工艺腔室一般不具有任何自主性或能力作出它们自己关于工艺配方、维护、工具鉴定等等的决策。
发明内容
本文中所述的实施例中的一些涵盖了一种基板处理系统,该基板处理系统包括:一个或多个传输腔室;多个工艺腔室,连接至所述一个或多个传输腔室;以及计算设备,连接至所述多个工艺腔室中的每一者。所述多个工艺腔室包括第一工艺腔室和第二工艺腔室,所述第一工艺腔室包括第一多个传感器,所述第二工艺腔室包括第二多个传感器。所述计算设备用于:在所述第一工艺腔室内执行的工艺的第一实例期间或之后从所述第一工艺腔室的所述第一多个传感器中的至少一者接收一个或多个第一测量;使用经训练的机器学习模型来处理所述一个或多个第一测量,其中所述经训练的机器学习模型用于基于对所述一个或多个第一测量的处理来产生第一输出;基于所述经训练的机器学习模型的所述第一输出,导致关于所述第一工艺腔室执行第一动作;确定所述第一动作的第一结果;以及基于所述一个或多个第一测量、所述第一输出和所述第一动作的所述第一结果来更新所述经训练的机器学习模型的训练。
在一些实施例中,一种工艺工具包括:工艺腔室;多个传感器,连接至所述工艺腔室;以及计算设备,连接至所述工艺腔室且连接至所述多个传感器中的每一者。所述计算设备用于:在所述工艺腔室内执行的工艺期间或之后从所述第一多个传感器中的至少一者接收一个或多个测量;使用经训练的机器学习模型来处理所述一个或多个测量,其中所述经训练的机器学习模型用于基于对所述一个或多个测量的处理来产生输出;基于所述经训练的机器学习模型的所述输出,导致关于所述工艺腔室执行动作;确定所述动作的结果;以及基于所述一个或多个测量、所述输出和所述动作的所述结果来更新所述经训练的机器学习模型的训练。
在一些实施例中,一种基板处理系统包括:一个或多个传输腔室;多个工艺腔室,连接至所述一个或多个传输腔室;以及计算设备,连接至所述多个工艺腔室中的每一者。所述多个工艺腔室包括第一工艺腔室,所述第一工艺腔室包括第一多个传感器。所述计算设备用于:在所述第一工艺腔室内执行工艺期间或之后接收由所述第一工艺腔室的所述第一多个传感器产生的第一测量;基于使用第一经训练的机器学习模型处理来自所述第一多个传感器的所述第一测量来确定所述第一工艺腔室应要维护;在已经对所述第一工艺腔室执行了维护之后,在所述第一工艺腔室内执行调理工艺期间或之后接收由所述第一工艺腔室的所述多个传感器产生的第二测量;以及基于使用第二经训练的机器学习模型处理来自所述多个传感器的所述第二测量来确定所述第一工艺腔室准备好重新投入使用。
根据本公开的这些和其他方面提供了许多其他特征。根据下面的详细说明、权利要求和附图,将更全面地理解本公开的其他特征和方面。
附图说明
通过示例的方式而非限制的方式在附图的图式中示出本公开,其中相同的附图标记指示相同的元件。应注意,在本公开中对于“一”或“一个”实施例的不同指称不一定指相同的实施例,且此类指称意指至少一个。
图1是根据实施例的第一示例自主或半自主制造系统的俯视示意图。
图2描绘根据实施例的自主或半自主处理腔室的截面图。
图3是根据实施例的由工艺工具和/或基板处理系统自动作出决策和执行动作的方法的流程图。
图4是根据实施例的自动确定何时停止蚀刻工艺的方法的流程图。
图5是根据实施例的自动确定何时对工艺腔室执行维护的方法的流程图。
图6是根据实施例的自动确定在已经执行维护之后何时使工艺腔室重新投入使用的方法的流程图。
图7是根据实施例的由工艺工具和/或基板处理系统自主作出多个决策的方法的流程图。
图8是根据实施例的使用传感器数据集合来确定何时达到蚀刻终点和确定是否为蚀刻工艺腔室排定维护的方法的流程图。
图9是根据实施例的自动确定何时排定工艺腔室进行维护和在维护之后何时使工艺腔室重新投入使用的方法的流程图。
图10示出了以计算设备的示例形式的机器的图解表示,在所述计算设备内可执行指令集,该指令集用于使机器执行本文所论述的方法论中的任何一者或多者。
具体实施方式
本文中所述的实施例涉及自主和半自主基板处理系统(例如,平台或工具群集)、工艺工具和工艺腔室,以及训练此类基板处理系统、工具和/或工艺腔室的方法及由此类基板处理系统、工具和/或工艺腔室自动作出决策的方法。在实施例中,基板处理系统、工艺工具和/或工艺腔室的控制器和/或其他计算设备包括一个或多个经训练的机器学习模型,其被训练为从工艺腔室的传感器接收传感器测量并提供使计算设备能够作出关于要执行什么动作的决策的输出。此类决策的示例包括停止蚀刻工艺的决策、排定工艺腔室进行维护的决策和在已经执行维护之后停止对工艺腔室进行调理(season)的决策。在实施例中,自主工具或半自主工具是可以在不向远程计算设备传输数据的情况下在本地作出决策的工具。在实施例中,智能工具(也称为自主工具或半自主工具)能够确定何时停止工艺、何时执行维护和何时停止对工艺腔室进行调理并在对工艺腔室执行维护之后使工艺腔室重新投入使用。在实施例中,智能工具是以下各项中的两者或更多者:基于第一机器学习模型的输出来确定何时停止蚀刻工艺、基于第二机器学习模型的输出来确定何时对工艺腔室执行维护以及基于第三机器学习模型的输出来确定何时停止对工艺腔室进行调理并使工艺腔室重新投入使用。
在实施例中,经训练的机器学习模型是基于边缘的模型,其在工具和/或基板处理系统(例如,平台、传输腔室、主机、工厂接口和/或工具群集)本身上执行而不是在远程计算设备上执行。机器学习模型的训练可以远程地执行,之后经训练的机器学习模型可以被传输到工具和/或基板处理系统,或者可以在工具和/或基板处理系统上执行。机器学习模型的再训练或训练更新可以在工具和/或基板处理系统上周期性地或连续地执行。通过将机器学习模型的执行和/或训练(包括再训练)移动至工具和/或基板处理系统,可以显著减少传感器测量的生成与基于此类传感器测量作出决策之间的延迟。这改进了工具和/或基板处理系统对工艺腔室作出实时决策的能力。此外,将作出决策移动至工具和/或基板处理系统降低通过网络传送的数据量、提高效率且提高可以作出决策的速度。例如,在包括训练为检测基板处理系统或工具上的蚀刻终点的机器学习模型的实施例中,可以在接收到触发何时停止蚀刻工艺的决策的传感器数据之后的数秒或几分之一秒内作出此类决策。
在另一示例中,可以在第一基板上执行工艺之后且在下一基板上执行工艺之前作出是否对工艺腔室排定维护的决策。例如,关闭工具进行维修的决策可以在基板在工具的工艺腔室中被处理的1-5分钟内、在基板在工艺腔室中被处理的小于一分钟内或甚至在基板在工艺腔室中被处理的数秒或几分之一秒内作出。此类快速的响应时间减少产品基板(将产生将卖给客户的设备产品的基板)暴露于不符合规格且可导致基板的污染和/或最终制造的产品的故障的工艺腔室。在另一示例中,可以在工艺腔室上的调理工艺行程之间作出在已经对工艺腔室执行维护之后是否停止对该工艺腔室进行调理的决策。这可以减少重新鉴定工具并使其重新投入使用的时间量、减少工具的整体拥有成本和/或增大工具的寿命吞吐量。此外,动态地确定何时停止对工艺腔室进行调理减少了用于执行调理的资源(例如,气体、晶片等)量。
现在参照附图,图1是根据本公开的至少一些实施例的被配置用于基板制造的群集工具100(也称为系统、基板处理系统或制造系统)的图示。群集工具100包括一个或多个真空传输腔室(VTM)101、102、工厂接口104、多个处理腔室/模块106、108、110、112、114、116和118以及平台控制器120。服务器计算设备也可以连接至群集工具100(例如,连接至群集工具100的平台控制器120)。在具有多于一个VTM的实施例(诸如图1中所示)中,可以提供一个或多个穿通腔室(称为通孔)以促进从一个VTM到另一VTM的真空传输。在与图1中所示的实施例一致的实施例中,可以提供两个穿通腔室(例如,穿通腔室140和穿通腔室142)。
工厂接口104包括装载端口122,装载端口122被配置为例如从前开式标准舱(FOUP)或其他合适的基板容纳盒或载具接收要使用群集工具100来处理的一个或多个基板。装载端口122可包括一个或多个装载区域124a-124c,其可用于装载一个或多个基板。示出了三个装载区域。然而,可以使用更多或更少的装载区域。
工厂接口104包括大气传输模块(ATM)126,其用于传输已经被装载到装载端口122中的基板。更详细而言,ATM 126包括一个或多个机械臂128(以虚线示出),该一个或多个机械臂128被配置为将基板从装载区域124a-124c通过门135(以虚线示出,也称为缝阀)传输至ATM 126,门135将ATM 126连接至装载端口122。通常,每个装载端口(124a-124c)有一个门,以允许从相应的装载端口向ATM 126传输基板。机械臂128也被配置为将基板从ATM 126通过门132(以虚线示出,每个装载锁有一个门)传输至装载锁130a、130b,门132将ATM 126连接至气闸130a、130b。装载锁的数量可以多于或少于二,但仅出于说明的目的,示出了两个装载锁(130a和130b),其中每个装载锁具有用于将其连接至ATM 126的门。装载锁130a-130b可以是或可以不是批量装载锁。
在平台控制器120的控制之下,装载锁130a、130b可以被维持在大气压力环境或真空压力环境下,且用作正向/从VTM 101、102传输的基板的中间或暂时的保持空间。VTM 101包括机械臂138(以虚线示出),其被配置为在没有真空中断的情况下(即,在维持VTM 102和多个处理腔室106、108和穿通腔室140和142内的真空压力环境的同时),将基板从装载锁130a、130b传输至多个处理腔室106、108(也称为工艺腔室)中的一者或多者或传输至一个或多个穿通腔室140和142(也称为通孔)。VTM 102包括机械臂138(呈虚线),其被配置为在没有真空中断的情况下(即,在维持VTM 102和多个处理腔室106、108、110、112、114、116和118内的真空压力环境的同时),将基板从气闸130a、130b传输至多个处理腔室106、108、110、112、114、116和118中的一者或多者。
门134(例如,缝阀门)将每个相应的装载锁130a、130b连接至VTM 101。类似地,门136(例如,缝阀门)将每个处理模块连接至相应的处理模块耦合至的VTM(例如,VTM 101或VTM 102中的任一者)。多个处理腔室106、108、110、112、114、116和118被配置为执行一个或多个工艺。可以通过处理腔室106、108、110、112、114、116和118中的一者或多者来执行的工艺的示例包括清洁工艺(例如,从基板移除表面氧化物的预清洁工艺)、退火工艺、沉积工艺(例如,用于沉积帽层、硬模层、屏障层、位线金属层、屏障金属层等)、蚀刻工艺等等。可以通过工艺腔室中的一者或多者来执行的沉积工艺的示例包括物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)等等。可以通过工艺腔室中的一者或多者来执行的蚀刻工艺的示例包括等离子体蚀刻工艺。
平台控制器120(例如,工具和装备控制器)可以控制群集工具100的各种方面,例如,处理腔室中的气体压力、个别气体流、空间流量比、各种工艺腔室中的等离子体功率、各种腔室组件的温度、处理腔室的射频(RF)或电气状态等等。控制器120可以从群集工具100的组件中的任一者接收信号和向群集工具100的组件中的任一者发送命令,所述组件诸如机械臂128、138、工艺腔室106、108、110、112、114、116和118、装载锁130a-130b、缝阀门和/或一个或多个传感器和/或群集工具100的其他处理组件。因此,控制器120可以控制处理的启动和停止、可以调整沉积速率和/或目标层厚度、可以调整工艺温度、可以调整沉积组成物的类型或混合、可以调整蚀刻速率等等。控制器120可以进一步从各种传感器接收和处理测量数据(例如,光学测量数据),并基于此类测量数据来作出决策。
在各种实施例中,控制器120可以是和/或可以包括计算设备,诸如个人计算机、服务器计算机、可程序化逻辑控制器(PLC)、微控制器等等。控制器120可包括(或可以是)一个或多个处理设备,其可以是通用处理设备,诸如微处理器、中央处理单元等。更详细而言,处理设备可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器或实施其他指令集的处理器或实施指令集的组合的处理器。处理设备也可以是一个或多个专用处理设备,诸如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。控制器120可包括数据存储设备(例如,一个或多个磁盘驱动器和/或固态驱动器)、主存储器、静态存储器、网络接口和/或其他组件。控制器120的处理设备可以执行指令以执行本文中所述的方法论和/或实施例中的任一者或多者。指令可以存储在计算机可读存储设备上,该计算机可读存储设备可包括主存储器、静态存储器、辅助存储器和/或处理设备(在指令的执行期间)。
在实施例中,与群集工具的传统控制器的处理功率和存储器大小相比,控制器120的处理设备和存储器具有更大的容量。在实施例中,处理设备和存储器足以应付多个经训练的机器学习模型的并行执行和使用,以及机器学习模型的训练。例如,存储器和处理设备可以足以应付6-15个不同机器学习模型的并行执行(例如,工艺腔室106-108中的每一者有一个或多个机器学习模型)。
在一个实施例中,控制器120包括自主工具引擎121。自主工具引擎121可以以硬件、固件、软件或其组合实施。自主工具引擎121被配置为接收和处理在工艺腔室上执行工艺期间和/或之后由工艺腔室106、108、110、112、114、116和118的一个或多个传感器产生的测量数据。传感器测量可以包括温度测量、气体流速、等离子体功率测量、压力测量、电压测量、电流测量、电阻测量、时间测量、光学测量(例如,诸如光学发射光谱测量和/或反射计测量)、位置测量和/或其他类型的测量。一些示例测量包括腔室压力(例如,其可以以毫托为单位测量)、喷嘴中心通道流速(例如,其可以以SCCM为单位测量)、喷嘴中间通道流速(例如,其可以以SCCM为单位测量)、压力控制器(例如,阀门)位置(例如,其可以被表示为诸如30%开启之类的百分比开启)、进入腔室的总气体流速(例如,其可以以SCCM为单位测量)、内部和/或外部等离子体源电流(例如,其可以以安培为单位测量)、等离子体源内部与外部电流比、源正向功率(例如,其可以以瓦特为单位测量)、等离子体源匹配网络电容器位置、等离子体源反射功率(例如,其可以以瓦特为单位测量)、等离子体源串联电容器位置(例如,其可以被表示为归一化的无单位值)、等离子体源分流电容器位置、RF偏压反射功率(例如,其可以以瓦特为单位测量)、RF偏压正向功率(例如,其可以以瓦特为单位测量)、RF源正向功率(例如,其可以以瓦特为单位测量)、RF源反射功率(例如,其可以以瓦特为单位测量)、一个或多个波长或频率(例如,对于3870nm、7035nm、775nm等等的波长)的OES光谱测量、等离子体源内部电压、等离子体源外部电压、一个或多个卡盘/加热器温度(例如,诸如静电卡盘内部温度及静电卡盘外部温度)、氦压力(例如,向基板与支撑基板的卡盘之间的间隙递送的氦的氦压力)、氦流速(例如,向基板与卡盘之间的间隙递送的氦的氦流速)、盖温度等等。这些测量中的一些或全部可以被组合以产生输入到自主工具引擎121的经训练的机器学习模型中的特征向量。
在平台控制器120上运行的自主工具引擎121可包括用于针对多个工艺腔室106、108、110、112、114、116、118进行控制和/或作出决策的一个或多个经训练的机器学习模型。所述一个或多个经训练的机器学习模型已被训练为接收来自工艺腔室106、108、110、112、114、116、118和/或与所述工艺腔室106、108、110、112、114、116、118相关联的传感器测量并作出关于工艺腔室或要由或正由工艺腔室执行的工艺的预测、分类或确定。经训练的机器学习模型中的每一者可以与工艺腔室106、108、110、112、114、116、118的不同决策过程相关联。
在一个实施例中,经训练的机器学习模型中的一者或多者是使用回归来训练的回归模型。回归模型的示例是使用线性回归或高斯回归来训练的回归模型。回归模型鉴于已知的X变量值预测Y的值。回归模型可以使用回归分析来训练,这可包括插值和/或外推。在一个实施例中,回归模型的参数是使用最小平方来估算的。或者,贝叶斯线性回归、百分比回归、最小绝对偏差、非参数回归、情境优化和/或距离度量学习可以被执行以训练回归模型。
在一个实施例中,经训练的机器学习模型中的一者或多者是决策树、随机森林、支持向量机或其他类型的机器学习模型。
在一个实施例中,经训练的机器学习模型中的一者或多者是人工神经网络(也简称为神经网络)。人工神经网络可以是例如卷积神经网络(CNN)或深度神经网络。在一个实施例中,处理逻辑执行监督式机器学习以训练神经网络。
人工神经网络通常包括具有将特征映射到目标输出空间的分类器或回归层的特征表示组件。卷积神经网络(CNN)例如包含多个卷积过滤器层。在较低层(其上通常附加了多层感知器)上执行池化并处理非线性,从而将由卷积层提取的顶层特征映射到决策(例如分类输出)。神经网络可以是具有多个隐藏层的深度网络或具有零个或数个(例如,1-2个)隐藏层的浅网络。深度学习是将多层非线性处理单元的级联用于特征提取和变换的机器学习算法类别。每个连续层使用来自先前的层的输出作为输入。神经网络可以以监督式(例如,分类)和/或非监督式(例如模式分析)的方式进行学习。一些神经网络(例如,诸如深度神经网络)包括层的层次结构,其中不同的层学习与不同抽象层级对应的不同表示层级。在深度学习中,每个层级学习将其输入数据转换成稍微更抽象且复合的表示。
经训练的机器学习模型中的一者或多者可以是递归神经网络(RNN)。RNN是一种神经网络,其包括用于使神经网络能够捕捉时间依赖性的存储器。RNN能够学习取决于当前输入和过去输入两者的输入-输出映射。RNN将处理过去和未来的测量,并基于该连续测量信息来作出预测。例如,传感器测量可以在工艺期间连续进行,且那些测量的集合可以依序被输入到RNN中。当前的传感器测量和先前的传感器测量可以影响经训练的机器学习模型的当前输出。可以使用的一种RNN是长短期记忆(LSTM)神经网络。
自主工具引擎121的一些经训练的机器学习模型可以用于具有共同工艺腔室类型且用于执行相同或类似工艺的多个不同的工艺腔室。例如,工艺腔室106和工艺腔室108可以都是执行相同蚀刻工艺的蚀刻腔室。经训练的机器学习模型可以用于确定何时排定工艺腔室106和工艺腔室108中的每一者进行维护。
一些经训练的机器学习模型可以是特定工艺腔室106、108、110、112、114、116、118特有的。对于此类经训练的机器学习模型而言,可能已经为工艺腔室106、108、110、112、114、116、118中的每一者训练了经训练的机器学习模型的不同实例。例如,自主工具引擎121可以包括:第一经训练的机器学习模型,用于确定何时排定工艺腔室106的维护;第二经训练的机器学习模型,用于确定何时排定工艺腔室108的维护;第三经训练的机器学习模型,用于确定何时排定工艺腔室110的维护;第四经训练的机器学习模型,用于确定何时排定工艺腔室112的维护;第五经训练的机器学习模型,用于确定何时排定工艺腔室114的维护;第六经训练的机器学习模型,用于确定何时排定工艺腔室116的维护;以及第七经训练的机器学习模型,用于确定何时排定工艺腔室118的维护。
自主工具引擎121的一些经训练的机器学习模型使用由工艺腔室和/或针对工艺腔室(例如,针对工艺腔室上执行的工艺)所产生的所有传感器测量。自主工具引擎121的一些经训练的机器学习模型使用产生的传感器测量的子集。例如,被训练为确定蚀刻终点的经训练的机器学习模型可以从一个或多个光学传感器接收测量(诸如反射计信号和/或光学发射光谱信号)作为输入。
在一个实施例中,自主工具引擎121包括维护管理器123。维护管理器123包括一个或多个经训练的机器学习模型,其被训练为从与工艺腔室相关联的传感器接收工艺腔室和/或工艺的传感器测量并输出关于是否应对工艺腔室执行维护的决策。在一个实施例中,维护管理器123针对群集工具100的每个工艺腔室106、108、110、112、114、116、118包括不同的经训练的机器学习模型。在一个实施例中,维护管理器123针对每个类型的工艺腔室包括不同的经训练的机器学习模型。在一个实施例中,维护管理器123针对执行特定工艺的每个类型的工艺腔室包括不同的经训练的机器学习模型。例如,工艺腔室106、108、110和112可以是相同类型的工艺腔室,其中工艺腔室106、108执行第一工艺,且工艺腔室110、112执行第二工艺。相应地,维护管理器123可包括用于工艺腔室106、108的第一经训练的机器学习模型和用于工艺腔室110、112的第二经训练的机器学习模型。
在一个实施例中,自主工具引擎121包括再鉴定管理器125。再鉴定管理器125包括一个或多个经训练的机器学习模型,其被训练为从与工艺腔室相关联的传感器接收工艺腔室和/或工艺的传感器测量并输出关于工艺腔室是否被正确调理且准备好重新投入使用的决策。传感器测量可以在工艺腔室中执行调理工艺期间和/或之后被接收。在实施例中,可以在覆面(blanket)基板、传感器基板、裸基板或测试基板在工艺腔室中的同时执行调理工艺。在一个实施例中,再鉴定管理器125针对群集工具100的每个工艺腔室106、108、110、112、114、116、118包括不同的经训练的机器学习模型。在一个实施例中,再鉴定管理器125针对每个类型的工艺腔室包括不同的经训练的机器学习模型。在一个实施例中,再鉴定管理器125针对执行特定工艺的每个类型的工艺腔室包括不同的经训练的机器学习模型。例如,工艺腔室106、108、110和112可以是相同类型的工艺腔室,其中工艺腔室106、108执行第一工艺,且工艺腔室110、112执行第二工艺。相应地,再鉴定管理器125可包括用于工艺腔室106、108的第一经训练的机器学习模型和用于工艺腔室110、112的第二经训练的机器学习模型。
在一个实施例中,自主工具引擎121包括工艺管理器127。工艺管理器127包括一个或多个经训练的机器学习模型,其被训练为从与工艺腔室相关联的传感器接收工艺腔室和/或工艺的传感器测量并输出关于特定的工艺条件是否被满足(诸如是否已经达到蚀刻终点)的决策。在一个实施例中,工艺管理器127针对群集工具100的每个工艺腔室106、108、110、112、114、116、118包括不同的经训练的机器学习模型。在一个实施例中,工艺管理器127针对每个类型的工艺腔室包括不同的经训练的机器学习模型。在一个实施例中,工艺管理器127针对执行特定工艺的每个类型的工艺腔室包括不同的经训练的机器学习模型。例如,工艺腔室106、108、110和112可以是相同类型的工艺腔室,其中工艺腔室106、108执行第一工艺,且工艺腔室110、112执行第二工艺。相应地,工艺管理器127可包括用于工艺腔室106、108的第一经训练的机器学习模型和用于工艺腔室110、112的第二经训练的机器学习模型。
在实施例中,对工艺管理器127的机器学习模型中的至少一者的输入是包括来自制造工艺期间的一个或多个时间点的一个或多个传感器测量的特征向量,且机器学习模型的输出是蚀刻终点决策(例如,其指示达到蚀刻终点,或未达到蚀刻终点)、估算的膜厚度值和/或估算的沟槽深度。在一个实施例中,经训练的神经网络被训练为接收基板上的沉积层的反射计测量和/或在蚀刻基板上的沉积层期间产生的光学发射光谱测量作为输入,并输出估算的膜厚度和/或沟槽深度中的至少一者。然后,可以由工艺管理器127将估算的膜厚度和/或沟槽深度与目标膜厚度和/或沟槽深度进行比较。目标膜厚度和/或沟槽深度可以被包括在用于蚀刻膜的工艺配方中。若估算的膜厚度等于目标膜厚度,和/或估算的沟槽深度等于目标沟槽深度,则工艺管理器127确定达到了蚀刻终点。
经训练的机器学习模型可以已经使用包括多个数据项的训练数据集进行训练,每个数据项包括在工艺期间(例如,在沉积工艺或蚀刻工艺期间)从诸如OES传感器和/或反射计传感器之类的光学传感器产生的膜的光谱(例如,具有特定厚度的膜和/或具有特定深度的沟槽的反射计测量)和在工艺之后测得的相关联的厚度值和/或深度值(例如,光学临界尺寸(OCD)测量)。诸如神经网络(例如,卷积神经网络)或回归模型(例如,高斯回归模型或线性回归模型)之类的机器学习模型可以被训练为将光学传感器测量(例如,光谱信息)与膜厚度和/或沟槽深度相关联。然后,经训练的机器学习模型可以接收工艺(例如,蚀刻或沉积工艺)期间的光学传感器测量(例如,光谱信息),并基于光学传感器测量来估算膜厚度和/或沟槽深度。
在一个实施例中,训练机器学习模型包括:执行主成分分析以确定对膜厚度和/或沟槽深度的影响最大的光谱信息集合。例如,通过主成分分析,系统可以确定,y(沟槽深度或膜厚度)是x1、x2到xn的函数(其中针对从1到n的i的xi是光谱信息的主成分(例如,不同的波长)),如下面的等式中所阐述的:
y=F(αixi)
针对i=1到n,其中αi是相应的主成分xi的权重。
在一个实施例中,经训练的机器学习模型在诸如蚀刻工艺之类的工艺期间周期性地(例如,每50-100毫秒)处理光学传感器测量。针对每个输入,经训练的机器学习模型可以输出膜厚度和/或沟槽深度。工艺管理器127可以将估算的沟槽深度和/或膜厚度与目标沟槽深度和/或膜厚度进行比较,以确定是否已经达到或在下一传感器测量被处理之前将达到蚀刻终点。在一个实施例中,工艺管理器127基于多个膜厚度和/或沟槽深度估算和产生与膜厚度和/或沟槽深度估算相关联的测量处的相关联时间,来确定估算的蚀刻速率。例如,公式(D1-D2)/(T2-T1)=R可用于确定蚀刻速率,其中D1是在时间T1处的厚度,D2是在时间T2处的厚度,且R是蚀刻速率。因此,可以检查最后几个测量内的厚度值以估算蚀刻速率。然后,可以将估算的蚀刻速率外推到未来,以估算何时将达到蚀刻终点。处理逻辑可以包括标识处理光学传感器测量以决定膜厚度和/或沟槽深度所需的时间的信息。可以将此信息与预测达到蚀刻终点的估算时间进行比较。若将在经训练的机器学习模型可以处理下一光学测量集合之前达到估算的沟槽终点,则将达到估算的沟槽终点的时间可用于确定何时停止蚀刻工艺。在一个实施例中,工艺管理器127使用基于先前光学传感器测量的膜厚度和/或沟槽深度的2-10个估算来确定蚀刻速率,并将蚀刻速率外推到未来,以预测接下来的2-10个数据点(即,要由经训练的机器学习模型针对接下来的2-10个光学测量集合输出的估算的膜厚度和/或沟槽深度)。在一个实施例中,经训练的机器学习模型是递归神经网络(RNN)。在一个实施例中,经训练的机器学习模型是接收静态光谱信息的神经网络(例如,CNN)。在一个实施例中,经训练的机器学习模型是线性回归模型,且在另一实施例中,机器学习模型是高斯回归模型。在一个实施例中,经训练的机器学习模型是随机森林。
在实施例中,将传感器测量(例如,OES和/或反射测量光谱信息)与实际OCD信息而不是关于是否已经达到蚀刻终点的是/否决策相关联。经证明,与仅与蚀刻终点决策相关联相比,将传感器测量与实际的OCD信息相关联可以将准确度提高20-30%。
控制器120可以可操作地连接至服务器(未示出)。服务器可以是或包括作为与制造设施中的一些或所有工具对接的工厂楼层服务器进行操作的计算设备。服务器可以执行训练以产生经训练的机器学习模型,且可以向平台控制器120上的自主工具引擎121发送经训练的机器学习模型。或者,可以在平台控制器120上训练机器学习模型。
神经网络的训练可以以监督式学习的方式实现,这涉及通过网络馈送由经标记的输入组成的训练数据集、观察其输出、(通过测量输出与标记值之间的差异)界定误差以及使用诸如深度梯度下降和反向传播之类的技术来调整跨网络的所有层和节点的网络权重,使得误差最小化。在许多应用中,跨训练数据集中的许多经标记的输入重复此过程会产生可以在出现与存在于训练数据集中的输入不同的输入时产生正确输出的网络。在高维设置(诸如大图像)中,在可获得足够大且多样化的训练数据集时,可以实现这种一般化。
自主工具引擎121的经训练的机器学习模型中的每一者可以被周期性地或连续地再训练以实现经训练的机器学习模型的连续学习和改进。每个模型可以基于输入来产生输出,可以基于输出来执行动作,并且可以测量动作的结果。在一些实例中,动作的结果是在几分之一秒(例如,数毫秒)、数秒或数分钟内测量的,且在一些实例中,测量动作的结果需要更长的时间。例如,在可以测量动作的结果之前,可以执行一个或多个附加工艺。动作和动作的结果可以指示输出是否是正确的输出和/或输出应该是什么与输出是什么之间的差异。相应地,动作和动作的结果可用于确定可以用作传感器测量的标记的目标输出。一旦确定了动作的结果,输入(即,传感器测量)、经训练的机器学习模型的输出和机器学习模型的目标输出(或动作和动作的结果)就可以被用作新的训练数据项。然后,新的训练数据项可用于进一步训练经训练的机器学习模型。此再训练过程可以由平台控制器120的自主工具引擎121在工具上(on-tool)执行。
在一个实施例中,工艺管理器127包括已经被训练为检测蚀刻终点、膜厚度和/或蚀刻深度的一个或多个经训练的机器学习模型。如上面所论述,被训练为检测蚀刻终点、膜厚度和/或沟槽深度的此类经训练的机器学习模型可以根据训练数据集来训练,该训练数据集包括光学测量(例如,反射计测量和/或光学发射光谱测量)和指示膜厚度和/或沟槽深度的标记。在一个实施例中,光学测量提供光谱信息,这可以与深度或厚度信息和/或蚀刻终点相关联。然后,可以包括反射计信息和/或光学发射光谱信息的光谱信息可以被输入到经训练的机器学习模型中以产生厚度或深度(例如,沟槽深度)输出。可以将厚度或深度输出与目标厚度或深度进行比较以确定是否已经达到蚀刻终点。可以执行类似的过程以测量沉积工艺期间的膜的目标厚度。例如,工艺管理器127的经训练的机器学习模型可以使用光学测量来确定正被沉积的膜何时已经达到目标厚度,且可以在膜达到目标厚度时停止沉积工艺。
可以针对每个蚀刻配方和/或针对每个工艺腔室训练不同的蚀刻终点检测机器学习模型。一旦平台控制器120(例如,工艺管理器127)采用了经训练的机器学习模型,蚀刻腔室的光学传感器就可以在蚀刻工艺期间周期性地或连续地产生光学测量。这些光学测量可以由工艺管理器127的经训练的机器学习模型进行处理以确定何时已经达到蚀刻终点和何时停止蚀刻工艺(或蚀刻工艺中的步骤)。之后,可以对具有被蚀刻工艺蚀刻的膜的基板执行厚度或深度测量和/或其他光学临界尺寸(OCD)测量。OCD测量可以例如使用正交入射光谱椭圆偏振术、光学散射术、扫描电子显微术和/或其他的OCD测量技术来执行。可以将测得的厚度或深度与目标厚度或深度进行比较,且可以将差异连同机器学习模型的输出和被输入到机器学习模型中的传感器测量一起使用以更新机器学习模型的训练。在一个实施例中,包括传感器测量、预测的OCD和实际测得的OCD的训练数据项被用于进一步训练机器学习模型。在每批或每个基板被处理之后,可以再训练经训练的机器学习模型。例如,在每25个晶片之后,可以更新机器学习模型,且具有提高的准确度且反映工艺腔室的当前条件的该更新的机器学习模型可用于处理接下来的25个晶片。这为蚀刻工艺提供了非常准确的深度控制,且在实施例中可以使良率改进约0.2-0.3%。
在一个实施例中,再鉴定管理器125包括已经被训练为检测在对工艺腔室执行预防性维护或其他维护之后,工艺腔室何时已经恢复(例如,工艺腔室何时准备好重新投入使用并再次开始处理产品基板)的一个或多个经训练的机器学习模型。被训练为检测从维护恢复的此类经训练的机器学习模型可以根据训练数据集来训练,所述训练数据集包括在调理工艺期间由一个或多个工艺腔室产生的许多不同测量。许多不同的测量可以包括在调理工艺期间产生的基板的光学测量(例如,反射计测量和/或光学发射光谱测量)、压力测量、功率测量、电压测量、电流测量、其他电气测量、温度测量等等)和标记,所述标记指示在进行组合传感器测量的调理工艺完成之后工艺腔室是否准备好重新投入使用。
可以针对每个工艺腔室和/或针对工艺腔室与在该工艺腔室上执行的工艺或工艺集合的每个对(例如,使用监督式学习或半监督式学习过程)来训练不同的维护恢复检测机器学习模型。一旦平台控制器120(例如,再鉴定管理器125)采用了经训练的机器学习模型,工艺腔室的多个传感器就可以在调理工艺期间和/或之后周期性地(例如,每10秒、每30秒、每分钟等)或连续地产生传感器测量。这些测量可以由再鉴定管理器125的经训练的机器学习模型处理以确定在维护事件之后何时已经对工艺腔室执行了足够的调理工艺,因此确定工艺腔室何时准备好重新投入使用。此外,这些测量可以由经训练的机器学习模型进行处理以确定是否结束当前的调理工艺。之后,可以对工艺腔室执行一个或多个测试工艺,且(多个)测试工艺的结果可以是,工艺腔室被再鉴定合格或工艺腔室未被再鉴定合格(指示应在工艺腔室上运行更多调理工艺)。在一个实施例中,可以执行的测试工艺包括以下各项的一者或多者:覆面晶片蚀刻工艺,其中测量覆面晶片蚀刻速率和/或蚀刻均匀性;图案化晶片蚀刻工艺,其中测量图案化晶片蚀刻速率和/或蚀刻均匀性;和/或颗粒测试工艺,其中处理颗粒测试晶片然后对颗粒测试晶片上的颗粒进行计数。若覆面晶片蚀刻速率和/或蚀刻均匀性在目标覆面晶片蚀刻速率和目标覆面晶片蚀刻均匀性的容差内、图案化晶片蚀刻速率和/或蚀刻均匀性在目标图案化晶片蚀刻速率和目标图案化晶片蚀刻均匀性的容差内,和/或颗粒计数在目标颗粒计数的容差内,则工艺腔室可以被再鉴定合格。在一个实施例中,目标颗粒计数少于特定大小或更大的颗粒的阈值数量。例如,目标颗粒计数可以少于直径为22nm或更大的五个颗粒。
在一个实施例中,包括传感器测量、关于工艺腔室是否准备好重新投入使用的预测和关于工艺腔室实际上是否准备好重新投入使用(例如,工艺腔室通过再鉴定测试或未通过再鉴定测试的指示)的机器学习输出的训练数据项用于更新经训练的机器学习模型的训练。每次在工艺腔室(或其他工艺腔室)被关闭进行维护后重新投入使用之后,可以对经训练的机器学习模型进行再训练。实施例减少了在维护之后使工艺腔室重新投入使用之前执行的调理工艺的重复次数。例如,调理蚀刻腔室的标准过程可以是对蚀刻腔室运行调理工艺的25次迭代,然后对工艺腔室执行测试工艺。然而,在实施例中,处理逻辑可以立即确定工艺腔室何时准备好进行测试工艺行程,而不是等到调理工艺的整整25次迭代完成。在一些实施例中,在经训练的机器学习模型已经指示工艺腔室准备好重新投入使用之后不运行测试工艺。
在一个实施例中,再鉴定管理器125的经训练的机器学习模型被训练为基于调程工艺期间输入的传感器测量来输出工艺腔室的腔室条件指数(CCI)值。可以将腔室条件指数(CCI)值与阈值CCI值进行比较,且若由经训练的机器学习模型输出的CCI值满足或超过阈值CCI值,则再鉴定管理器125可以确定工艺腔室已准备好重新投入使用。若预测的CCI值不满足CCI阈值,则可以执行进一步的调理工艺。再鉴定管理器125也可以基于预测的CCI值与CCI阈值之间的差异来确定工艺腔室接近准备投入使用的程度。
在实施例中,工艺腔室的CCI值可以基于一个或多个蚀刻速率值(例如,平均蚀刻速率值)和/或蚀刻均匀性值,所述一个或多个蚀刻速率值和/或蚀刻均匀性值是基于覆面晶片蚀刻工艺和/或图案化晶片蚀刻工艺测得的。CCI值也可以基于在执行调理之后由工艺腔室处理的颗粒晶片的颗粒计数。腔室的CCI值可以通过在对工艺腔室执行调理之后执行一个或多个再鉴定测试来测量。在一些实施例中,CCI值可以是与覆面晶片蚀刻速率、覆面晶片蚀刻均匀性、图案化晶片蚀刻速率、图案化晶片蚀刻均匀性和/或颗粒计数相关联的归一化值。为1的CCI值可以表示示出目标覆面晶片蚀刻速率、目标覆面晶片蚀刻均匀性、目标图案化晶片蚀刻速率、目标图案化晶片蚀刻均匀性和/或目标颗粒计数的测试结果。小于1的CCI值可以指示关于目标覆面晶片蚀刻速率、目标覆面晶片蚀刻均匀性、目标图案化晶片蚀刻速率、目标图案化晶片蚀刻均匀性和/或目标颗粒计数中的一者或多者的偏差。在一个实施例中,CCI阈值是表示关于目标覆面晶片蚀刻速率、目标覆面晶片蚀刻均匀性、目标图案化晶片蚀刻速率、目标图案化晶片蚀刻均匀性和/或目标颗粒计数的可接受的组合偏差的某个值(例如,0.9)。
可以对已经被标识为准备好重新投入使用的工艺腔室(例如,在经训练的机器学习模型输出大于CCI阈值的预测CCI值之后)执行再鉴定测试,且测试结果可以是实际测得的CCI值。再鉴定测试可以包括对覆面晶片(例如,具有氧化物或氮化物膜的覆面或均匀膜的晶片)执行蚀刻工艺,以及测量覆面晶片蚀刻速率和/或覆面晶片蚀刻均匀性。再鉴定测试可以附加地或替代地包括在图案化晶片上执行蚀刻工艺,以及测量图案化晶片蚀刻速率和/或图案化晶片蚀刻均匀性。再鉴定测试可以附加地或替代地包括在裸晶片或覆面晶片上执行工艺(例如,蚀刻工艺),以及对晶片上的颗粒进行计数。可以基于覆面晶片蚀刻速率、图案化晶片蚀刻速率和/或颗粒计数来计算实际的CCI。若实际测得的腔室条件指数值满足或超过阈值,则可以使工艺腔室重新投入使用。然后,可以使用包括传感器测量、预测的腔室条件指数值和实际的腔室条件指数值的数据点来更新机器学习模型。
在一个实施例中,维护管理器123包括已经被训练为检测何时应对工艺腔室执行维护的一个或多个经训练的机器学习模型。被训练为检测工艺腔室何时应要维护的此类经训练的机器学习模型可以根据训练数据集来训练,该训练数据集包括在产品基板上(例如,产品晶片上)执行的工艺期间由一个或多个工艺腔室产生的许多不同测量。许多不同的测量可以包括在工艺期间产生的基板的光学测量(例如,反射计测量和/或光学发射光谱测量)、压力测量、功率测量(例如,偏压功率、源功率、等离子体功率等)、电压测量、电流测量、其他电气测量、温度测量等等,以及指示在进行组合传感器测量的工艺完成之后工艺腔室是否应要维护的标记。在实施例中,传感器测量包括高达或约165个不同的传感器测量,每个传感器测量是在工艺期间周期性地进行的。此外,偶尔可以使用测试基板、覆面基板(具有未图案化的均匀涂层的基板)、裸基板、传感器基板(具有设置在其上的多个传感器的基板)等来运行测试工艺。可以产生来自工艺腔室(及可选地来自传感器基板)的传感器测量并将其输入到经训练的机器学习模型中以产生输出。
可以针对每个工艺腔室和/或针对工艺腔室与在该工艺腔室上执行的工艺或工艺集合的每个对来训练不同的维护预测机器学习模型。一旦平台控制器120(例如,维护管理器123)采用经训练的机器学习模型,工艺腔室(和/或传感器基板)的多个传感器就可以在产品工艺和/或偶尔的测试工艺期间周期性地或连续地产生传感器测量。这些测量可以由维护管理器123的经训练的机器学习模型处理以确定何时需要对工艺腔室进行维护,因此确定何时应关闭工艺腔室进行维护。维护的示例包括清洁工艺腔室、替换工艺腔室的一个或多个部件等等。在实施例中,维护预测机器学习模型基于传感器测量来标识应对工艺腔室执行的维护的类型。例如,经训练的机器学习模型可以指示,工艺腔室应被清洁、保护衬垫应被替换、工艺套件环应被替换、喷头应被替换等等。
在一个实施例中,维护管理器123的机器学习模型被训练为接收传感器测量作为输入,并针对由腔室处理的每个基板输出腔室条件指数(CCI)或腔室工艺条件指数(CPCI)。随后,可选地在基板上执行了一个或多个附加工艺之后,可以对基板执行一个或多个计量测量以确定基板或基板上的设备的一个或多个OCD。OCD可以与基于所执行的工艺针对该基板所确定的CCI值或CPCI值相关。若基板的OCD测量偏离目标OCD值达大于阈值的量,则这可以指示应对执行工艺的工艺腔室执行维护。可以基于在工艺期间产生的传感器测量、由经训练的机器学习模型输出的CCI或CPCI值以及a)关于测得的OCD值是否偏离目标OCD值和/或测得的OCD值偏离目标OCD值的量的指示和/或b)关于是否应执行维护的指示,来产生数据项。
在工艺腔室已经被标记为应要维修之后,技术人员可以确定实际上是否应维修工艺腔室和/或应对工艺腔室执行的维护的类型。在一个实施例中,包括传感器测量、关于工艺腔室是否应要维护(和/或要执行的维护的类型)的预测以及关于实际上是否需要对工艺腔室进行维护的指示的训练数据项被用于更新经训练的机器学习模型的训练。每次在工艺腔室(或其他工艺腔室)被排定进行维护之后,可以对经训练的机器学习模型进行再训练。替代地或附加地,可以使用与由工艺腔室处理的基板相关联的数据点来连续地或周期性地对机器学习模型进行再训练,其中数据点包括传感器测量、CCI或CPCI值、目标OCD值与测得的OCD值之间的差异和/或关于是否应执行维护的指示。实施例减少了被需要维修的工艺腔室处理的基板的数量,此外还确保工艺腔室的维修频率不超过要求。
在一个实施例中,维护管理器123的经训练的机器学习模型被训练为基于制造过程期间输入的传感器测量来输出工艺腔室的CCI值或CPCI值。可以将CCI或CPCI值与阈值CCI或CPCI值进行比较,且若由经训练的机器学习模型输出的CCI或CPCI值小于阈值CCI或CPCI值(或若输出的CCI或CPCI值在一些实施例中大于阈值),则维护管理器123可以确定应关闭工艺腔室进行维护。若CCI或CPCI值大于CCI或CPCI阈值(或若输出的CCI或CPCI值在一些实施例中小于阈值),则可以在工艺腔室中执行进一步的制造工艺,而无需先为工艺腔室排定维护。维护管理器123也可以基于预测的CCI或CPCI值与CCI或CPCI阈值之间的差异来确定工艺腔室接近应要维护的程度。
在各种实施例中,服务器可以是和/或可以包括计算设备,诸如个人计算机、服务器计算机、可编程逻辑控制器(PLC)、微控制器等。服务器可以包括(或可以是)一个或多个处理设备,其可以是通用处理设备,诸如微处理器、中央处理单元等。更详细而言,处理设备可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器或实施其他指令集的处理器或实施指令集的组合的处理器。处理设备也可以是一个或多个专用处理设备,诸如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。服务器可以包括数据存储设备(例如,一个或多个磁盘驱动器和/或固态驱动器)、主存储器、静态存储器、网络接口和/或其他组件。在实施例中,服务器的处理设备可以执行指令以训练机器学习模型,并向平台控制器120和/或个别工具的控制器(例如,工艺腔室的控制器)发送经训练的机器学习模型。指令可以存储在计算机可读存储介质上,该计算机可读存储介质可以包括主存储器、静态存储器、辅助存储器和/或处理设备(在指令的执行期间)。
在一些实例中,可以在第一群集工具(例如,群集工具100)中在基板上执行一个或多个工艺。可以将来自这些工艺的测量输入到一个或多个经训练的机器学习模型中,然后该一个或多个经训练的机器学习模型可以产生输出。可以基于输出来执行动作,且可以决定或测量动作的结果。然后,测量、输出、动作和/或结果可用于更新(多个)机器学习模型(例如,维护管理器123、再鉴定管理器125和/或工艺管理器127的机器学习模型)的训练。可以在服务器或平台控制器120上执行经训练的机器学习模型的更新。可以向服务器发送(多个)经训练的机器学习模型的更新版本,该服务器可以向具有类似工艺腔室和/或执行类似工艺的其他群集工具传播经训练的机器学习模型的更新版本。
图2是半导体处理工具200的截面图,半导体处理工具200包括工艺腔室202和可操作地连接至工艺腔室202的腔室控制器205。腔室控制器205可以被安装到工艺腔室230,或者可以被设置在工艺腔室附近(例如,连接至基板处理系统的另一组件)。工艺腔室202可以是蚀刻工艺腔室、沉积腔室、退火腔室、或用于处理诸如半导体基板之类的基板(例如,晶片)的其他类型的工艺腔室。例如,处理腔室202可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器、CVD或ALD反应器(例如,诸如等离子体增强CVD或ALD反应器)、离子辅助沉积(IAD)腔室、物理气相沉积(PVD)腔室等等的腔室。
在一个实施例中,处理腔室202包括包围内部容积206的腔室主体和喷头230。喷头230可以包括喷头基部和喷头气体分布板。或者,喷头230在一些实施例中可以用盖和喷嘴替换,或在其他的实施例中用多个派形喷头隔间和等离子体产生单元替换。腔室主体可以由铝、不锈钢或其他合适的材料(诸如钛(Ti))制造。腔室主体通常包括侧壁208和底部210。可以将衬垫216设置在侧壁208附近以保护腔室主体。
可以将排气端口226界定在腔室主体中,且可以将内部容积206耦合至泵系统228。泵系统228可包括一个或多个泵和节流阀,其用于抽空和调节处理腔室202的内部容积206的压力。
可以将喷头230(或盖)支撑在腔室主体的侧壁208上。喷头230(或盖)可以开启以允许进入处理腔室202的内部容积206,且可以在关闭时为处理腔室202提供密封。气体面板258可以耦合至处理腔室202以通过喷头230或盖和喷嘴向内部容积206提供工艺气体和/或清洁气体。喷头230可用于用于电介质蚀刻(蚀刻介电材料)的处理腔室。喷头230可包括气体分布板(GDP),且可以在整个GDP内具有多个气体递送孔232。喷头230可包括结合到铝基部或阳极化的铝基部的GDP。GDP可以由Si或SiC制成,或者可以是涂覆有Y2O3、Al2O3、Y3Al5O12(YAG)等等的陶瓷。
对于用于导体蚀刻(导电材料的蚀刻)的处理腔室而言,可以使用盖而不是喷头。盖可以包括符合盖的中心孔的中心喷嘴。盖可以是涂覆有Al2O3、Y2O3、YAG、或包括Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物的涂覆的陶瓷组件。喷嘴也可以是涂覆有Y2O3、YAG、或包括Y4Al2O9和Y2O3-ZrO2的固溶体的陶瓷化合物的陶瓷。
可以用于处理处理腔室200中的基板的处理气体的示例包括含卤素的气体(诸如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4等等)和其他气体(诸如O2或N2O)。载气的示例包括N2、He、Ar、和对工艺气体是惰性的其他气体(例如,非反应性气体)。
加热器组件248被设置在处理腔室202的内部容积206中位于喷头230或盖下方。加热器组件248包括在处理期间固持基板244的支撑件250。支撑件250附接到轴杆252的端部,轴杆252经由凸缘耦合至腔室主体。例如,支撑件250、轴杆252和凸缘可以由例如含有AlN的材料构成。支撑件250可以进一步包括台面(mesa)(例如,凹坑或隆起)。此外,支撑件可以包括嵌入在支撑件250的加热器材料内的导线(例如,钨导线(未示出))。在一个实施例中,支撑件250可以包括夹在AlN陶瓷层之间的金属加热器和传感器层。可以在高温炉中对此类组件进行烧结以产生单体组件。层可以包括加热器电路、传感器元件、接地平面、射频网格与金属和陶瓷流通道的组合。
工艺腔室202的示例性腔室组件包括但不限于静电卡盘、喷嘴、气体分布板、喷头(例如,230)、静电卡盘组件、腔室壁(例如,208)、衬垫(例如,216)、衬垫套件、气体管道、腔室盖、喷嘴、单个环、处理套件环、边缘环、基部、屏蔽件、等离子体屏、流量均衡器、冷却基部、腔室视口、波纹管、加热器组件的任何零件(包括支撑件250、轴杆252、凸缘)、面板、阻隔板等等。
在实施例中,工艺腔室包括许多不同的传感器235、203、230。传感器可以包括光学传感器,诸如光学发射光谱仪230和/或反射计203。此外,传感器235可以包括热传感器、压力传感器、功率传感器、其他电气传感器、流速传感器等等。一些传感器235可以位于工艺腔室202内部,而其他的传感器235可以位于工艺腔室202外部且测量到工艺腔室230的气体、功率等的流和/或递送。在一个实施例中,传感器235针对工艺腔室202产生约165个不同的传感器测量。
腔室控制器205可以起到与平台控制器120相同或类似的功能,但是可以被配置为针对一个或几个工艺腔室(例如,针对工艺腔室202)执行操作。例如,可以将腔室控制器205配置为控制群集工具的蚀刻腔室或执行特定蚀刻工艺的蚀刻腔室。在实施例中,腔室控制器205包括自主工具引擎221,其可以包括维护管理器223、再鉴定管理器225和/或工艺管理器227。再鉴定管理器225、工艺管理器227、维护管理器223和自主工具引擎221可以与实施例中的图1的类似名称的组件对应。对于附接有多个工艺腔室的单个平台而言,工艺腔室中的每一者可以包括其自身的专用腔室控制器205。或者,附接到群集工具或主机的工艺腔室中的一些可以共享共同的腔室控制器。在一个实施例中,不使用腔室控制器205,而是使用平台控制器120来控制附接到群集工具的所有工艺腔室。
工艺腔室202可以包括一个或多个窗口或视口220、240。例如,窗口或视口可以是石英、玻璃、蓝宝石、金刚石、碳化硅、透明晶体或光学透明的陶瓷。在一个实施例中,工艺腔室202包括盖、喷嘴或喷头230中的窗口220,且进一步包括侧壁216中的视口240。
在实施例中,反射计203耦合至窗口220。反射计203包括光源201(例如,宽带光源或其他电磁辐射源)、光耦合设备204(例如,准直器或镜)和光谱仪225。光源201和光谱仪225可以通过一个或多个光纤缆线232光学耦合至光耦合设备204。
在各种实施例中,光耦合组件204可以适于在沿着光路径的两个方向上准直或以其他方式透射光。第一方向可以包括来自光源201的光,其要被准直并通过窗口220透射到腔室206中。第二方向可以是从基板244反射且通过窗口220反射回来并回到光耦合设备204中的反射光。反射光可以被聚焦到光纤缆线232中,因此在沿着光路径的第二方向上引导朝向光谱仪225。进一步地,光纤缆线232可以耦合在光谱仪225与光源201之间,以用于将光从光源201高效地传输到窗口220并回到光谱仪225。
在实施例中,光源以约200-800nm的光谱发射光,且光谱仪225也具有200-800nm的波长范围。光谱仪225可以适于检测从光耦合设备204接收的反射光(例如,从腔室202中的基板反射且通过窗口220反射回来且被光耦合设备204聚焦到光纤缆线232中的光)的光谱。
在一个实施例中,控制器205可以引导光源201闪光,然后从光谱仪225接收光谱。控制器205也可以保持光源关闭,并在光源201关闭时从光谱仪225接收第二光谱。控制器205可以从第一光谱减去第二光谱以确定一时刻的反射测量信号。然后,控制器205可以将反射测量信号数学拟合至一个或多个薄膜模型,以确定测量的膜的一个或多个光学薄膜性质。
在一些实施例中,该一个或多个光学薄膜性质可以包括膜厚度、折射率(n)和/或消光系数(k)值。折射率是真空中的光的速度与膜中的光的速度的比率。消光系数是膜中吸收了多少光的量度。控制器205可以使用n和k的值来确定膜的组成物。自主工具引擎221可以被配置为分析膜的该一个或多个性质的数据、确定膜的厚度和/或确定膜中蚀刻的沟槽的深度。
在一个实施例中,光学发射光谱仪(OES)230经由视口240连接至工艺腔室202。OES230可以将光引导至工艺腔室202的内部容积206中,和/或可以测量来自内部容积206的光,从而使用光来执行光学发射光谱术。可以通过OES 230将光的闪光引导至工艺腔室202的内部容积206中的等离子体上。然后,OES 230可以接收与腔室中的主题等离子体条件相关联的光谱信息。接收的光谱信息可以包括与等离子体中的蚀刻反应物和蚀刻副产物的浓度相关联的信息。详细而言,光谱信息可以与蚀刻反应物与蚀刻副产物的比率相关联。在达到蚀刻终点时(例如,在层被完全蚀刻且不存在要从层蚀刻的更多材料时),蚀刻反应物与蚀刻副产物的比率可急剧改变。自主工具引擎221被配置为分析从工艺腔室202的内部容积206测得的光谱,以确定是否已经达到蚀刻终点和/或确定膜的厚度、确定膜的一个或多个性质和/或确定膜中蚀刻的沟槽的深度。在实施例中,OES数据和反射测量数据都可以用于确定此类性质和/或条件。
在一些实施例中,自主工具引擎221使用来自反射计203、OES 230和传感器235中的一个或多个传感器的传感器测量来作出关于工艺腔室202的决策。例如,腔室控制器205可以使用自主工具引擎221来确定工艺腔室202是否应要进行维护、要对工艺腔室202执行的维护的类型、工艺腔室202在经历维护和调理之后是否准备好重新投入使用等等。
图3-图9是根据实施例的基于传感器测量来训练机器学习模型和/或使用经训练的机器学习模型来针对工艺腔室作出决策的方法的流程图。如将显而易见的,可以使用参照图1-图2描述的组件来执行方法。例如,在实施例中,可以通过平台控制器120或腔室控制器205来执行方法。方法的至少一些操作可以通过处理逻辑来执行,所述处理逻辑可以包括硬件(例如,电路系统、专用逻辑、可编程逻辑、微代码等)、软件(例如,在处理设备上运行以执行硬件仿真的指令)或其组合。虽然以特定的序列或顺序示出,但除非另有指定,否则过程的顺序是可以修改的。因此,示出的实施例应仅被了解为示例,且示出的过程可以以不同的顺序执行,且一些过程可以并行执行。此外,在各种实施例中,可以省略一个或多个过程。因此,并非在每个实施例中都执行所有过程。其他的过程流程是可能的。
图3是根据实施例的由工艺工具和/或基板处理系统自动作出决策并执行动作的方法300的流程图。在方法300的框302处,处理逻辑使处理腔室执行工艺,诸如蚀刻工艺、沉积工艺、测试工艺或调理工艺。在框305处,处理逻辑在工艺期间和/或之后从工艺腔室的一个或多个传感器接收测量。在框310处,处理逻辑使用经训练的机器学习模型来处理一个或多个测量。基于对(多个)测量的处理,经训练的机器学习模型产生输出。输出可以是沟槽深度、膜厚度、关于是否已经达到蚀刻终点的指示、关于是否应排定工艺腔室进行维护的指示或关于是否应使工艺腔室重新投入使用的指示。经训练的机器学习模型可以已经如上面在本文中所阐述地进行训练,且可以与上面在本文中所阐述的经训练的机器学习模型中的任一者相对应。
在框315处,处理逻辑确定输出满足一个或多个准则。准则可以包括沟槽深度准则、腔室条件指数阈值、是/否准则或一些其他准则。在经训练的机器学习模型被训练为检测蚀刻终点的情况下,准则可以是沟槽深度,且准则可以在确定的沟槽深度等于或大于目标沟槽深度时被满足。在一个实施例中,经训练的机器学习模型输出“是”或“否”,其中“是”指示已经达到蚀刻终点,且“否”指示还未达到蚀刻终点。在经训练的机器学习模型被训练为确定是否应对工艺腔室执行维护的情况下,输出可以是CCI或CPCI值,且准则可以在由经训练的机器学习模型所输出的确定的CCI或CPCI值小于CCI或CPCI阈值时被满足。在一个实施例中,经训练的机器学习模型输出“是”或“否”,其中“是”指示应对工艺腔室执行维护。
在一个实施例中,井训练的机器学习模型输出多个维护分类,且针对每个维护分类,经训练的机器学习模型提供“是”或“否”,“是”指示应执行与该维护分类相关联的维护类型,“否”指示不需要执行与该维护分类相关联的维护类型。维护分类的示例包括排定的清洁、第一零件的零件替换、第二零件的零件替换等等。在经训练的机器学习模型被训练为确定在已经对工艺腔室执行了一个或多个调理工艺之后,已经经历维护的工艺腔室是否准备好重新投入使用的情况下,输出可以是CCI或CPCI值,且准则可以在由经训练的机器学习模型所输出的确定的CCI或CPCI值等于或大于CCI或CPCI阈值时被满足。在一个实施例中,经训练的机器学习模型输出“是”或“否”,其中“是”指示不再需要对工艺腔室进行调理(且工艺腔室已准备好重新投入使用),且“否”指示仍应对工艺腔室执行一个或多个调理工艺(且工艺腔室还未准备好重新投入使用)。
在框320处,处理逻辑基于机器学习模型的输出和输出是否满足该一个或多个准则来导致关于工艺腔室执行动作。关于被训练为检测蚀刻终点的经训练的机器学习模型,动作可以是停止蚀刻工艺。关于被训练为检测工艺腔室何时应经历维护的经训练的机器学习模型,动作可以是标记工艺腔室进行维护、使工艺腔室停止使用使得工艺腔室不处理进一步的产品基板和/或为工艺腔室排定维护。关于被训练为检测工艺腔室何时已经经历维护且已经对工艺腔室执行了调理工艺的一个或多个迭代的经训练的机器学习模型,动作可以是使工艺腔室重新投入使用、将工艺腔室标记为准备好进行要执行的鉴定测试和/或为工艺腔室排定再鉴定测试或工艺。
在框325处,处理逻辑确定动作的结果。在经训练的机器学习模型被训练为检测蚀刻终点的情况下,结果可以是一个或多个光学临界尺寸测量,诸如光学测得的沟槽深度。在经训练的机器学习模型被训练为自动确定工艺腔室何时应经历维护的情况下,动作的结果可以是来自技术人员的关于是否需要维护或基板的测得的OCD值的指示和/或关于测得的OCD值是否大于或小于一个或多个阈值(例如,偏离目标OCD值达大于阈值的量)的指示。在经训练的机器学习模型被训练为自动确定已经经历维护的工艺何时准备好重新投入使用的情况下,动作的结果可以是再鉴定测试结果,该再鉴定测试结果可以通过在工艺腔室中用覆面晶片、测试晶片、传感器晶片、裸晶片或其他晶片执行再鉴定工艺并测量在再鉴定工艺期间和/或之后的覆面晶片、测试晶片、传感器晶片、裸晶片或其他晶片的一个或多个性质和/或条件和/或工艺腔室的传感器测量来获得。晶片测量的示例包括颗粒计数、金属污染、蚀刻深度、层厚度等等。在一个实施例中,动作的结果是对工艺腔室通过或未通过再鉴定的指示。
在框330处,处理逻辑基于传感器测量、机器学习模型的输出和动作的结果来更新经训练的机器学习模型的训练。因此,可以执行连续的学习以连续地更新并改进经训练的机器学习模型。这使得经训练的机器学习模型能够持续适于腔室条件。在实施例中,经训练的机器学习模型的再训练可以在控制器上以在工具上的方式执行,经训练的机器学习模型被部署在该控制器处。
图4是根据实施例的自动确定何时停止蚀刻工艺的方法400的流程图。在方法400的框402处,处理逻辑在蚀刻腔室中启动蚀刻工艺。可以在产品基板上执行蚀刻工艺,该产品基板上具有一个或多个膜。在框405处,处理逻辑在蚀刻工艺期间和/或之后从工艺腔室的一个或多个光学传感器接收一个或多个测量。光学传感器可以包括例如反射计传感器和/或光学发射光谱传感器。在框410处,处理逻辑使用经训练的机器学习模型来处理测量,该经训练的机器学习模型已经被训练为检测沟槽深度、膜厚度和/或蚀刻终点条件。经训练的机器学习模型可以已经被训练为产生指示沟槽深度和/或膜厚度的输出和/或指示是否已经达到蚀刻终点的输出。
在框415处,处理逻辑确定经训练的机器学习模型的输出是否满足蚀刻终点准则。在一个实施例中,处理逻辑将输出的沟槽深度与目标沟槽深度进行比较,和/或将输出的膜厚度与目标膜厚度进行比较。在一个实施例中,处理逻辑确定输出是否是对已经达到蚀刻终点的指示(例如,该指示可以是是/否输出)。若输出的沟槽深度小于目标沟槽深度,或若膜厚度大于目标膜厚度,或若输出是对还未达到蚀刻终点的指示,则蚀刻工艺继续且方法返回框405,在框405处,产生附加的传感器测量。若输出的沟槽深度等于或大于目标沟槽深度,或若输出是对已经达到蚀刻终点的指示,则方法继续到框420。
在框420处,处理逻辑确定已经达到了蚀刻终点。在框425处,处理逻辑停止蚀刻工艺(或蚀刻工艺中的步骤)。在框430处,处理逻辑确定在蚀刻工艺期间被蚀刻的膜的临界尺寸(例如,沟槽深度)。可以在针对具有膜的基板的制造序列中的下游工艺期间或之后确定临界尺寸。在框435处,处理逻辑确定膜的测得的临界尺寸与膜的目标临界尺寸之间的差异(例如,目标厚度或沟槽深度与测得的厚度或沟槽深度之间的差异)。在框440处,处理逻辑基于在框405处所接收的测量、来自框410的指示达到了蚀刻终点的输出和膜的测得的临界尺寸与目标临界尺寸之间的差异来更新机器学习模型的训练。因此,可以执行连续的学习以连续地更新并改进经训练的机器学习模型。在实施例中,经训练的机器学习模型的再训练可以在控制器上以在工具上的方式执行,经训练的机器学习模型被部署在该控制器处。
图5是根据实施例的自动确定何时对工艺腔室执行维护的方法500的流程图。在方法500的框502处,处理逻辑在腔室中对产品基板启动工艺。例如,工艺可以是蚀刻工艺、沉积工艺、退火工艺或一些其他工艺。工艺可以被执行在其上有一个或多个膜的产品基板上,和/或可以被执行以在其上沉积膜。在框505处,处理逻辑在工艺期间和/或之后从工艺腔室的传感器集合接收一个或多个测量。在框510处,处理逻辑使用经训练的机器学习模型来处理测量,该经训练的机器学习模型已经被训练为确定是否应对工艺腔室执行维护。经训练的机器学习模型可以已经被训练为产生指示CCI值或CPCI值的输出和/或指示是否应要维护和/或要执行的维护的类型的输出。
在框515处,处理逻辑确定经训练的机器学习模型的输出是否满足准则。在一个实施例中,处理逻辑将输出的CCI与CCI或CPCI阈值进行比较。若CCI或CPCI值小于CCI或CPCI阈值,则处理逻辑可以确定输出满足准则。若CCI或CPCI值大于CCI或CPCI阈值,则准则可能未被满足。在一个实施例中,经训练的机器学习模型的输出是关于是否应执行维护的是/否指示。若输出为“是”,即应执行维护(或应执行特定类型的维护),则准则被满足。若输出为“否”,即不应执行维护,则准则未被满足。若准则未被满足,则方法继续到框520。若准则被满足,则方法继续进行到框525。
在框520处,处理逻辑(在使得机械臂从工艺腔室移除第一基板并将新的基板插入到工艺腔室中之后)在新的基板上启动工艺。然后,方法返回框505,并接收与在新的基板上执行工艺相关联的传感器测量。此外,方法还可以继续进行到框535。
在框525处,处理逻辑确定工艺腔室应要维护。在框530处,处理逻辑可以标记工艺腔室进行维护(例如,清洁)和/或可以为工艺腔室主动排定清洁。在框535处,处理逻辑可以接收关于实际上是否对工艺腔室执行了维护的指示。处理逻辑可以附加地或替代地接收关于框502和/或框520处的工艺腔室的状态和/或由工艺腔室处理的产品基板的临界尺寸测量的指示。在框540处,处理逻辑基于在框505处接收的测量、来自框510的指示是否应执行维护的输出以及关于是否执行了维护的指示和/或产品基板上的一个或多个膜的(多个)测得的临界尺寸与(多个)目标临界尺寸之间的差异中的至少一者来更新机器学习模型的训练。因此,可以执行连续的学习以连续地更新并改进经训练的机器学习模型。在实施例中,经训练的机器学习模型的再训练可以在控制器上以在工具上的方式执行,经训练的机器学习模型被部署在该控制器处。
图6是根据实施例的自动确定在已经执行维护之后何时将工艺腔室重新投入使用的方法600的流程图。在方法600的框602处,处理逻辑在腔室中启动调理工艺。调理工艺是使得工艺腔室的状态达到已知状态的腔室调节工艺。在维护之后(例如,在零件替换之后和/或在诸如湿清洁工艺或干清洁工艺之类的清洁工艺之后)对工艺腔室进行正确的调理或调节会改进晶片到晶片的工艺可重复性。在一个实施例中,调理工艺通过等离子体产生的物种导致反应器表面的钝化,这可以改变自由基的反应性黏附系数。可以执行腔室调理以通过使具有相同离子密度、电子温度和通量的均匀等离子体能够在晶片之间重复实现来确保设备的临界尺寸被一致地再现。工艺可以在覆面基板、裸基板、测试基板等上执行。
在框605处,处理逻辑在工艺期间和/或之后从工艺腔室的传感器集合接收一个或多个测量。在框610处,处理逻辑使用经训练的机器学习模型来处理测量,该经训练的机器学习模型已经被训练为确定调理是否完成和/或工艺腔室是否准备好重新投入使用。经训练的机器学习模型可以已经被训练为产生指示估算的CCI的输出和/或指示调理是否完成(和工艺腔室可以重新投入使用)的输出。
在框615处,处理逻辑确定经训练的机器学习模型的输出是否满足准则。在一个实施例中,处理逻辑将输出的估算CCI与CCI阈值进行比较。若估算的CCI等于或大于CCI阈值,则处理逻辑可以确定输出满足准则。若估算的CCI小于CCI阈值,则准则可能未被满足。在一个实施例中,经训练的机器学习模型的输出是关于调理是否完成的是/否指示。若输出为“否”,即调理未完成,则准则未被满足。若输出为“是”,即调理完成,则准则被满足。若准则未被满足,则方法继续到框620。若准则被满足,则方法继续进行到框625。
在框620处,处理逻辑(在使得机械臂从工艺腔室移除第一基板并将新的基板插入到工艺腔室中之后)可选地在新的基板上启动调理工艺的另一迭代。然后,方法返回框605,并接收与在新的基板上执行工艺相关联的传感器测量。
在框625处,处理逻辑确定工艺腔室准备好进行再鉴定和/或准备好重新投入使用(对产品基板使用)。在框630处,处理逻辑可以标记工艺腔室进行鉴定和/或可以排定再鉴定工艺。在框635处,处理逻辑可以接收关于工艺腔室是否通过再鉴定测试的指示。指示可以包括使用测试配方或测试工艺处理的一个或多个测试基板的一个或多个测量结果。在一个实施例中,在覆面晶片上执行覆面晶片蚀刻工艺,在图案化晶片上执行图案化晶片蚀刻工艺,和/或在颗粒晶片(例如,其可以是空白晶片或覆面晶片)上执行颗粒测试工艺。从覆面晶片蚀刻工艺,可以测量平均覆面晶片蚀刻速率和覆面晶片蚀刻均匀性。从图案化晶片蚀刻工艺,可以测量平均图案化晶片蚀刻速率和图案化晶片蚀刻均匀性。在颗粒测试之后,可以对颗粒晶片上的颗粒进行计数。测量结果可以包括例如晶片上颗粒计数、金属污染、膜厚度、膜组成物、覆面晶片蚀刻速率、覆面晶片蚀刻均匀性、图案化晶片蚀刻速率、图案化晶片蚀刻均匀性等等。处理逻辑可以附加地或替代地接收关于工艺腔室的状态的指示。可以基于测量结果针对工艺腔室确定实际的CCI值。
在框640处,处理逻辑基于在框605处接收的测量、来自框610的指示是否应执行维护的输出和关于工艺腔室是否通过再鉴定测试和/或再鉴定测试的结果的指示来更新机器学习模型的训练。因此,可以执行连续的学习以连续地更新并改进经训练的机器学习模型。在实施例中,经训练的机器学习模型的再训练可以在控制器上以在工具上的方式执行,经训练的机器学习模型被部署在该控制器处。
图7是根据实施例的由工艺工具和/或基板处理系统自主作出多个决策的方法700的流程图。在方法700的框702处,处理逻辑使得处理腔室执行第一工艺,诸如蚀刻工艺、沉积工艺、测试工艺或调理工艺。在框705处,处理逻辑在工艺期间和/或之后从工艺腔室的一个或多个传感器接收第一测量。在框710处,处理逻辑使用第一经训练的机器学习模型(诸如被训练为执行蚀刻终点检测的机器学习模型)来处理一个或多个第一测量(或第一测量的第一子集)。基于对(多个)测量的处理,第一经训练的机器学习模型产生输出。输出可以是沟槽深度、膜厚度、关于是否已经达到蚀刻终点的指示、关于是否应排定工艺腔室进行维护的指示或关于是否应使工艺腔室重新投入使用的指示。第一经训练的机器学习模型可以已经如上面在本文中所阐述地训练,且可以与上面在本文中所阐述的经训练的机器学习模型中的任一者相对应。
在框715处,处理逻辑确定第一输出满足一个或多个第一准则。第一准则可以包括沟槽深度准则、腔室条件指数阈值、是/否准则或一些其他准则。处理逻辑基于第一经训练的机器学习模型的第一输出满足第一准则来导致关于工艺腔室执行第一动作。
在框720处,处理逻辑使用第二经训练的机器学习模型来处理一个或多个第一测量(或第一测量的第二子集)。基于对(多个)测量的处理,第二经训练的机器学习模型产生第二输出。第二输出可以是沟槽深度、膜厚度、关于是否已经达到蚀刻终点的指示、关于是否应排定工艺腔室进行维护的指示或关于是否应使工艺腔室重新投入使用的指示。第二经训练的机器学习模型可以已经如上面在本文中所阐述地训练,且可以与上面在本文中所阐述的经训练的机器学习模型中的任一者相对应。
在框725处,处理逻辑确定第二输出满足一个或多个第二准则(其与第一准则不同)。第二准则可以包括沟槽深度准则、膜厚度准则、腔室条件指数阈值、是/否准则或一些其他准则。处理逻辑基于第二经训练的机器学习模型的第二输出满足第二准则来导致关于工艺腔室执行第二动作。
在框730处,处理逻辑使得处理腔室执行第二工艺,诸如蚀刻工艺、沉积工艺、测试工艺或调理工艺。第二工艺可以与在框702处执行的第一工艺不同或相同。例如,第一工艺可以是在产品基板上执行的蚀刻工艺,且第二工艺可以是在对工艺腔室排定维护之后执行的腔室调理工艺。在框735处,处理逻辑在第二工艺期间和/或之后从工艺腔室的该一个或多个传感器接收第二测量。在框740处,处理逻辑使用第三经训练的机器学习模型(诸如被训练为检测工艺腔室的调理何时完成的机器学习模型)来处理一个或多个第二测量(或第二测量的子集)。基于对(多个)第二测量的处理,第三经训练的机器学习模型产生第三输出。第三输出可以是沟槽深度、膜厚度、关于是否已经达到蚀刻终点的指示、关于是否应排定工艺腔室进行维护的指示或关于是否应使工艺腔室重新投入使用的指示。第三经训练的机器学习模型可以已经如上面在本文中所阐述地训练,且可以与上面在本文中所阐述的经训练的机器学习模型中的任一者相对应。
在框745处,处理逻辑确定第三输出满足一个或多个第三准则。第三准则可以包括沟槽深度准则、腔室条件指数阈值、是/否准则或一些其他准则。处理逻辑基于第三经训练的机器学习模型的第三输出满足第三准则来导致关于工艺腔室执行第三动作。
在框750处,处理逻辑接收第一动作、第二动作和/或第三动作的结果。在框755处,处理逻辑分别基于第一动作、第二动作和第三动作的结果、第一输出、第二输出和第三输出以及第一测量和第二测量来更新第一经训练的机器学习模型、第二经训练的机器学习模型和/或第三经训练的机器学习模型的训练。
图8是根据实施例的使用传感器数据集合来确定何时达到蚀刻终点并确定是否为蚀刻工艺腔室排定维护的方法800的流程图。在方法800的框802处,处理逻辑在蚀刻腔室中启动蚀刻工艺。可以在产品基板上执行蚀刻工艺,该产品基板上具有一个或多个膜。在框805处,处理逻辑在蚀刻工艺期间和/或之后从工艺腔室的一个或多个光学传感器接收一个或多个测量。在框810处,处理逻辑使用已经被训练为检测沟槽深度、膜厚度和/或蚀刻终点条件的第一经训练的机器学习模型来处理测量(或测量的第一子集,诸如来自接收的测量的一个或多个光学测量)。经训练的机器学习模型可以已经被训练为产生指示沟槽深度、膜厚度的输出和/或指示是否已经达到蚀刻终点的输出。
在框815处,处理逻辑确定经训练的机器学习模型的输出是否满足蚀刻终点准则。若输出无法满足沟槽终点准则,则方法返回框805,在框805处,产生附加的传感器测量。若输出满足沟槽终点准则,则方法继续到框820。
在框820处,处理逻辑确定已经达到了蚀刻终点。在框825处,处理逻辑停止蚀刻工艺(或蚀刻工艺中的步骤)。
在框830处,处理逻辑使用第二经训练的机器学习模型来处理测量(或测量的第二子集),该第二经训练的机器学习模型已经被训练为确定是否应对工艺腔室执行维护。第二经训练的机器学习模型可以已经被训练为产生指示CCI的输出和/或指示是否应要维护和/或要执行的维护的类型的输出。
在框835处,处理逻辑确定经训练的机器学习模型的输出是否满足第二准则。若输出满足第二准则,则方法继续进行到框840。若输出无法满足第二准则,则方法返回框802,且在新的基板上执行蚀刻工艺。
在框840处,处理逻辑确定工艺腔室应要维护。在框845处,处理逻辑可以标记工艺腔室进行维护(例如,清洁)和/或可以为工艺腔室主动排定清洁。在框850处,处理逻辑可以接收关于实际上是否对工艺腔室执行了维护的指示。
处理逻辑可以基于传感器测量、相应的输出和关于相应的输出是否是正确的输出的指示来更新第一经训练的机器学习模型和第二经训练的机器学习模型两者的训练。
图9是根据实施例的自动确定何时排定工艺腔室进行维护和在维护之后何时使工艺腔室重新投入使用的方法900的流程图。在方法900的框902处,处理逻辑在工艺腔室中对产品基板启动工艺。例如,工艺可以是蚀刻工艺、沉积工艺、退火工艺或一些其他工艺。可以在其上有一个或多个膜的产品基板上执行工艺,和/或可以执行工艺以在其上沉积膜。在框905处,处理逻辑在第一工艺期间和/或之后从工艺腔室的传感器集合接收第一测量。在框910处,处理逻辑使用第一经训练的机器学习模型来处理第一测量,该第一经训练的机器学习模型已经被训练为确定是否应对工艺腔室执行维护。经训练的机器学习模型可以已经被训练为产生指示CCI的输出和/或指示是否应要维护和/或要执行的维护的类型的输出。
在框915处,处理逻辑确定第一经训练的机器学习模型的第一输出是否满足一个或多个第一准则(例如,输出的CCI值是否小于CCI阈值)。若第一输出满足该一个或多个第一准则,则方法继续到框920。若第一输出无法满足该一个或多个第一准则,则方法返回框902,并在工艺腔室中在新的基板上执行第一工艺。
在框920处,处理逻辑确定工艺腔室应要维护。在框925处,处理逻辑可以标记工艺腔室进行维护(例如,清洁)和/或可以为工艺腔室主动排定清洁。
在框930处,在已经对工艺腔室执行维护之后,处理逻辑可以针对工艺腔室启动调理工艺。在框935处,处理逻辑在调理工艺期间和/或之后从工艺腔室的传感器集合接收第二测量。在框940处,处理逻辑使用第二经训练的机器学习模型来处理第二测量,该第二经训练的机器学习模型已经被训练为确定调理是否完成和/或工艺腔室是否准备好重新投入使用。第二经训练的机器学习模型可以已经被训练为产生指示CCI的第二输出和/或指示调理是否完成(和工艺腔室可以重新投入使用)的第二输出。
在框945处,处理逻辑确定经训练的机器学习模型的第二输出是否满足一个或多个第二准则。在一个实施例中,处理逻辑将输出的CCI与CCI阈值进行比较。若CCI等于或大于CCI阈值,则处理逻辑可以确定输出满足第二准则。若CCI小于CCI阈值,则第二准则可能未被满足。若第二准则未被满足,则方法继续并返回框930,且对工艺腔室执行另一调理工艺。若准则被满足,则方法继续进行到框950。
在框950处,处理逻辑确定调理完成且工艺腔室准备好进行再鉴定和/或准备好重新投入使用(对产品基板使用)。在框955处,处理逻辑可以标记工艺腔室进行鉴定和/或可以排定再鉴定工艺。在框960处,处理逻辑可以接收关于工艺腔室是否通过再鉴定测试的指示。处理逻辑可以附加地或替代地接收关于工艺腔室的状态的指示。若工艺腔室无法通过再鉴定测试,则方法返回框930,且对工艺腔室执行另一调理工艺。若工艺腔室通过了再鉴定测试,则方法继续到框965。在框965处,处理逻辑使工艺重新投入使用。处理逻辑也可以如本文中所论述地更新第一经训练的机器学习模型和/或第二经训练的机器学习模型的训练。
图10示出了呈计算设备1000的示例形式的机器的图解表示,可以在该计算设备内执行指令集,该指令集用于使该机器执行本文中所论述的方法论中的任一者或多者。在替代性实施例中,机器可以在局域网(LAN)、内部网、外部网或因特网中连接(例如,联网)至其他的机器。机器可以以客户端-服务器网络环境中的服务器或客户端机器的身分运作,或用作同级间(或分布式)网络环境中的同级机器。机器可以是个人计算机(PC)、平板计算机、机顶盒(STB)、个人数字助理(PDA)、蜂巢式电话、网页器具、服务器、网络路由器、交换器或网桥或能够(依序或以其他方式)执行指令集的任何机器,该指令集指定要由该机器所采取的动作。进一步地,虽然仅示出单个机器,但也应将术语“机器”视为包括个别地或共同地执行指令集(或多个指令集)以执行本文中所述的方法论中的任一者或多者的任何机器的集合(例如,计算机)。
示例计算设备1000包括经由总线1030彼此通信的处理设备1002、主存储器1004(例如,只读存储器(ROM)、闪存存储器、动态随机存取存储器(DRAM),诸如同步DRAM(SDRAM)或总线式DRAM(RDRAM)等)、静态存储器1006(例如,闪存存储器、静态随机存取存储器(SRAM)、硬盘(磁性存储)等)和辅助存储器(例如,数据存储设备1018)。
处理设备1002表示一个或多个通用处理器,诸如微处理器、中央处理单元等。更详细而言,处理设备1002可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、实施其他指令集的处理器或实施指令集的组合的处理器。处理设备1002也可以是一个或多个专用处理设备,诸如专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。处理设备1002被配置为执行处理逻辑(指令1022)以用于执行本文中所论述的操作及步骤。
计算设备1000可以进一步包括网络接口组件1008。计算设备1000也可以包括视频显示单元1010(例如,液晶显示器(LCD)或阴极射线管(CRT))、字母数字输入设备1012(例如,键盘)、光标控制设备1014(例如,鼠标)和信号产生设备1016(例如,扬声器)。
数据存储设备1018可以包括机器可读存储介质(或更具体而言,计算机可读存储设备)1028,该机器可读存储介质上存储有使本文中所述的方法论或功能中的任一者或多者具体化的一个或多个指令集1022。指令1022也可以在由计算机系统1000执行指令期间完全地或至少部分地驻留在主存储器1004内和/或处理设备1002内,主存储器1004和处理设备1002也构成计算机可读存储介质。
计算机可读存储介质1028也可以用于存储自主工具引擎121和/或包含呼叫自主工具引擎121的方法的软件库。虽然在示例实施例中将计算机可读存储介质1028示出为单个介质,但也应将术语“计算机可读存储介质”视为包括存储该一个或多个指令集的单个介质或多个介质(例如,集中式或分布式数据库和/或相关联的高速缓存和服务器)。也应将术语“计算机可读存储介质”视为包括能够存储指令集或对指令集进行编码以供由机器执行的任何介质,且这使得机器执行本文中所述的方法论中的任一者或多者。因此,应将术语“计算机可读存储介质”视为包括但不限于非暂态计算机可读介质,诸如固态存储器和光学和磁性介质。
本文中(例如关于图1-图2)所述的模块、组件和其他特征可以被实施为离散的硬件组件或集成在诸如ASIC、FPGA、DSP或类似设备之类的硬件部件的功能性中。此外,可以将模块实施为固件或硬件设备内的功能电路系统。进一步地,模块可以以硬件设备与软件设备的任何组合实施或仅以软件实施。
已经从对计算机存储器内的数据位进行的操作的算法和符号表示的方面呈现了详细说明的一些部分。这些算法说明和表示是数据处理技术领域的技术人员用于最有效地向该技术领域的其他技术人员传达他们工作的实质内容的手段。算法在此处(且一般而言)被认为是导致目标结果的自相一致的步骤序列。这些步骤是那些需要对物理量进行物理操控的步骤。尽管不一定,但这些量通常采取能够被存储、传输、组合、比较和以其他方式操控的电信号或磁信号的形式。已证明,将这些信号称为位、值、元素、符号、字符、项、数字等有时是方便的(主要是因为常用)。
然而,应牢记,所有的这些用语和类似的用语要与适当的物理量相关联且仅为应用于这些量的方便的标签。除非另有具体陈述,否则从以下论述可以清楚看出,应理解,在整个描述中,利用诸如“接收”、“标识”、“确定”、“选择”、“提供”、“存储”等之类的术语的论述是指计算机系统或类似电子计算设备的动作和过程,其操控并将计算机系统的寄存器和存储器内被表示为物理(电子)量的数据转换成在计算机系统存储器或寄存器或其他此类信息存储、传输或显示设备内被类似地表示为物理量的其他数据。
本发明的实施例也涉及用于执行本文中的操作的装置。此装置可以被专门构造为用于所论述的用途,或者它可以包括被存储在计算机系统中的计算机程序选择性地编程的通用计算机系统。此类计算机程序可以被存储在计算机可读存储介质中,诸如但不限于包括软盘、光盘、CD-ROM和磁光盘的任何类型的盘片、只读存储器(ROM)、随机存取存储器(RAM)、EPROM、EEPROM、磁盘存储介质、光学存储介质、闪存存储器设备、其他类型的机器可存取存储介质或适于存储电子指令的任何类型的介质,每个都耦合至计算机系统总线。
前述说明阐述了许多具体细节,诸如具体的系统、组件、方法等等的示例,从而提供对本公开的若干实施例的更好理解。然而,本领域技术人员将理解,可以在没有这些具体细节的情况下实践本公开的至少一些实施例。在其他的情况下,不详细描述众所周知的组件或方法,或将这些组件或方法用简单的框图格式呈现,以避免不必要地模糊本公开。因此,所阐述的具体细节仅是示例性的。特定的实施方式可以与这些示例性细节不同,且仍然被构想为是在本公开的范围之内。
贯穿本说明书对“一个实施例”或“一实施例”的引用意指与该实施例结合描述的特定特征、结构或特性被包括在至少一个实施例中。因此,贯穿本说明书的各种地方出现的短语“在一个实施例中”或“在实施例中”不一定都指相同的实施例。此外,术语“或”旨在意指包括性的“或”而不是排他性的“或”。在本文中使用术语“约”或“大约”时,旨在意指所呈现的标称值精确到±10%内。
虽然本文中的方法的操作是以特定的顺序示出和描述的,但也可以改变每个方法的操作顺序,使得可以以相反的顺序执行某些操作,使得某些操作可以至少部分地与其他操作并行地执行。在另一实施例中,不同操作的指令或子操作可以以间歇和/或交替的方式进行。
应了解,以上说明旨在是说明性的,而非限制性的。在阅读和了解以上说明之后,许多其他的实施例对本领域技术人员将是显而易见的。因此,将参考所附权利要求连同此类权利要求所赋予的等效物的整个范围来确定本公开的范围。

Claims (18)

1.一种基板处理系统,包括:
一个或多个传输腔室;
多个工艺腔室,所述多个工艺腔室连接至所述一个或多个传输腔室,所述多个工艺腔室包括第一工艺腔室和第二工艺腔室,所述第一工艺腔室包括第一多个传感器,所述第二工艺腔室包括第二多个传感器;以及
计算设备,所述计算设备连接至所述多个工艺腔室中的每一者,其中所述计算设备用于:
在对所述第一工艺腔室执行维护之后在所述第一工艺腔室内执行的调理工艺的第一实例期间或之后从所述第一工艺腔室的所述第一多个传感器中的至少一者接收一个或多个第一测量,其中所述一个或多个第一测量包括在所述调理工艺的所述第一实例期间产生的来自所述第一多个传感器的第一测量集合;
使用经训练的机器学习模型来处理所述一个或多个第一测量,其中所述经训练的机器学习模型用于基于对所述一个或多个第一测量的处理来产生第一输出,其中所述第一输出包括对所述第一工艺腔室准备好重新投入使用的指示;
基于所述经训练的机器学习模型的所述第一输出,导致关于所述第一工艺腔室执行第一动作;
确定所述第一动作的第一结果;以及
基于所述一个或多个第一测量、所述第一输出和所述第一动作的所述第一结果来更新所述经训练的机器学习模型的训练。
2.如权利要求1所述的基板处理系统,其中所述多个工艺腔室被配置为执行所述调理工艺。
3.如权利要求1所述的基板处理系统,其中所述计算设备进一步用于:
在所述第二工艺腔室内执行的所述调理工艺的第二实例期间或之后从所述第二工艺腔室的所述第二多个传感器中的至少一者接收一个或多个第二测量;
使用所述经训练的机器学习模型来处理所述一个或多个第二测量以产生第二输出;
基于所述经训练的机器学习模型的所述第二输出,导致关于所述第二工艺腔室执行第二动作;
确定所述第二动作的第二结果;以及
基于所述一个或多个第二测量、所述第二输出和所述第二动作的所述第二结果来更新所述经训练的机器学习模型的所述训练。
4.如权利要求1所述的基板处理系统,进一步包括:
工厂接口,所述工厂接口经由一个或多个装载锁连接至所述一个或多个传输腔室;
其中所述计算设备是工具上计算设备,其附接至所述一个或多个传输腔室中的传输腔室、所述多个工艺腔室中的工艺腔室或所述工厂接口中的至少一者。
5.如权利要求1所述的基板处理系统,其中所述第一动作包括要在所述第一工艺腔室中在测试基板上运行的测试工艺,并且其中所述第一动作的所述第一结果包括在所述测试工艺期间或之后产生的所述测试基板的一个或多个测量。
6.如权利要求1所述的基板处理系统,其中所述第一测量集合包括光学测量、功率测量和压力测量。
7.如权利要求1所述的基板处理系统,其中所述经训练的机器学习模型包括神经网络。
8.一种基板处理系统,包括:
一个或多个传输腔室;
多个工艺腔室,所述多个工艺腔室连接至所述一个或多个传输腔室,所述多个工艺腔室包括第一蚀刻腔室和第二工艺腔室,所述第一蚀刻腔室包括第一多个传感器,所述第二工艺腔室包括第二多个传感器;以及
计算设备,所述计算设备连接至所述多个工艺腔室中的每一者,其中所述计算设备用于:
在所述第一蚀刻腔室内执行的蚀刻工艺的第一实例期间或之后从所述第一蚀刻腔室的所述第一多个传感器中的至少一者接收一个或多个第一测量,其中所述一个或多个第一测量包括在所述蚀刻工艺的所述第一实例期间产生的基板上的膜的反射计测量;
使用经训练的机器学习模型来处理所述一个或多个第一测量,其中所述经训练的机器学习模型用于基于对所述一个或多个第一测量的处理来产生第一输出,其中所述第一输出包括对所述膜的估算的膜厚度或估算的沟槽深度中的至少一者;
基于所述经训练的机器学习模型的所述第一输出,导致关于所述第一蚀刻腔室执行第一动作,其中所述第一动作包括停止所述蚀刻工艺;
确定所述第一动作的第一结果,其中所述第一动作的所述第一结果包括以下各项中的至少一者:a)所述膜的测得的厚度与所述膜的所述估算的膜厚度之间的差异,或b)所述膜的测得的沟槽深度与所述膜的所述估算的沟槽深度之间的差异;以及
基于所述一个或多个第一测量、所述第一输出和所述第一动作的所述第一结果来更新所述经训练的机器学习模型的训练。
9.一种工艺工具,包括:
工艺腔室,其中所述工艺腔室是蚀刻腔室;
多个传感器,所述多个传感器连接至所述工艺腔室;以及
计算设备,所述计算设备连接至所述工艺腔室且连接至所述多个传感器中的每一者,其中所述计算设备用于:
在所述工艺腔室内执行的工艺期间或之后从所述多个传感器中的至少一者接收一个或多个测量,其中所述工艺是蚀刻工艺,并且其中所述一个或多个测量包括在所述工艺期间产生的基板上的膜的反射计测量;
使用经训练的机器学习模型来处理所述一个或多个测量,其中所述经训练的机器学习模型用于基于对所述一个或多个测量的处理来产生输出,其中所述输出包括所述膜的估算的膜厚度或估算的沟槽深度中的至少一者;
基于所述经训练的机器学习模型的所述输出,导致关于所述工艺腔室执行动作,其中所述动作包括停止所述蚀刻工艺;
确定所述动作的结果,其中所述动作的所述结果包括以下各项中的至少一者:a)所述膜的测得的厚度与所述膜的所述估算的膜厚度之间的差异,或b)所述膜的测得的沟槽深度与所述膜的所述估算的沟槽深度之间的差异;以及
基于所述一个或多个测量、所述输出和所述动作的所述结果来更新所述经训练的机器学习模型的训练。
10.如权利要求9所述的工艺工具,其中所述经训练的机器学习模型包括神经网络。
11.一种工艺工具,包括:
工艺腔室;
多个传感器,所述多个传感器连接至所述工艺腔室;以及
计算设备,所述计算设备连接至所述工艺腔室且连接至所述多个传感器中的每一者,其中所述计算设备用于:
在所述工艺腔室内执行的工艺期间或之后从所述多个传感器中的至少一者接收一个或多个测量,其中所述工艺包括在对所述工艺腔室执行维护之后对所述工艺腔室执行的调理工艺,并且其中所述一个或多个测量包括在所述工艺期间产生的来自所述多个传感器的测量集合;
使用经训练的机器学习模型来处理所述一个或多个测量,其中所述经训练的机器学习模型用于基于对所述一个或多个测量的处理来产生输出,其中所述输出包括对所述工艺腔室准备好重新投入使用的指示;
基于所述经训练的机器学习模型的所述输出,导致关于所述工艺腔室执行动作,其中所述动作包括要在所述工艺腔室中在测试基板上运行的测试工艺;
确定所述动作的结果,其中所述动作的所述结果包括在所述测试工艺期间或之后产生的所述测试基板的一个或多个测量;以及
基于所述一个或多个测量、所述输出和所述动作的所述结果来更新所述经训练的机器学习模型的训练。
12.如权利要求11所述的工艺工具,其中所述测量集合包括光学测量、功率测量和压力测量。
13.一种基板处理系统,包括:
一个或多个传输腔室;
多个工艺腔室,所述多个工艺腔室连接至所述一个或多个传输腔室,所述多个工艺腔室包括第一工艺腔室,所述第一工艺腔室包括第一多个传感器;以及
计算设备,所述计算设备连接至所述多个工艺腔室中的每一者,其中所述计算设备用于:
在所述第一工艺腔室内执行工艺期间或之后接收由所述第一工艺腔室的所述第一多个传感器产生的第一测量;
基于使用第一经训练的机器学习模型处理来自所述第一多个传感器的所述第一测量来确定所述第一工艺腔室应要维护;
在已经对所述第一工艺腔室执行了维护之后,在所述第一工艺腔室内执行调理工艺期间或之后接收由所述第一工艺腔室的所述第一多个传感器产生的第二测量;
基于使用第二经训练的机器学习模型处理来自所述第一多个传感器的所述第二测量来确定所述第一工艺腔室准备好重新投入使用;
基于所述第二经训练的机器学习模型的输出,导致在所述第一工艺腔室中在测试基板上执行测试工艺;
接收基于在所述测试工艺期间或之后产生的所述测试基板的测量的一个或多个腔室鉴定结果;以及
基于所述第二测量、所述输出和所述一个或多个腔室鉴定结果来更新所述第二经训练的机器学习模型的训练。
14.如权利要求13所述的基板处理系统,其中所述第一测量是在基板上执行的沉积工艺或蚀刻工艺期间产生的,并且其中所述第二测量是在已经对所述第一工艺腔室执行了所述维护之后执行的调理工艺期间产生的。
15.如权利要求13所述的基板处理系统,其中所述第一测量和所述第二测量各自包括光学测量、功率测量和压力测量。
16.如权利要求13所述的基板处理系统,其中所述计算设备进一步用于:
基于所述第一经训练的机器学习模型的输出,导致对所述第一工艺腔室执行维护;
接收关于是否需要对所述第一工艺腔室执行维护的指示;以及
基于所述第一测量、所述输出和所述指示来更新所述第一经训练的机器学习模型的训练。
17.如权利要求13所述的基板处理系统,其中所述第一工艺腔室是蚀刻腔室,其中所述第一测量是在基板上执行的蚀刻工艺期间产生的,其中所述第一测量包括反射计测量,并且其中所述计算设备进一步用于:
基于使用第三经训练的机器学习模型处理所述反射计测量来确定估算的膜厚度或估算的沟槽深度中的至少一者;
作出以下比较中的至少一者:a)将所述估算的膜厚度与目标膜厚度进行比较,或b)将所述估算的沟槽深度与目标沟槽深度进行比较;
基于所述比较来确定估计将要达到所述目标膜厚度或所述目标沟槽深度中的至少一者的一时间;以及
在估计要达到所述目标膜厚度或所述目标沟槽深度中的至少一者的所述时间停止所述蚀刻工艺。
18.如权利要求13所述的基板处理系统,其中所述第一经训练的机器学习模型包括第一神经网络,并且其中所述第二经训练的机器学习模型包括第二神经网络。
CN202280009021.2A 2021-01-06 2022-01-05 自主基板处理系统 Active CN116802780B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410595417.0A CN118522667A (zh) 2021-01-06 2022-01-05 自主基板处理系统

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/143,072 US11709477B2 (en) 2021-01-06 2021-01-06 Autonomous substrate processing system
US17/143,072 2021-01-06
PCT/US2022/011316 WO2022150390A1 (en) 2021-01-06 2022-01-05 Autonomous substrate processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410595417.0A Division CN118522667A (zh) 2021-01-06 2022-01-05 自主基板处理系统

Publications (2)

Publication Number Publication Date
CN116802780A CN116802780A (zh) 2023-09-22
CN116802780B true CN116802780B (zh) 2024-05-31

Family

ID=82218590

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202280009021.2A Active CN116802780B (zh) 2021-01-06 2022-01-05 自主基板处理系统
CN202410595417.0A Pending CN118522667A (zh) 2021-01-06 2022-01-05 自主基板处理系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202410595417.0A Pending CN118522667A (zh) 2021-01-06 2022-01-05 自主基板处理系统

Country Status (6)

Country Link
US (2) US11709477B2 (zh)
JP (1) JP7532671B2 (zh)
KR (1) KR20230129262A (zh)
CN (2) CN116802780B (zh)
TW (1) TW202230062A (zh)
WO (1) WO2022150390A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11551024B1 (en) * 2019-11-22 2023-01-10 Mastercard International Incorporated Hybrid clustered prediction computer modeling
US11709477B2 (en) * 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
JP2023042311A (ja) * 2021-09-14 2023-03-27 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US12032355B2 (en) * 2022-03-31 2024-07-09 Tokyo Electron Limited Virtual metrology model based seasoning optimization
CN118471865B (zh) * 2024-07-10 2024-08-30 环诚智能装备(成都)有限公司 一种基于动态调整的晶圆键合稳定性控制方法及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101313308A (zh) * 2006-04-21 2008-11-26 应用材料股份有限公司 用于监测基板处理的神经网络方法和设备
CN111587478A (zh) * 2018-06-28 2020-08-25 应用材料公司 用于光谱监测的机器学习系统的训练光谱产生
CN112041976A (zh) * 2018-05-09 2020-12-04 应用材料公司 用于检测陈化处理的终点的方法和设备

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006501651A (ja) 2002-09-27 2006-01-12 東京エレクトロン株式会社 High−k誘電材料をエッチングするための方法及びシステム
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
JP4101280B2 (ja) 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US9859138B2 (en) 2014-10-20 2018-01-02 Lam Research Corporation Integrated substrate defect detection using precision coating
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10269545B2 (en) 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
CN109643671B (zh) 2016-08-26 2023-06-06 应用材料公司 自我修复式半导体晶片处理
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10565513B2 (en) 2016-09-19 2020-02-18 Applied Materials, Inc. Time-series fault detection, fault classification, and transition analysis using a K-nearest-neighbor and logistic regression approach
KR101909477B1 (ko) 2016-11-07 2018-10-18 세메스 주식회사 공정 챔버 감시 장치 및 방법
TWI807987B (zh) 2016-11-30 2023-07-01 美商應用材料股份有限公司 使用神經網路的光譜監測
US10262910B2 (en) 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
TWI816620B (zh) 2017-04-21 2023-09-21 美商應用材料股份有限公司 使用神經網路來監測的拋光裝置
US10989652B2 (en) 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
TWI783037B (zh) * 2017-09-25 2022-11-11 美商應用材料股份有限公司 使用機器學習方式以產生製程控制參數的半導體製造
US10579041B2 (en) 2017-12-01 2020-03-03 Applied Materials, Inc. Semiconductor process control method
US10832979B2 (en) 2018-02-22 2020-11-10 Lam Research Corporation Feedback control system for iterative etch process
WO2019182913A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
JP7295359B2 (ja) 2018-03-20 2023-06-21 東京エレクトロン株式会社 統合的な計測を伴う基板処理ツール並びに使用方法
US10777470B2 (en) * 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
TWI825075B (zh) 2018-04-03 2023-12-11 美商應用材料股份有限公司 針對墊子厚度使用機器學習及補償的拋光裝置、拋光系統、方法及電腦儲存媒體
JP6830464B2 (ja) 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
US10529602B1 (en) 2018-11-13 2020-01-07 Applied Materials, Inc. Method and apparatus for substrate fabrication
JP2020070470A (ja) 2018-10-31 2020-05-07 旭化成株式会社 学習処理装置、学習処理方法、化合物半導体の製造方法およびプログラム
US11133204B2 (en) 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
JP7190380B2 (ja) 2019-03-19 2022-12-15 旭化成エレクトロニクス株式会社 学習処理装置、学習処理方法、化合物半導体の製造方法およびプログラム
JP7510436B2 (ja) 2019-03-29 2024-07-03 ラム リサーチ コーポレーション 基板処理システムのためのモデルベースのスケジュール設定
US20210080941A1 (en) * 2019-09-17 2021-03-18 Rockwell Automation Technologies Inc. Scalable predictive maintenance for industrial automation equipment
JP2022549793A (ja) * 2019-09-25 2022-11-29 ラム リサーチ コーポレーション 光干渉法および反射率測定法を使用した半導体機器の自律プロセス制御および最適化のためのシステムおよび方法
WO2021231138A1 (en) 2020-05-11 2021-11-18 Lam Research Corporation Automated feedforward and feedback sequence for patterning cd control
JP2022022500A (ja) 2020-06-24 2022-02-07 東京エレクトロン株式会社 基板処理システム、基板処理方法、およびマップ作成装置
JP2022045906A (ja) 2020-09-09 2022-03-22 東京エレクトロン株式会社 解析装置、解析方法、解析プログラム及びプラズマ処理制御システム
US11709477B2 (en) * 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101313308A (zh) * 2006-04-21 2008-11-26 应用材料股份有限公司 用于监测基板处理的神经网络方法和设备
CN112041976A (zh) * 2018-05-09 2020-12-04 应用材料公司 用于检测陈化处理的终点的方法和设备
CN111587478A (zh) * 2018-06-28 2020-08-25 应用材料公司 用于光谱监测的机器学习系统的训练光谱产生

Also Published As

Publication number Publication date
KR20230129262A (ko) 2023-09-07
US20220214662A1 (en) 2022-07-07
JP2024503282A (ja) 2024-01-25
US20230305531A1 (en) 2023-09-28
US11709477B2 (en) 2023-07-25
CN116802780A (zh) 2023-09-22
CN118522667A (zh) 2024-08-20
TW202230062A (zh) 2022-08-01
JP7532671B2 (ja) 2024-08-13
WO2022150390A1 (en) 2022-07-14

Similar Documents

Publication Publication Date Title
CN116802780B (zh) 自主基板处理系统
KR102546407B1 (ko) 반도체 제작 장비 내의 소모성 부품의 마모 검출
US11276564B2 (en) Plasma processing system having an inspection tool and controller that interfaces with a tool model
KR20200124315A (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
US20230236569A1 (en) Estimation of chamber component conditions using substrate measurements
KR20230151016A (ko) 기계 학습을 사용하여 증착된 막들에 대한 농도 프로파일들의 제어
TW202338539A (zh) 使用光學壁製程感測器(owps)的製程表徵和改正
US20220165593A1 (en) Feedforward control of multi-layer stacks during device fabrication
US20220165541A1 (en) Etch feedback for control of upstream process
US20230236583A1 (en) Chamber component condition estimation using substrate measurements
US20240123477A1 (en) Rapid thermal processing (rtp) chamber outgassing removal
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20240069537A1 (en) Substrate placement optimization using substrate measurements
TW202330377A (zh) 用於將感測器與傳輸腔室機器人耦合的方法和機構
TW202401608A (zh) 使用光學壁製程感測器(owps)的製程表徵和改正
KR20240137655A (ko) 기판 측정들을 사용한 챔버 컴포넌트 컨디션들의 추정
KR20200123480A (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
Pfitzner et al. Trends in European R&D-Advanced Process Control Down to Atomic Scale for Micro-and Nanotechnologies

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant