KR20230129262A - 자율 기판 프로세싱 시스템 - Google Patents

자율 기판 프로세싱 시스템 Download PDF

Info

Publication number
KR20230129262A
KR20230129262A KR1020237026414A KR20237026414A KR20230129262A KR 20230129262 A KR20230129262 A KR 20230129262A KR 1020237026414 A KR1020237026414 A KR 1020237026414A KR 20237026414 A KR20237026414 A KR 20237026414A KR 20230129262 A KR20230129262 A KR 20230129262A
Authority
KR
South Korea
Prior art keywords
measurements
process chamber
machine learning
learning model
chamber
Prior art date
Application number
KR1020237026414A
Other languages
English (en)
Inventor
프리야다르시 판다
레이 리안
펑유 한
토드 제이. 이건
프라샨트 아지
엘리 모르
알렉스 제이. 톰
레오나드 마이클 테데스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230129262A publication Critical patent/KR20230129262A/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4155Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by programme execution, i.e. part programme or machine function execution, e.g. selection of a programme
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31368MAP manufacturing automation protocol
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Artificial Intelligence (AREA)
  • Manufacturing & Machinery (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Evolutionary Computation (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Health & Medical Sciences (AREA)
  • Human Computer Interaction (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱(processing) 시스템은 하나 이상의 이송 챔버(chamber)들; 하나 이상의 이송 챔버들에 연결된 복수의 프로세스 챔버들; 및 복수의 프로세스 챔버들 각각에 연결된 컴퓨팅 디바이스(computing device)를 포함한다. 컴퓨팅 디바이스는, 제1 프로세스 챔버 내에서 프로세스가 수행되는 동안 또는 수행된 후에 제1 프로세스 챔버의 센서들에 의해 생성된 제1 측정들을 수신하고; 제1 훈련된 기계 학습 모델을 사용하여 제1 측정들을 프로세싱하는 것에 기초하여, 제1 프로세스 챔버가 유지보수될 예정임을 결정하고; 제1 프로세스 챔버에 대해 유지보수가 수행된 후, 제1 프로세스 챔버 내에서 시즈닝(seasoning) 프로세스가 수행되는 동안 또는 수행된 후에 센서들에 의해 생성된 제2 측정들을 수신하고; 그리고 제2 훈련된 기계 학습 모델을 사용하여 제2 측정들을 프로세싱하는 것에 기초하여, 제1 프로세스 챔버가 다시 가동될 준비가 되었음을 결정한다.

Description

자율 기판 프로세싱 시스템
[0001] 본 개시내용의 실시예들은 자율 또는 반자율 기판 프로세싱(processing) 시스템, 도구 및/또는 프로세스 챔버(chamber)에 관한 것이다.
[0002] 전통적으로, 프로세스 챔버들에 의해 수행되는 제조 레시피(recipe)들은, 인-시튜(in-situ) 조건들에 반응하지 않고 기계적으로 적용되는 정적 레시피들이다. 추가로, 프로세스 챔버들에 대한 유지보수를 수행할 시기 및 프로세스 챔버들을 다시 가동시킬 시기의 결정은 설정된 스케줄(schedule)들 및 미리 결정된 레시피들에 기초하여 정적으로 이루어진다. 프로세스 챔버들은 일반적으로 프로세스 레시피들, 유지보수, 도구 인증(qualification) 등과 관련하여 그들 자체적인 결정들을 수행할 수 있는 임의의 자율성 또는 능력을 갖고 있지 않다.
[0003] 본 명세서에 설명된 실시예들 중 일부는 하나 이상의 이송 챔버들, 하나 이상의 이송 챔버들에 연결된 복수의 프로세스 챔버들, 및 복수의 프로세스 챔버들 각각에 연결된 컴퓨팅 디바이스(computing device)를 포함하는 기판 프로세싱 시스템을 커버한다. 복수의 프로세스 챔버들은 제1 복수의 센서들을 포함하는 제1 프로세스 챔버 및 제2 복수의 센서들을 포함하는 제2 프로세스 챔버를 포함한다. 컴퓨팅 디바이스는: 제1 프로세스 챔버 내에서 수행되는 프로세스의 제1 인스턴스(instance) 동안 또는 후에 제1 프로세스 챔버의 제1 복수의 센서들 중 적어도 하나로부터의 하나 이상의 제1 측정들을 수신하고; 훈련된 기계 학습 모델을 사용하여 하나 이상의 제1 측정들을 프로세싱하고 ― 훈련된 기계 학습 모델은 하나 이상의 제1 측정들의 프로세싱에 기초하여 제1 출력을 생성함 ―; 훈련된 기계 학습 모델의 제1 출력에 기초하여 제1 프로세스 챔버에 대해 제1 액션(action)이 수행되게 하고; 제1 액션의 제1 결과를 결정하고; 그리고 하나 이상의 제1 측정들, 제1 출력, 및 제1 액션의 제1 결과에 기초하여 훈련된 기계 학습 모델의 훈련을 업데이트(update)한다.
[0004] 일부 실시예들에서, 프로세스 도구(process tool)는 프로세스 챔버, 프로세스 챔버에 연결된 복수의 센서들, 및 프로세스 챔버에 그리고 복수의 센서들 각각에 연결된 컴퓨팅 디바이스를 포함한다. 컴퓨팅 디바이스는: 프로세스 챔버 내에서 수행되는 프로세스 동안 또는 후에 제1 복수의 센서들 중 적어도 하나로부터의 하나 이상의 측정들을 수신하고; 훈련된 기계 학습 모델을 사용하여 하나 이상의 측정들을 프로세싱하고 ― 훈련된 기계 학습 모델은 하나 이상의 측정들의 프로세싱에 기초하여 출력을 생성함 ―; 훈련된 기계 학습 모델의 출력에 기초하여 프로세스 챔버에 대해 액션이 수행되게 하고; 액션의 결과를 결정하고; 그리고 하나 이상의 측정들, 출력, 및 액션의 결과에 기초하여 훈련된 기계 학습 모델의 훈련을 업데이트한다.
[0005] 일부 실시예들에서, 기판 프로세싱 시스템은 하나 이상의 이송 챔버들, 하나 이상의 이송 챔버들에 연결된 복수의 프로세스 챔버들, 및 복수의 프로세스 챔버들 각각에 연결된 컴퓨팅 디바이스를 포함한다. 복수의 프로세스 챔버들은 제1 복수의 센서들을 포함하는 제1 프로세스 챔버를 포함한다. 컴퓨팅 디바이스는: 제1 프로세스 챔버 내에서 프로세스가 수행되는 동안 또는 수행된 후에 제1 프로세스 챔버의 제1 복수의 센서들에 의해 생성된 제1 측정들을 수신하고; 제1 훈련된 기계 학습 모델을 사용하여 제1 복수의 센서들로부터의 제1 측정들을 프로세싱하는 것에 기초하여, 제1 프로세스 챔버가 유지보수될 예정임을 결정하고; 제1 프로세스 챔버에 대해 유지보수가 수행된 후, 제1 프로세스 챔버 내에서 시즈닝(seasoning) 프로세스가 수행되는 동안 또는 수행된 후에 제1 프로세스 챔버의 복수의 센서들에 의해 생성된 제2 측정들을 수신하고; 그리고 제2 훈련된 기계 학습 모델을 사용하여 복수의 센서들로부터의 제2 측정들을 프로세싱하는 것에 기초하여, 제1 프로세스 챔버가 다시 가동될 준비가 되었음을 결정한다.
[0006] 본 개시내용의 이들 및 다른 양태들에 따라 수많은 다른 특징들이 제공된다. 본 개시내용의 다른 특징들 및 양태들은 다음의 상세한 설명, 청구항들, 및 첨부된 도면들로부터 더욱 완전하게 명백해질 것이다.
[0007] 본 개시내용은 유사한 참조 번호들이 유사한 엘리먼트들을 표시하는 첨부된 도면들의 도면들에서, 한정이 아니라 예로서 예시된다. 본 개시내용에서 "실시예" 또는 "일 실시예"에 대한 상이한 언급들은 반드시 동일한 실시예에 대한 것은 아니며, 이러한 언급들은 적어도 하나를 의미한다는 점에 유의해야 한다.
[0008] 도 1은 실시예에 따른 제1 예시적인 자율 또는 반자율 제조 시스템의 상부 개략도이다.
[0009] 도 2는 실시예에 따른 자율 또는 반자율 프로세싱 챔버의 단면도를 묘사한다.
[0010] 도 3은 실시예에 따른, 프로세스 도구 및/또는 기판 프로세싱 시스템에 의해 자동으로 결정들을 내리고 액션들을 수행하는 방법에 대한 흐름도이다.
[0011] 도 4는 실시예에 따른, 에칭 프로세스를 중지할 시기를 자동으로 결정하는 방법에 대한 흐름도이다.
[0012] 도 5는 실시예에 따른, 프로세스 챔버에 대한 유지보수를 수행할 시기를 자동으로 결정하는 방법에 대한 흐름도이다.
[0013] 도 6은 실시예에 따른, 유지보수가 수행된 후 프로세스 챔버를 다시 가동으로 복귀시킬 시기를 자동으로 결정하는 방법에 대한 흐름도이다.
[0014] 도 7은 실시예에 따른, 프로세스 도구 및/또는 기판 프로세싱 시스템에 의해 자율적으로 다수의 결정들을 내리는 방법에 대한 흐름도이다.
[0015] 도 8은 실시예에 따른, 에칭 종점에 도달할 시기를 결정하는 것 그리고 에칭 프로세스 챔버에 대한 유지보수를 스케줄링할지 여부를 결정하는 것 모두를 위해 센서 데이터 세트를 사용하는 방법에 대한 흐름도이다.
[0016] 도 9는 실시예에 따른, 유지보수를 위해 프로세스 챔버를 스케줄링할 시기 및 유지보수 후 프로세스 챔버를 다시 가동 상태로 복귀시킬 시기를 자동으로 결정하는 방법에 대한 흐름도이다.
[0017] 도 10은 본 명세서에서 논의된 방법론들 중 임의의 하나 이상을 기계가 수행하게 하기 위한 명령들 세트가 실행될 수 있는 컴퓨팅 디바이스의 예시적인 형태의 기계의 도식적 표현을 예시한다.
[0018] 본 명세서에 설명된 실시예들은 자율 및 반자율 기판 프로세싱 시스템들(예를 들어, 플랫폼(platform)들 또는 도구 클러스터(cluster)들), 프로세스 도구들 및 프로세스 챔버들, 그리고 이러한 기판 프로세싱 시스템들, 도구들 및/또는 프로세스 챔버들을 훈련시키는 방법들 및 이러한 기판 프로세싱 시스템들, 도구들 및/또는 프로세스 챔버들에 의해 자동으로 결정들을 내리는 방법들에 관한 것이다. 실시예들에서, 기판 프로세싱 시스템들, 프로세스 도구들 및/또는 프로세스 챔버들을 위한 제어기들 및/또는 다른 컴퓨팅 디바이스들은, 프로세스 챔버들의 센서들로부터 센서 측정들을 수신하고 컴퓨팅 디바이스들이 어떤 액션들을 수행할지에 대한 결정들을 내릴 수 있게 하는 출력들을 제공하도록 훈련된 하나 이상의 훈련된 기계 학습 모델들을 포함한다. 이러한 결정들의 예들에는 에칭 프로세스를 중지하는 결정들, 유지보수를 위해 프로세스 챔버를 스케줄링하는 결정들, 및 유지보수가 수행된 후 프로세스 챔버의 시즈닝을 중지하는 결정들이 포함된다. 실시예들에서, 자율 도구 또는 반자율 도구는 원격 컴퓨팅 디바이스들로 데이터를 전송하지 않고 로컬에서 결정들을 내릴 수 있는 도구이다. 실시예들에서, 스마트(smart) 도구(자율 도구 또는 반자율 도구라고도 함)는 프로세스들을 중지할 시기, 유지보수를 수행할 시기, 및 프로세스 챔버에 대한 유지보수가 수행된 후 프로세스 챔버의 시즈닝을 중지하고 프로세스 챔버를 다시 가동시킬 시기를 결정할 수 있다. 실시예들에서, 스마트 도구는 제1 기계 학습 모델의 출력에 기초하여 에칭 프로세스를 중지할 시기를 결정하고, 제2 기계 학습 모델의 출력에 기초하여 프로세스 챔버에 대한 유지보수를 수행할 시기를 결정하고, 및 제3 기계 학습 모델의 출력에 기초하여 프로세스 챔버의 시즈닝을 중지하고 프로세스 챔버를 다시 가동시킬 시기를 결정하는 것 중 2 개 이상을 수행한다.
[0019] 실시예들에서, 훈련된 기계 학습 모델들은 원격 컴퓨팅 디바이스들이 아닌 도구들 및/또는 기판 프로세싱 시스템들(예를 들어, 플랫폼들, 이송 챔버들, 메인프레임(mainframe)들, 팩토리 인터페이스(factory interface)들, 및/또는 도구 클러스터들) 자체에서 실행되는 에지 기반(edge-based) 모델들이다. 기계 학습 모델들의 훈련은 원격으로 수행될 수 있으며, 그 후 훈련된 기계 학습 모델들은 도구들 및/또는 기판 프로세싱 시스템들로 전송되거나, 또는 도구들 및/또는 기판 프로세싱 시스템들에서 수행될 수 있다. 기계 학습 모델들의 재훈련 또는 훈련의 업데이트는 도구들 및/또는 기판 프로세싱 시스템들에서 주기적으로 또는 연속적으로 수행될 수 있다. 기계 학습 모델들의 실행 및/또는 훈련(재훈련을 포함함)을 도구들 및/또는 기판 프로세싱 시스템들로 이동시킴으로써, 센서 측정들의 생성과, 이러한 센서 측정들에 기초한 결정들 내리기 사이의 지연 시간이 크게 감소될 수 있다. 이를 통해 도구들 및/또는 기판 프로세싱 시스템들이 프로세스 챔버들에 대한 실시간 결정들을 내릴 수 있는 능력이 개선된다. 추가로, 결정 내리기를 도구 및/또는 기판 프로세싱 시스템으로 이동시키면, 네트워크를 통해 전송되는 데이터의 양이 감소되고, 효율성이 증가되며, 결정들을 내릴 수 있는 속도가 빨라진다. 예를 들어, 기판 프로세싱 시스템 또는 도구에서 에칭 종점을 검출하도록 훈련된 기계 학습 모델을 포함하는 실시예들에서, 에칭 프로세스를 중지할 시기에 대한 결정은 이러한 결정을 트리거(trigger)하는 센서 데이터가 수신되는 시점으로부터 수 초 또는 몇 분의 1초 내에 이루어질 수 있다.
[0020] 다른 예에서, 프로세스 챔버에 대한 유지보수를 스케줄링할지 여부의 결정은, 프로세스가 제1 기판 상에 수행된 후에 그리고 다음 기판에 대해 프로세스가 수행되기 전에 내려질 수 있다. 예를 들어, 도구의 프로세스 챔버에서 기판이 프로세싱된 후 1분 내지 5분 이내에, 프로세스 챔버에서 기판이 프로세싱된 후 1분 미만 이내에, 또는 프로세스 챔버에서 기판이 프로세싱된 후 몇 초 또는 몇 분의 1초 이내에도 서비스를 위해 도구를 테이크 다운(take down)하는 결정을 내릴 수 있다. 이러한 빠른 응답 시간은 제품 기판들(고객들에게 판매될 디바이스들의 제품들이 될 기판들)이 사양을 벗어난 프로세스 챔버들에 노출되어 기판들의 오염 및/또는 최종적으로 제조된 제품의 고장을 유발할 수 있는 위험을 감소시킨다. 다른 예에서, 프로세스 챔버에 대한 유지보수가 수행된 후 해당 프로세스 챔버의 시즈닝을 중지할지 여부의 결정은 프로세스 챔버에 대한 시즈닝 프로세스 실행들 사이에 이루어질 수 있다. 이렇게 하면 공구를 재인증하고 이를 다시 가동시키는 데 걸리는 시간의 양을 감소시켜, 공구의 전체 소유 비용을 감소시키고 그리고/또는 공구의 수명 처리량을 증가시킬 수 있다. 추가로, 프로세스 챔버의 시즈닝을 중지할 시기를 동적으로 결정하면, 시즈닝을 수행하는 데 사용되는 자원들(예를 들어, 가스들, 웨이퍼들 등)의 양을 감소시킬 수 있다.
[0021] 이제 도면들을 참조하면, 도 1은 본 개시내용의 적어도 일부 실시예들에 따라 기판 제조를 위해 구성된 클러스터 도구(100)(시스템, 기판 프로세싱 시스템 또는 제조 시스템이라고도 함)의 도면이다. 클러스터 도구(100)는 하나 이상의 진공 이송 챔버들(VTM)(101, 102), 팩토리 인터페이스(104), 복수의 프로세싱 챔버들/모듈들(106, 108, 110, 112, 114, 116, 및 118), 및 플랫폼 제어기(120)를 포함한다. 서버 컴퓨팅 디바이스는 또한 클러스터 도구(100)에 연결될 수 있다(예를 들어, 클러스터 도구(100)의 플랫폼 제어기(120)에 연결될 수 있음). 도 1에 도시된 바와 같이, 하나 초과의 VTM을 갖는 실시예들에서, 하나 이상의 통과 챔버들(비아(via)들로 지칭됨)이 제공되어 하나의 VTM으로부터 다른 VTM으로의 진공 이송을 용이하게 할 수 있다. 도 1에 도시된 것과 일치하는 실시예들에서, 2개의 통과 챔버들(예를 들어, 통과 챔버(140) 및 통과 챔버(142))이 제공될 수 있다.
[0022] 팩토리 인터페이스(104)는, 예를 들어, 클러스터 도구(100)를 사용하여 프로세싱될 하나 이상의 기판들을, 예를 들어, 전면 개구 통합 포드(FOUP)로부터 수용하거나 또는 박스(box) 또는 캐리어(carrier)를 포함하는 다른 적합한 기판을 수용하도록 구성되는 로딩 포트(loading port)(122)를 포함한다. 로딩 포트(122)는 하나 이상의 기판들을 로딩하는 데 사용될 수 있는 하나 또는 다수의 로딩 영역들(124a-124c)을 포함할 수 있다. 3개의 로딩 영역들이 도시되어 있다. 그러나, 더 많은 또는 더 적은 로딩 영역들을 사용할 수 있다.
[0023] 팩토리 인터페이스(104)는 로딩 포트(122) 내로 로딩된 기판을 이송하는 데 사용되는 대기 이송 모듈(ATM)(126)을 포함한다. 보다 구체적으로, ATM(126)은 하나 이상의 로봇 암(arm)들(128)(가상 선으로 도시됨)을 포함하며, 이 로봇 암들은 기판을 로딩 영역들(124a-124c)로부터 ATM(126)으로, ATM(126)을 로딩 포트(122)에 연결하는 도어(door)들(135)(가상 선으로 도시됨)(슬릿 밸브(slit valve)들이라고도 지칭됨)을 통해 이송하도록 구성된다. 각각의 로딩 포트(124a-124c)에는 전형적으로 개개의 로딩 포트로부터 ATM(126)으로 기판을 이송할 수 있도록 하는 도어가 하나씩 있다. 로봇 암(128)은 또한 ATM(126)을 에어록(air lock)들(130a, 130b)에 연결하는 도어들(132)(각각의 로드록(load lock)에 대해 각각 하나씩, 가상 선으로 도시됨)을 통해 기판을 ATM(126)으로부터 로드록들(130a, 130b)로 이송하도록 구성된다. 로드록들의 개수는 2개 초과 또는 미만일 수 있지만, 오직 예시적 목적들을 위해, 2개의 로드록들(130a 및 130b)이 도시되어 있으며, 각각의 로드록은 ATM(126)에 연결하기 위한 도어를 갖는다. 로드록들(130a-b)은 뱃치(batch) 로드록들일 수 있거나 또는 아닐 수 있다.
[0024] 플랫폼 제어기(120)의 제어 하에, 로드록들(130a, 130b)은 대기압 환경 또는 진공 압력 환경에서 유지될 수 있고, VTM(101, 102)으로/으로부터 이송되는 기판을 위한 중간 또는 임시 유지 공간으로서 기능할 수 있다. VTM(101)은 기판을 로드록들(130a, 130b)로부터 복수의 프로세싱 챔버들(106, 108)(또한 프로세스 챔버들이라고도 함) 중 하나 이상으로, 또는 하나 이상의 통과 챔버들(140 및 142)(비아들이라고도 함)로 진공 파괴 없이, 즉, VTM(102) 및 복수의 프로세싱 챔버들(106, 108) 및 통과 챔버들(140 및 142) 내에서 진공 압력 환경을 유지하면서 이송하도록 구성된 로봇 암(138)(가상 선으로 도시됨)을 포함한다. VTM(102)은 기판을 에어록들(130a, 130b)로부터 복수의 프로세싱 챔버들(106, 108, 110, 112, 114, 116, 118) 중 하나 이상으로 진공 파괴 없이, 즉 VTM(102) 및 복수의 프로세싱 챔버들(106, 108, 110, 112, 114, 116, 118) 내의 진공 압력 환경을 유지하면서 이송하도록 구성된 로봇 암(138)(가상 선으로 도시됨)을 포함한다.
[0025] 도어(134), 예를 들어, 슬릿 밸브 도어는 각각의 개개의 로드록(130a, 130b)을 VTM(101)에 연결한다. 유사하게, 도어(136), 예를 들어, 슬릿 밸브 도어는 각각의 프로세싱 모듈을, 개개의 프로세싱 모듈이 결합된 VTM(예를 들어, VTM(101) 또는 VTM(102) 중 하나)에 연결한다. 복수의 프로세싱 챔버들(106, 108, 110, 112, 114, 116, 및 118)은 하나 이상의 프로세스들을 수행하도록 구성된다. 프로세싱 챔버들(106, 108, 110, 112, 114, 116, 및 118) 중 하나 이상에 의해 수행될 수 있는 프로세스들의 예들에는 세정 프로세스들(예를 들어, 기판으로부터 표면 산화물을 제거하는 사전 세정 프로세스), 어닐링(anneal) 프로세스들, 증착 프로세스들(예를 들어, 캡(cap) 층, 하드 마스크 층, 배리어(barrier) 층, 비트 라인(bit line) 금속 층, 배리어 금속 층 등의 증착을 위한 것임), 에칭 프로세스들 등이 포함된다. 프로세스 챔버들 중 하나 이상에 의해 수행될 수 있는 증착 프로세스들의 예들에는 물리 기상 증착(PVD), 화학 기상 증착(CVD), 원자층 증착(ALD) 등이 포함된다. 프로세스 챔버들 중 하나 이상에 의해 수행될 수 있는 에칭 프로세스들의 예들에는 플라즈마 에칭 프로세스들이 포함된다.
[0026] 플랫폼 제어기(120)(예를 들어, 도구 및 장비 제어기)는 클러스터 도구(100)의 다양한 양태들, 예를 들어, 프로세싱 챔버들 내의 가스 압력, 개별 가스 흐름들, 공간 유량비들, 다양한 프로세스 챔버들 내의 플라즈마 전력, 다양한 챔버 컴포넌트(component)들의 온도, 프로세싱 챔버들의 무선 주파수(RF) 또는 전기 상태 등을 제어할 수 있다. 제어기(120)는 로봇 암들(128, 138), 프로세스 챔버들(106, 108, 110, 112, 114, 116, 및 118), 로드록들(130a-b), 슬릿 밸브 도어들, 및/또는 하나 이상의 센서들, 및/또는 클러스터 도구(100)의 다른 프로세싱 컴포넌트들과 같은 클러스터 도구(100)의 컴포넌트들 중 임의의 컴포넌트로부터 신호들을 수신하고 임의의 컴포넌트로 커맨드들을 전송할 수 있다. 따라서, 제어기(120)는 프로세싱의 개시 및 중단을 제어할 수 있고, 증착 속도 및/또는 목표 층 두께를 조정할 수 있고, 프로세스 온도들을 조정할 수 있고, 증착 조성물의 유형 또는 혼합을 조정할 수 있고, 에칭 속도 등을 조정할 수 있다. 제어기(120)는 추가로 다양한 센서들로부터 측정 데이터(예를 들어, 광학 측정들 데이터)를 수신하여 프로세싱하고, 그러한 측정 데이터에 기초하여 결정들을 내릴 수 있다.
[0027] 다양한 실시예들에서, 제어기(120)는 개인용 컴퓨터, 서버 컴퓨터, 프로그래머블 로직 제어기(PLC), 마이크로제어기 등과 같은 컴퓨팅 디바이스일 수 있고 그리고/또는 이들을 포함할 수 있다. 제어기(120)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 범용 프로세싱 디바이스들일 수 있는 하나 이상의 프로세싱 디바이스들을 포함할 수 있다(또는 하나 이상의 프로세싱 디바이스들일 수 있음). 보다 구체적으로, 프로세싱 디바이스는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 또는 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스는 또한 ASIC(application specific integrated circuit), FPGA(field programmable gate array), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 프로세싱 디바이스들일 수 있다. 제어기(120)는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브들), 메인 메모리, 정적 메모리, 네트워크 인터페이스, 및/또는 다른 컴포넌트들을 포함할 수 있다. 제어기(120)의 프로세싱 디바이스는 본 명세서에 설명된 방법론들 및/또는 실시예들 중 임의의 하나 이상을 수행하기 위한 명령들을 실행할 수 있다. 명령들은 컴퓨터 판독 가능한 저장 매체에 저장될 수 있으며, 이 컴퓨터 판독 가능한 저장 매체는 (명령들의 실행 동안) 메인 메모리, 정적 메모리, 보조 저장소 및/또는 프로세싱 디바이스를 포함할 수 있다.
[0028] 실시예들에서, 제어기(120)의 프로세싱 디바이스 및 메모리는 클러스터 도구들을 위한 종래의 제어기들의 프로세싱 파워 및 메모리 크기와 비교하여 증가된 용량을 갖는다. 실시예들에서, 프로세싱 디바이스 및 메모리는 기계 학습 모델들의 훈련뿐만 아니라, 훈련된 다수의 기계 학습 모델들의 병렬 실행 및 사용을 핸들링(handle)하기에도 충분하다. 예를 들어, 메모리 및 프로세싱 디바이스는 6 내지 15개의 상이한 기계 학습 모델들의 병렬 실행을 핸들링하기에 충분할 수 있다(예를 들어, 프로세스 챔버들(106-108) 각각에 대해 하나 이상).
[0029] 일 실시예에서, 제어기(120)는 자율 도구 엔진(engine)(121)을 포함한다. 자율 도구 엔진(121)은 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 조합으로 구현될 수 있다. 자율 도구 엔진(121)은 프로세스 챔버들에서 프로세스들을 실행하는 동안 그리고/또는 실행한 후에 프로세스 챔버들(106, 108, 110, 112, 114, 116 및 118)의 하나 이상의 센서들에 의해 생성된 측정 데이터를 수신 및 프로세싱하도록 구성된다. 센서 측정들은 온도 측정들, 가스 유량들, 플라즈마 전력 측정들, 압력 측정들, 전압 측정들, 전류 측정들, 저항 측정들, 시간 측정들, (예를 들어, 광학 방출 분광분석법 측정들 및/또는 반사측정법 측정(reflectometry measurement)들과 같은) 광학 측정들, 포지션(position) 측정들 및/또는 다른 유형들의 측정들을 포함할 수 있다. 일부 예시적인 측정들에는 챔버 압력(예를 들어, mTorr 단위로 측정될 수 있음), 노즐 중심 채널 유량(예를 들어, SCCM으로 측정될 수 있음), 노즐 중간 채널 유량(예를 들어, SCCM으로 측정될 수 있음), 압력 제어기(예를 들어, 밸브) 포지션(예를 들어, 30% 개방과 같은 개방 백분율로 표시될 수 있음), 챔버 내로의 총 가스 유량(예를 들어, SCCM에서 측정될 수 있음), 내부 및/또는 외부 플라즈마 소스(source) 전류들(예를 들어, 암페어 단위로 측정될 수 있음), 플라즈마 소스 내부 대 외부 전류 비율, 소스 순방향 전력(예를 들어, 와트 단위로 측정될 수 있음), 플라즈마 소스 매칭(matching) 네트워크 커패시터(capacitor) 포지션, 플라즈마 소스 반사 전력(예를 들어, 와트 단위로 측정될 수 있음), 플라즈마 소스 직렬 커패시터 포지션(예를 들어, 정규화된 단위 없는 값으로 표시될 수 있음), 플라즈마 소스 분로 커패시터 포지션, RF 바이어스 반사 전력(예를 들어, 와트 단위로 측정될 수 있음), RF 바이어스 포워드 포저(forward poser)(예를 들어, 와트 단위로 측정될 수 있음), RF 소스 포워드 전력(예를 들어, 와트 단위로 측정될 수 있음), RF 소스 반사 전력(예를 들어, 와트 단위로 측정될 수 있음), 하나 이상의 파장들 또는 주파수들에 대한(예를 들어, 3870nm, 7035nm, 775nm 등의 파장들에 대한) OES 스펙트라 측정들, 플라즈마 소스 내부 전압, 플라즈마 소스 외부 전압, (예를 들어, 예를 들어, 정전기 척 내부 온도 및 정전기 척 외부 온도와 같은) 하나 이상의 척(chuck)/히터 온도들, (예를 들어, 기판과 기판을 지지하는 척 사이의 갭으로 전달되는 헬륨에 대한) 헬륨 압력, (예를 들어, 기판과 척 사이의 갭으로 전달되는 헬륨에 대한) 헬륨 유량, 리드(lid) 온도 등이 포함된다. 이러한 측정들의 일부 또는 전부가 결합되어 자율 도구 엔진(121)의 훈련된 기계 학습 모델에 입력되는 특징 벡터를 생성할 수 있다.
[0030] 플랫폼 제어기(120)에서 실행되는 자율 도구 엔진(121)은 다수의 프로세스 챔버들(106, 108, 110, 112, 114, 116, 118)에 대해 제어하고 그리고/또는 결정들을 내리기 위한 하나 이상의 훈련된 기계 학습 모델들을 포함할 수 있다. 훈련된 하나 이상의 기계 학습 모델들은 프로세스 챔버(106, 108, 110, 112, 114, 116, 118)로부터 및/또는 이와 연관된 센서 측정들을 수신하고 프로세스 챔버 또는 프로세스 챔버에 의해 수행될 또는 수행 중인 프로세스에 대한 예측, 분류 또는 결정을 수행하도록 훈련되었다. 훈련된 기계 학습 모델들 각각은 프로세스 챔버(106, 108, 110, 112, 114, 116, 118)에 대한 상이한 결정 내리기 프로세스와 연관될 수 있다.
[0031] 일 실시예에서, 훈련된 기계 학습 모델들 중 하나 이상은 회귀를 사용하여 훈련된 회귀 모델이다. 회귀 모델들의 예들로는 선형 회귀 또는 가우시안(Gaussian) 회귀를 사용하여 훈련된 회귀 모델들이 있다. 회귀 모델은 알려진 X 변수들의 값들이 주어지면 Y 값을 예측한다. 회귀 모델은 보간 및/또는 외삽을 포함할 수 있는 회귀 분석을 사용하여 훈련될 수 있다. 일 실시예에서, 회귀 모델의 파라미터들은 최소자승법들을 사용하여 추정된다. 대안적으로, 베이지안(Bayesian) 선형 회귀, 백분율 회귀, 최소 절대 편차들, 비모수(nonparametric) 회귀, 시나리오 최적화 및/또는 거리 메트릭(metric) 학습이 회귀 모델을 훈련시키기 위해 수행될 수 있다.
[0032] 일 실시예에서, 훈련된 기계 학습 모델들 중 하나 이상은 결정 트리(tree)들, 랜덤 포레스트(random forest)들, 서포트 벡터 머신(support vector machine)들, 또는 다른 유형들의 기계 학습 모델들이다.
[0033] 일 실시예에서, 훈련된 기계 학습 모델들 중 하나 이상은 인공 신경망(간단히 신경망이라고도 함)이다. 인공 신경망은, 예를 들어, 합성곱 신경망(CNN) 또는 심층 신경망일 수 있다. 일 실시예에서, 프로세싱 로직은 신경망을 훈련시키기 위해 지도 기계 학습을 수행한다.
[0034] 인공 신경망들은 일반적으로 특징들을 목표 출력 공간에 매핑(map)하는 분류기 또는 회귀 층들을 갖는 특징 표현 컴포넌트를 포함한다. 예를 들어, 합성곱 신경망(CNN)은 다수의 합성곱 필터들 층들을 호스팅(host)한다. 풀링(pooling)이 수행되고, 하위 층들에서 비선형성들이 어드레싱(address)될 수 있으며, 그 위에 일반적으로 다층 퍼셉트론(perceptron)이 추가되어, 합성곱 층들에 의해 추출된 최상위 층 특징들을 결정들(예를 들어, 분류 출력들)에 매핑한다. 신경망은 다수의 숨겨진 층들을 갖는 심층 망이거나 또는 숨겨진 층들이 0개 또는 몇 개(예를 들어, 1 내지 2개)인 얕은 망일 수 있다. 심층 학습은 특징 추출 및 변환을 위해 다수의 층들의 비선형 프로세싱 유닛들로 구성된 캐스케이드(cascade)를 사용하는 기계 학습 알고리즘들의 한 종류이다. 각각의 연속 층은 이전 층으로부터의 출력을 입력으로 사용한다. 신경망들은 지도(예를 들어, 분류) 및/또는 비-지도(예를 들어, 패턴 분석) 방식으로 학습할 수 있다. (예를 들어, 심층 신경망들과 같은) 일부 신경망들은 층들의 계층을 포함하며, 여기서 상이한 층들은 상이한 추상화 레벨들에 해당하는 상이한 레벨들의 표현들을 학습한다. 심층 학습에서, 각각의 레벨은 그의 입력 데이터를 약간 더 추상적이고 복합적인 표현으로 변환하는 것을 학습한다.
[0035] 훈련된 기계 학습 모델들 중 하나 이상은 순환 신경망들(RNN)일 수 있다. RNN은 신경망이 시간적 의존성들을 포착할 수 있게 하도록 메모리를 포함하는 신경망의 한 유형이다. RNN은 현재 입력 및 과거 입력들 모두에 의존하는 입력-출력 매핑들을 학습할 수 있다. RNN은 과거 및 미래의 측정들을 어드레싱하고, 이러한 연속 측정 정보에 기초하여 예측들을 수행한다. 예를 들어, 프로세스 동안 센서 측정들이 계속해서 수행될 수 있으며, 이러한 세트들의 측정들은 순차적으로 RNN에 입력될 수 있다. 현재 센서 측정들 및 이전 센서 측정들은 훈련된 기계 학습 모델의 현재 출력에 영향을 미칠 수 있다. 사용될 수 있는 RNN의 한 유형은 장단기 기억(LSTM) 신경망이다.
[0036] 자율 도구 엔진(121)의 일부 훈련된 기계 학습 모델들은, 공통 유형의 프로세스 챔버를 가지며 동일한 또는 유사한 프로세스들을 수행하는 데 사용되는 다수의 상이한 프로세스 챔버들에 사용될 수 있다. 예를 들어, 프로세스 챔버(106) 및 프로세스 챔버(108)는 모두 동일한 에칭 프로세스를 수행하는 에칭 챔버들일 수 있다. 훈련된 기계 학습 모델은 유지보수를 위해 프로세스 챔버(106) 및 프로세스 챔버(108) 각각의 스케줄링 시기를 결정하기 위해 사용될 수 있다.
[0037] 일부 훈련된 기계 학습 모델들은 특정 프로세스 챔버(106, 108, 110, 112, 114, 116, 118)에 특유한 것일 수 있다. 그러한 훈련된 기계 학습 모델에 대해, 훈련된 기계 학습 모델의 상이한 인스턴스가 프로세스 챔버들(106, 108, 110, 112, 114, 116, 118) 각각에 대해 훈련되었을 수 있다. 예를 들어, 자율 도구 엔진(121)은 프로세스 챔버(106)에 대한 유지보수 스케줄링 시기를 결정하기 위한 제1 훈련된 기계 학습 모델, 프로세스 챔버(108)에 대한 유지보수 스케줄링 시기를 결정하기 위한 제2 훈련된 기계 학습 모델, 프로세스 챔버(110)에 대한 유지보수 스케줄링 시기를 결정하기 위한 제3 훈련된 기계 학습 모델, 프로세스 챔버(112)에 대한 유지보수 스케줄링 시기를 결정하기 위한 제4 훈련된 기계 학습 모델, 프로세스 챔버(114)에 대한 유지보수 스케줄링 시기를 결정하기 위한 제5 훈련된 기계 학습 모델, 프로세스 챔버(116)에 대한 유지보수 스케줄링 시기를 결정하기 위한 제6 훈련된 기계 학습 모델, 및 프로세스 챔버(118)에 대한 유지보수 스케줄링 시기를 결정하기 위한 제7 훈련된 기계 학습 모델을 포함할 수 있다.
[0038] 자율 도구 엔진(121)의 일부 훈련된 기계 학습 모델들은 프로세스 챔버에 대해(예를 들어, 프로세스 챔버에서 수행되는 프로세스에 대해) 및/또는 프로세스 챔버에 의해 생성된 모든 센서 측정들을 사용한다. 자율 도구 엔진(121)의 일부 훈련된 기계 학습 모델들은 생성된 센서 측정들의 서브세트를 사용한다. 예를 들어, 에칭 종점을 결정하도록 훈련되는 훈련된 기계 학습 모델은 반사측정 신호 및/또는 광학 방출 분광학 신호와 같은, 하나 이상의 광학 센서들로부터의 측정들을 입력으로 수신할 수 있다.
[0039] 일 실시예에서, 자율 도구 엔진(121)은 유지보수 관리자(123)를 포함한다. 유지보수 관리자(123)는 프로세스 챔버와 연관된 센서들로부터 프로세스 챔버 및/또는 프로세스의 센서 측정들을 수신하고, 프로세스 챔버에 대한 유지보수가 수행되어야 하는지 여부에 대한 결정을 출력하도록 훈련된 하나 이상의 훈련된 기계 학습 모델들을 포함한다. 일 실시예에서, 유지보수 관리자(123)는 클러스터 도구(100)의 각각의 프로세스 챔버(106, 108, 110, 112, 114, 116, 118)에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 일 실시예에서, 유지보수 관리자(123)는 각각의 유형의 프로세스 챔버에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 일 실시예에서, 유지보수 관리자(123)는 특정 프로세스를 수행하는 각각의 유형의 프로세스 챔버에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 예를 들어, 프로세스 챔버들(106, 108, 110 및 112)은 동일한 유형의 프로세스 챔버일 수 있고, 여기서 프로세스 챔버들(106, 108)은 제1 프로세스를 수행하고 프로세스 챔버들(110, 112)은 제2 프로세스를 수행한다. 따라서, 유지보수 관리자(123)는 프로세스 챔버들(106, 108)을 위한 제1 훈련된 기계 학습 모델 및 프로세스 챔버들(110, 112)을 위한 제2 훈련된 기계 학습 모델을 포함할 수 있다.
[0040] 일 실시예에서, 자율 도구 엔진(121)은 재인증 관리자(125)를 포함한다. 재인증 관리자(125)는 프로세스 챔버와 연관된 센서들로부터 프로세스 챔버 및/또는 프로세스의 센서 측정들을 수신하고 프로세스 챔버가 적절하게 시즈닝되고 가동으로 복귀될 준비가 되었는지 여부에 대한 결정을 출력하도록 훈련된 하나 이상의 훈련된 기계 학습 모델들을 포함한다. 센서 측정들은 프로세스 챔버에서 시즈닝 프로세스가 수행되는 동안 그리고/또는 수행된 후에 수신될 수 있다. 시즈닝 프로세스는 실시예들에서 블랭킷(blanket) 기판, 센서 기판, 베어(bare) 기판 또는 테스트 기판이 프로세스 챔버에 있는 동안 수행될 수 있다. 일 실시예에서, 재인증 관리자(125)는 클러스터 도구(100)의 각각의 프로세스 챔버(106, 108, 110, 112, 114, 116, 118)에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 일 실시예에서, 재인증 관리자(125)는 각각의 유형의 프로세스 챔버에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 일 실시예에서, 재인증 관리자(125)는 특정 프로세스를 수행하는 각각의 유형의 프로세스 챔버에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 예를 들어, 프로세스 챔버들(106, 108, 110 및 112)은 동일한 유형의 프로세스 챔버일 수 있고, 여기서 프로세스 챔버들(106, 108)은 제1 프로세스를 수행하고 프로세스 챔버들(110, 112)은 제2 프로세스를 수행한다. 따라서, 재인증 관리자(125)는 프로세스 챔버들(106, 108)을 위한 제1 훈련된 기계 학습 모델 및 프로세스 챔버들(110, 112)을 위한 제2 훈련된 기계 학습 모델을 포함할 수 있다.
[0041] 일 실시예에서, 자율 도구 엔진(121)은 프로세스 관리자(127)를 포함한다. 프로세스 관리자(127)는 프로세스 챔버와 연관된 센서들로부터 프로세스 챔버 및/또는 프로세스에 대한 센서 측정들을 수신하고 에칭 종점에 도달했는지 여부와 같은 특정 프로세스 조건들이 충족되는지 여부에 대한 결정을 출력하도록 훈련된 하나 이상의 훈련된 기계 학습 모델들을 포함한다. 일 실시예에서, 프로세스 관리자(127)는 클러스터 도구(100)의 각각의 프로세스 챔버(106, 108, 110, 112, 114, 116, 118)에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 일 실시예에서, 프로세스 관리자(127)는 각각의 유형의 프로세스 챔버에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 일 실시예에서, 프로세스 관리자(127)는 특정 프로세스를 수행하는 각각의 유형의 프로세스 챔버에 대해 상이한 훈련된 기계 학습 모델을 포함한다. 예를 들어, 프로세스 챔버들(106, 108, 110 및 112)은 동일한 유형의 프로세스 챔버일 수 있고, 여기서 프로세스 챔버들(106, 108)은 제1 프로세스를 수행하고 프로세스 챔버들(110, 112)은 제2 프로세스를 수행한다. 따라서, 프로세스 관리자(127)는 프로세스 챔버들(106, 108)을 위한 제1 훈련된 기계 학습 모델 및 프로세스 챔버들(110, 112)을 위한 제2 훈련된 기계 학습 모델을 포함할 수 있다.
[0042] 실시예들에서, 프로세스 관리자(127)의 기계 학습 모델들 중 적어도 하나에 대한 입력들은 제조 프로세스 동안 하나 이상의 시점들로부터의 하나 이상의 센서 측정들을 포함하는 특징 벡터들이고, 기계 학습 모델의 출력들은 에칭 종점 결정들(예를 들어, 에칭 종점에 도달했거나 또는 에칭 종점에 도달하지 않았음을 나타냄), 추정된 막 두께 값들 및/또는 추정된 트렌치 깊이들이다. 일 실시예에서, 훈련된 신경망은 기판 상의 증착된 층들의 반사측정법 측정들 및/또는 기판 상의 증착된 층의 에칭 동안 생성된 광학 방출들 분광학 측정들을 입력으로 수신하고, 추정된 막 두께 및/또는 트렌치 깊이 중 적어도 하나를 출력하도록 훈련된다. 그런 다음, 추정된 막 두께 및/또는 트렌치 깊이는 프로세스 관리자(127)에 의해 목표 막 두께 및/또는 트렌치 깊이와 비교될 수 있다. 목표 막 두께 및/또는 트렌치 깊이는 막을 에칭하기 위한 프로세스 레시피에 포함될 수 있다. 추정된 막 두께가 목표 막 두께와 같고 그리고/또는 추정된 트렌치 깊이가 목표 트렌치 깊이와 같으면, 프로세스 관리자(127)는 에칭 종점에 도달했다고 결정한다.
[0043] 훈련된 기계 학습 모델은, 프로세스 동안(예를 들어, 증착 프로세스 또는 에칭 프로세스 동안) OES 센서들 및/또는 반사측정법 센서들과 같은 광학 센서들로부터 생성되는 막들의 스펙트럼들(예를 들어, 특정 두께들을 갖는 막들 및/또는 특정 깊이들을 갖는 트렌치들의 반사측정법 측정들) 및 프로세스 후에 측정된 연관된 두께 값들 및/또는 깊이 값들(예를 들어, 광학 임계 치수(OCD) 측정들)을 각각 포함하는 다수의 데이터 항목들을 포함하는 훈련 데이터 세트를 사용하여 훈련될 수 있다. 신경망(예를 들어, 합성곱 신경망) 또는 회귀 모델(예를 들어, 가우시안 회귀 모델 또는 선형 회귀 모델)과 같은 기계 학습 모델은 광학 센서 측정들(예를 들어, 스펙트럼들 정보)을 막 두께 및/또는 트렌치 깊이와 상호 연관시키도록 훈련될 수 있다. 그런 다음, 훈련된 기계 학습 모델은 프로세스(예를 들어, 에칭 또는 증착 프로세스) 동안 광학 센서 측정들(예를 들어, 스펙트럼들 정보)을 수신하고, 광학 센서 측정들에 기초하여 막 두께 및/또는 트렌치 깊이를 추정할 수 있다.
[0044] 일 실시예에서, 기계 학습 모델을 훈련하는 것은 주성분 분석을 수행하여 막 두께 및/또는 트렌치 깊이에 가장 큰 영향을 미치는 스펙트럼들 정보 세트를 결정하는 것을 포함한다. 예를 들어, 주성분 분석을 통해, 시스템은 아래 방정식에 명시된 바와 같이, y(트렌치 깊이 또는 막 두께)가 x1, x2, 내지 xn(여기서, 1 내지 n의 i에 대한 xi는 스펙트럼들 정보(예를 들어, 상이한 파장들)의 주성분들임)의 함수라는 것을 결정할 수 있다:
y = F(αixi)
i = 1 내지 n에 대해, 여기서 αi 는 개개의 주성분 xi 에 대한 가중치들이다.
[0045] 일 실시예에서, 훈련된 기계 학습 모델은 에칭 프로세스와 같은 프로세스 동안 주기적으로(예를 들어, 50 내지 100 밀리초마다) 광학 센서 측정들을 프로세싱한다. 각각의 입력에 대해, 훈련된 기계 학습 모델은 막 두께 및/또는 트렌치 깊이를 출력할 수 있다. 프로세스 관리자(127)는 추정된 트렌치 깊이 및/또는 막 두께를 목표 트렌치 깊이 및/또는 막 두께와 비교하여 다음 센서 측정들이 프로세싱되기 전에 에칭 종점에 도달했는지 또는 도달할 것인지를 결정할 수 있다. 일 실시예에서, 프로세스 관리자(127)는 다수의 막 두께 및/또는 트렌치 깊이 추정들 및 막 두께 및/또는 트렌치 깊이 추정들과 연관된 측정들이 생성되는 연관된 시간들에 기초하여 추정된 에칭 속도를 결정한다. 예를 들어, 식 (D1-D2)/(T2-T1) = R을 사용하여 에칭 속도를 결정할 수 있으며, 여기서 D1은 시간(T1)에서의 두께이고, D2는 시간(T2)에서의 두께이고, R은 에칭 속도이다. 따라서, 마지막 몇 번의 측정들에 대한 두께 값들을 조사하여 에칭 속도를 추정할 수 있다. 그런 다음 추정된 에칭 속도를 미래로 외삽하여 에칭 종점에 도달할 시기를 추정할 수 있다. 프로세싱 로직에는 광학 센서 측정들을 프로세싱하여 막 두께 및/또는 트렌치 깊이를 결정하는 데 걸리는 시간을 식별하는 정보가 포함될 수 있다. 이 정보는 에칭 종점에 도달할 것으로 예측되는 추정 시간과 비교될 수 있다. 훈련된 기계 학습 모델에 의해 다음 세트의 광학 측정들이 프로세싱되기 전에 추정된 트렌치 종점에 도달하는 경우, 추정된 트렌치 종점에 도달하는 시간을 사용하여 에칭 프로세스를 중지할 시기를 결정할 수 있다. 일 실시예에서, 프로세스 관리자(127)는 에칭 속도를 결정하기 위해 이전의 광학 센서 측정들에 기초한 막 두께 및/또는 트렌치 깊이의 2 내지 10개의 추정치들을 사용하고, 에칭 속도를 미래로 외삽하여 다음 2 내지 10개의 데이터 포인트들(즉, 다음 2개 내지 10개의 세트들의 광학 측정들에 대해 훈련된 기계 학습 모델에 의해 출력될 추정된 막 두께 및/또는 트렌치 깊이)을 예측한다. 일 실시예에서, 훈련된 기계 학습 모델은 순환 신경망(RNN)이다. 일 실시예에서, 훈련된 기계 학습 모델은 정적 스펙트럼들 정보를 수신하는 신경망(예를 들어, CNN)이다. 일 실시예에서, 훈련된 기계 학습 모델은 선형 회귀 모델이고, 다른 실시예에서, 기계 학습 모델은 가우시안 회귀 모델이다. 일 실시예에서, 훈련된 기계 학습 모델은 랜덤 포레스트이다.
[0046] 실시예들에서, 센서 측정들(예를 들어, OES 및/또는 반사측정법 스펙트럼들 정보)은 에칭 종점에 도달했는지에 대한 예/아니오 결정이 아니라 실제 OCD 정보와 상관관계가 있다. 센서 측정들을 실제 OCD 정보와 상관시키는 것은 단지 에칭 종점 결정들과 상관시키는 것보다 정확도를 20 내지 30% 증가시키는 것으로 나타났다.
[0047] 제어기(120)는 서버(도시되지 않음)에 작동 가능하게 연결될 수 있다. 서버는 제조 시설의 일부 또는 모든 도구들과 인터페이스하는 팩토리 플로어 서버로서 작동하는 컴퓨팅 디바이스일 수 있거나 또는 이를 포함할 수 있다. 서버는 훈련된 기계 학습 모델들을 생성하기 위한 훈련을 수행할 수 있고, 훈련된 기계 학습 모델들을 플랫폼 제어기(120) 상의 자율 도구 엔진(121)으로 전송할 수 있다. 대안적으로, 기계 학습 모델들은 플랫폼 제어기(120)에서 훈련될 수 있다.
[0048] 신경망의 훈련은 지도 학습 방식으로 달성될 수 있는데, 이는 망을 통해 레이블이 지정된(labeled) 입력들로 구성된 훈련 데이터 세트를 공급하고, 그 출력들을 관찰하고, (출력들과 레이블 값들 사이의 차이를 측정함으로써) 오류를 정의하고, 및 딥 그래디언트 하강(deep gradient descent) 및 역전파와 같은 기술들을 사용하여 오류가 최소화되도록 모든 망의 층들 및 노드들에 걸쳐 망의 가중치들을 튜닝하는 것을 포함한다. 많은 애플리케이션들에서, 훈련 데이터 세트의 많은 레이블이 지정된 입력들에 걸쳐 이 프로세스를 반복하면 훈련 데이터 세트에 존재하는 것들과 상이한 입력들이 주어졌을 때 올바른 출력을 생성할 수 있는 망이 생성된다. 큰 이미지들과 같은 고차원 설정들에서, 이러한 일반화는 충분히 크고 다양한 훈련 데이터 세트가 이용 가능하게 될 때 달성된다.
[0049] 자율 도구 엔진(121)의 훈련된 기계 학습 모델들 각각은, 훈련된 기계 학습 모델들의 연속적인 학습 및 개선을 달성하기 위해 주기적으로 또는 연속적으로 재훈련될 수 있다. 각각의 모델은 입력에 기초하여 출력을 생성할 수 있고, 출력에 기초하여 액션이 수행될 수 있으며, 액션의 결과가 측정될 수 있다. 일부 경우들에서는 액션의 결과가 몇 분의 1초(예를 들어, 밀리초), 몇 초 또는 몇 분 내에 측정되며, 일부 경우들에서는 액션의 결과를 측정하는 데 더 오랜 시간이 걸린다. 예를 들어, 액션의 결과가 측정될 수 있기 전에 하나 이상의 추가적인 프로세스들이 수행될 수 있다. 액션 및 액션의 결과는 출력이 올바른 출력인지 여부 및/또는 존재해야 했던 출력과 존재했던 출력 간의 차이를 나타낼 수 있다. 따라서, 액션 및 액션의 결과는 센서 측정들의 레이블로 사용될 수 있는 목표 출력을 결정하는 데 사용될 수 있다. 액션의 결과가 결정되면, 입력(즉, 센서 측정들), 훈련된 기계 학습 모델의 출력, 및 기계 학습 모델의 목표 출력(또는 액션 및 액션의 결과)을 새로운 학습 데이터 항목으로 사용할 수 있다. 그런 다음 새로운 훈련 데이터 항목을 사용하여 훈련된 기계 학습 모델을 추가로 훈련할 수 있다. 이러한 재훈련 프로세스는 플랫폼 제어기(120)의 자율 도구 엔진(121)에 의해 온-툴로 수행될 수 있다.
[0050] 일 실시예에서, 프로세스 관리자(127)는 에칭 종점들, 막 두께 및/또는 에칭 깊이를 검출하도록 훈련된 하나 이상의 훈련된 기계 학습 모델들을 포함한다. 에칭 종점들, 막 두께 및/또는 트렌치 깊이를 검출하도록 훈련된 이러한 훈련된 기계 학습 모델들은 전술한 바와 같이 광학 측정들(예를 들어, 반사측정법 측정들 및/또는 광학 방출 분광학 측정들) 및 막 두께 및/또는 트렌치 깊이를 나타내는 레이블들을 포함하는 훈련 데이터 세트로부터 훈련될 수 있다. 일 실시예에서, 광학 측정들은 깊이 또는 두께 정보 및/또는 에칭 종점과 상관관계가 있을 수 있는 스펙트럼들 정보를 제공한다. 반사측정법 정보 및/또는 광학 방출 분광학 정보를 포함할 수 있는 스펙트럼들 정보는 이 경우 훈련된 기계 학습 모델에 입력되어 두께 또는 깊이(예를 들어, 트렌치 깊이) 출력을 생성할 수 있다. 두께 또는 깊이 출력은 목표 두께 또는 깊이와 비교되어 에칭 종점에 도달했는지 여부를 결정할 수 있다. 증착 프로세스들 동안 막들의 목표 두께를 측정하기 위해 유사한 프로세스가 수행될 수 있다. 예를 들어, 프로세스 관리자(127)의 훈련된 기계 학습 모델은 증착 중인 막이 목표 두께에 도달한 시기를 결정하기 위해 광학 측정들을 사용할 수 있고, 막이 목표 두께에 도달하면 증착 프로세스가 중지될 수 있다.
[0051] 상이한 에칭 종점 검출 기계 학습 모델들은 각각의 에칭 레시피에 대해 및/또는 각각의 프로세스 챔버에 대해 훈련될 수 있다. 일단 훈련된 기계 학습 모델이 (예를 들어, 프로세스 관리자(127)에 의해) 플랫폼 제어기(120)에 의해 채용되면, 광학 측정들은 에칭 프로세스 동안 에칭 챔버의 광학 센서들에 의해 주기적으로 또는 연속적으로 생성될 수 있다. 이러한 광학 측정들은 프로세스 관리자(127)의 훈련된 기계 학습 모델에 의해 프로세싱되어 에칭 종점에 도달한 시기 및 에칭 프로세스(또는 에칭 프로세스의 단계)를 중지할 시기를 결정할 수 있다. 그 후에, 에칭 프로세스에 의해 에칭된 막을 갖는 기판에 대해 두께 또는 깊이 측정들 및/또는 다른 광학 임계 치수(OCD) 측정들이 수행될 수 있다. OCD 측정들은 예를 들어, 정상 입사 분광 타원 측정법, 광학 산란 측정법, 주사 전자 현미경, 및/또는 다른 OCD 측정 기술들을 사용하여 수행될 수 있다. 측정된 두께 또는 깊이는 목표 두께 또는 깊이와 비교될 수 있으며, 기계 학습 모델의 출력 및 기계 학습 모델에 입력된 센서 측정들과 함께 그 차이를 사용하여 기계 학습 모델의 훈련을 업데이트할 수 있다. 일 실시예에서, 센서 측정들, 예측된 OCD 및 실제 측정된 OCD를 포함하는 훈련 데이터 항목은 기계 학습 모델을 추가로 훈련시키는 데 사용된다. 훈련된 기계 학습 모델은 매 로트(every lot) 후에 또는 모든 기판이 프로세싱된 후에 재훈련될 수 있다. 예를 들어, 25개의 웨이퍼들 후에 기계 학습 모델은 업데이트될 수 있으며, 정확도를 향상시키고 프로세스 챔버의 현재 조건을 반영하는 그 업데이트된 기계 학습 모델은 다음 25개의 웨이퍼들을 프로세싱하는 데 사용될 수 있다. 이는 에칭 프로세스들에 대해 매우 정확한 깊이 제어를 제공하고, 실시예들에서 약 0.2 내지 0.3 %의 수율을 개선시킬 수 있다.
[0052] 일 실시예에서, 재인증 관리자(125)는 프로세스 챔버에 대한 예방적 유지보수 또는 다른 유지보수가 수행된 후에 프로세스 챔버가 회복된 시기(예를 들어, 프로세스 챔버가 가동으로 복귀하여 제품 기판들을 다시 프로세싱하는 것을 시작할 준비가 된 시기)를 검출하도록 훈련된 하나 이상의 훈련된 기계 학습 모델들을 포함한다. 유지보수에서 회복을 검출하도록 훈련된 이러한 훈련된 기계 학습 모델들은 시즈닝 프로세스들 동안 하나 이상의 프로세스 챔버들에서 생성된 많은 상이한 측정들을 포함하는 훈련 데이터 세트로부터 훈련될 수 있다. 많은 상이한 측정들에는 시즈닝 프로세스 동안 생성된 기판의 광학 측정들(예를 들어, 반사측정법 측정들 및/또는 광학 방출 분광학 측정들), 압력 측정들, 전력 측정들, 전압 측정들, 전류 측정들, 다른 전기 측정들, 온도 측정들 등, 그리고 조합된 센서 측정들이 수행된 시즈닝 프로세스가 완료된 후 프로세스 챔버가 가동으로 복귀될 준비가 되었는지 여부를 나타내는 레이블들이 포함될 수 있다.
[0053] 상이한 유지보수 복구 검출 기계 학습 모델들은 각각의 프로세스 챔버에 대해 및/또는 프로세스 챔버 및 해당 프로세스 챔버에서 수행되는 프로세스 또는 프로세스들 세트의 각각의 쌍에 대해 (예를 들어, 지도 학습 또는 준-지도 학습 프로세스를 사용하여) 훈련될 수 있다. 훈련된 기계 학습 모델이 플랫폼 제어기(120)에 의해 (예를 들어, 재인증 관리자(125)에 의해) 채용되면, 센서 측정들은 주기적으로 (예를 들어, 10초마다, 30초마다, 1분마다 등) 또는 연속적으로 시즈닝 프로세스 동안 그리고/또는 후에 프로세스 챔버의 다수의 센서들에 의해 생성될 수 있다. 이러한 측정들은 재인증 관리자(125)의 훈련된 기계 학습 모델에 의해 프로세싱되어 유지보수 이벤트 후에 프로세스 챔버에서 충분한 시즈닝 프로세스들이 수행된 시기를 결정하고, 따라서 프로세스 챔버가 가동으로 복귀될 준비가 된 시기를 결정할 수 있다. 추가로, 이러한 측정들은 훈련된 기계 학습 모델에 의해 프로세싱되어, 현재 시즈닝 프로세스를 종료할지 여부를 결정할 수 있다. 그 후, 프로세스 챔버에 대해 하나 이상의 테스트 프로세스가 수행될 수 있으며, 테스트 프로세스(들)의 결과로서, 프로세스 챔버가 재인증되거나 또는 프로세스 챔버가 재인증되지 않을 수 있다(프로세스 챔버에서 더 많은 시즈닝 프로세스들이 실행되어야 함을 나타냄). 일 실시예에서, 수행될 수 있는 테스트 프로세스들은 블랭킷 웨이퍼 에칭 속도 및/또는 에칭 균일성이 측정되는 블랭킷 웨이퍼 에칭 프로세스, 패터닝(pattern)된 웨이퍼 에칭 속도 및/또는 에칭 균일성이 측정되는 패터닝된 웨이퍼 에칭 프로세스 및/또는 입자 테스트 웨이퍼가 프로세싱된 후 입자 테스트 웨이퍼 상에서 입자들이 계수되는 입자 테스트 프로세스 중 하나 이상을 포함한다. 블랭킷 웨이퍼 에칭 속도 및/또는 에칭 균일성이 목표 블랭킷 웨이퍼 에칭 속도 및 목표 블랭킷 웨이퍼 에칭 균일성의 허용 오차 내에 있고, 패터닝된 웨이퍼 에칭 속도 및/또는 에칭 균일성이 목표 패터닝된 웨이퍼 에칭 속도 및 목표 패터닝된 웨이퍼 에칭 균일성의 허용 오차 내에 있고, 및/또는 입자 카운트가 목표 입자 카운트의 허용 오차 내에 있으면, 프로세스 챔버가 재인증될 수 있다. 일 실시예에서, 목표 입자 카운트는 특정 크기 이상의 입자들의 임계값 수보다 작다. 예를 들어, 목표 입자 카운트는 직경이 22nm 이상인 입자들 5 개 미만일 수 있다.
[0054] 일 실시예에서, 센서 측정들, 프로세스 챔버가 가동으로 복귀될 준비가 되었는지에 대한 예측 및 프로세스 챔버가 실제로 가동으로 복귀될 준비가 되었는지에 대한 기계 학습 출력(예를 들어, 프로세스 챔버가 재인증 테스트를 통과했거나 또는 재인증 테스트를 통과하지 못했다는 표시)을 포함하는 훈련 데이터 항목은 훈련된 기계 학습 모델의 훈련을 업데이트하는 데 사용된다. 훈련된 기계 학습 모델은 유지보수를 위해 테이크 다운된 후 프로세스 챔버가 가동으로 복귀된 후 (또는 다른 프로세스 챔버들이 가동으로 복귀된 후) 매번 재훈련될 수 있다. 실시예들은 유지보수 후 프로세스 챔버를 다시 가동시키기 전에 수행되는 시즈닝 프로세스의 반복들의 횟수를 감소시킨다. 예를 들어, 에칭 챔버의 시즈닝을 위한 표준 프로세스는 에칭 챔버에서 시즈닝 프로세스의 25회 반복들을 실행한 다음, 프로세스 챔버에서 테스트 프로세스를 수행하는 것일 수 있다. 그러나 실시예들에서, 프로세싱 로직은 시즈닝 프로세스의 전체 25회 반복들이 완료될 때까지 기다리지 않고 프로세스 챔버가 테스트 프로세스를 실행할 준비가 된 시기를 즉시 결정할 수 있다. 일부 실시예들에서, 훈련된 기계 학습 모델이 프로세스 챔버가 가동으로 복귀될 준비가 되었다고 표시한 후에는 테스트 프로세스가 실행되지 않는다.
[0055] 일 실시예에서, 재인증 관리자(125)의 훈련된 기계 학습 모델은 시즈닝 프로세스 동안 입력된 센서 측정들에 기초하여 프로세스 챔버에 대한 챔버 상태 인덱스(CCI) 값을 출력하도록 훈련된다. 챔버 상태 인덱스(CCI) 값은 임계 CCI 값과 비교될 수 있으며, 훈련된 기계 학습 모델에 의해 출력된 CCI 값이 임계 CCI 값을 충족하거나 또는 초과하는 경우, 재인증 관리자(125)는 프로세스 챔버가 가동으로 복귀될 준비가 된 것으로 결정할 수 있다. 예측된 CCI 값이 CCI 임계값을 충족하지 않으면, 추가 시즈닝 프로세스들이 수행될 수 있다. 재인증 관리자(125)는 또한 예측된 CCI 값과 CCI 임계값 사이의 차이에 기초하여 프로세스 챔버가 가동 준비에 얼마나 근접했는지를 결정할 수 있다.
[0056] 실시예들에서, 프로세스 챔버에 대한 CCI 값은 블랭킷 웨이퍼 에칭 프로세스 및/또는 패터닝된 웨이퍼 에칭 프로세스에 기초하여 측정된 하나 이상의 에칭 속도 값들(예를 들어, 평균 에칭 속도 값들) 및/또는 에칭 균일성 값들에 기초할 수 있다. CCI 값은 또한 시즈닝이 수행된 후 프로세스 챔버에 의해 프로세싱된 입자 웨이퍼의 입자 카운트를 기초로 할 수 있다. 챔버의 CCI 값은 프로세스 챔버에서 시즈닝이 수행된 후 하나 이상의 재인증 테스트를 수행함으로써 측정될 수 있다. 일부 실시예들에서, CCI 값은 블랭킷 웨이퍼 에칭 속도, 블랭킷 웨이퍼 에칭 균일성, 패터닝된 웨이퍼 에칭 속도, 패터닝된 웨이퍼 에칭 균일성 및/또는 입자 카운트와 상관관계가 있는 정규화된 값일 수 있다. CCI 값이 1이면 목표 블랭킷 웨이퍼 에칭 속도, 목표 블랭킷 웨이퍼 에칭 균일성, 목표 패터닝된 웨이퍼 에칭 속도, 목표 패터닝된 웨이퍼 에칭 균일성 및/또는 목표 입자 카운트를 보여주는 테스트 결과들을 나타낼 수 있다. 1보다 작은 CCI 값은 목표 블랭킷 웨이퍼 에칭 속도, 목표 블랭킷 웨이퍼 에칭 균일성, 목표 패터닝된 웨이퍼 에칭 속도, 목표 패터닝된 웨이퍼 에칭 균일성 및/또는 목표 입자 카운트 중 하나 이상으로부터의 편차를 나타낼 수 있다. 일 실시예에서, CCI 임계값은 목표 블랭킷 웨이퍼 에칭 속도, 목표 블랭킷 웨이퍼 에칭 균일성, 목표 패터닝된 웨이퍼 에칭 속도, 목표 패터닝된 웨이퍼 에칭 균일성 및/또는 목표 입자 카운트로부터 허용 가능한 결합 편차를 나타내는 일부 값(예를 들어, 0.9)이다.
[0057] 재인증 테스트는 (예를 들어, 훈련된 기계 학습 모델이 CCI 임계값보다 큰 예측된 CCI 값을 출력한 후에) 가동으로 복귀될 준비가 된 것으로 식별된 프로세스 챔버에 대해 수행될 수 있고, 테스트의 결과는 실제 측정된 CCI 값일 수 있다. 재인증 테스트는 블랭킷 웨이퍼(예를 들어, 산화물의 블랭킷 또는 균일한 막 또는 질화물 막이 있는 웨이퍼)에서 에칭 프로세스를 수행하는 단계 및 블랭킷 웨이퍼 에칭 속도 및/또는 블랭킷 웨이퍼 에칭 균일성을 측정하는 단계를 포함할 수 있다. 재인증 테스트는 패터닝된 웨이퍼에 에칭 프로세스를 수행하는 단계 및 패터닝된 웨이퍼 에칭 속도 및/또는 패터닝된 웨이퍼 에칭 균일성을 측정하는 단계를 추가로 또는 대안적으로 포함할 수 있다. 재인증 테스트는 베어 웨이퍼 또는 블랭킷 웨이퍼에서 프로세스(예를 들어, 에칭 프로세스)를 수행하는 단계, 및 웨이퍼에서 입자들을 계수하는 단계를 추가로 또는 대안적으로 포함할 수 있다. 실제 CCI는 블랭킷 웨이퍼 에칭 속도, 패터닝된 웨이퍼 에칭 속도 및/또는 입자 카운트에 기초하여 계산될 수 있다. 실제 측정된 챔버 상태 인덱스 값이 임계값을 충족하거나 또는 초과하면, 프로세스 챔버는 가동으로 복귀될 수 있다. 그런 다음 센서 측정들, 예측된 챔버 상태 인덱스 값 및 실제 챔버 상태 인덱스 값으로 구성된 데이터 포인트를 사용하여 기계 학습 모델을 업데이트할 수 있다.
[0058] 일 실시예에서, 유지보수 관리자(123)는 프로세스 챔버에 대해 유지보수가 수행되어야 하는 시기를 검출하도록 훈련된 하나 이상의 훈련된 기계 학습 모델들을 포함한다. 프로세스 챔버가 유지보수될 예정인 시기를 검출하도록 훈련된 이러한 훈련된 기계 학습 모델들은 제품 기판들(예를 들어, 제품 웨이퍼들)에서 수행되는 프로세스들 동안 하나 이상의 프로세스 챔버들에 의해 생성된 많은 상이한 측정들을 포함하는 훈련 데이터세트로부터 훈련될 수 있다. 많은 상이한 측정들은 프로세스 동안 생성된 기판의 광학 측정들(예를 들어, 반사측정법 측정들 및/또는 광학 방출 분광학 측정들), 압력 측정들, 전력 측정들(예를 들어, 바이어스 전력, 소스 전력, 플라즈마 전력 등), 전압 측정들, 전류 측정들, 다른 전기 측정들, 온도 측정들 등, 및 조합된 센서 측정들이 수행된 프로세스가 완료된 후 프로세스 챔버가 유지보수될 예정인지 여부를 나타내는 레이블들을 포함할 수 있다. 실시예들에서, 센서 측정들은 최대 또는 약 165개의 상이한 센서 측정들을 포함하며, 각각은 프로세스 동안 주기적으로 수행된다. 추가적으로, 때때로 테스트 프로세스는 테스트 기판, 블랭킷 기판(패터닝되지 않은 균일한 코팅을 갖는 기판), 베어 기판, 센서 기판(다수의 센서들이 상부에 배치된 기판) 등을 사용하여 실행될 수 있다. 프로세스 챔버로부터의(그리고 선택적으로 센서 기판으로부터의) 센서 측정들이 생성되고, 출력을 생성하기 위해 훈련된 기계 학습 모델에 입력될 수 있다.
[0059] 상이한 유지보수 예측 기계 학습 모델들은 각각의 프로세스 챔버에 대해 및/또는 프로세스 챔버 및 해당 프로세스 챔버에서 수행되는 프로세스 또는 프로세스들 세트의 각각의 쌍에 대해 훈련될 수 있다. 훈련된 기계 학습 모델이 플랫폼 제어기(120)에 의해 (예를 들어, 유지보수 관리자(123)에 의해) 채용되면, 센서 측정들은 제품 프로세스 및/또는 가끔의 테스트 프로세스 동안 프로세스 챔버(및/또는 센서 기판)의 다수의 센서들에 의해 주기적으로 또는 연속적으로 생성될 수 있다. 이러한 측정들은 유지보수 관리자(123)의 훈련된 기계 학습 모델에 의해 프로세싱되어, 프로세스 챔버가 유지보수를 정당화하는 시기, 및 따라서 유지보수를 위해 프로세스 챔버가 테이크 다운되어야 하는 시기를 결정할 수 있다. 유지보수의 예들에는 프로세스 챔버 세정, 프로세스 챔버의 하나 이상의 부품들 교체 등이 포함된다. 실시예들에서, 유지보수 예측 기계 학습 모델들은 센서 측정들에 기초하여 프로세스 챔버에서 수행되어야 하는 유지보수의 유형을 식별한다. 예를 들어, 훈련된 기계 학습 모델은 프로세스 챔버가 세정되어야 하고, 보호 라이너(liner)가 교체되어야 하고, 프로세스 키트 링(kit ring)이 교체되어야 하고, 샤워헤드(showerhead)가 교체되어야 하는 등의 것을 나타낼 수 있다.
[0060] 일 실시예에서, 유지보수 관리자(123)의 기계 학습 모델은 센서 측정들을 입력으로 수신하고, 챔버에 의해 프로세싱된 각각의 기판에 대한 챔버 상태 인덱스(CCI) 또는 챔버 프로세스 상태 지수(CPCI)를 출력하도록 훈련된다. 하나 이상의 계측 측정들이 나중에 (선택적으로 기판 또는 기판 상의 디바이스들의 하나 이상의 OCD들을 결정하기 위해 기판에서 하나 이상의 추가적인 프로세스들이 수행된 후) 기판 상에 수행될 수 있다. OCD들은 수행된 프로세스에 기초하여 해당 기판에 대해 결정된 CCI 값 또는 CPCI 값과 상관관계가 있을 수 있다. 기판에 대한 OCD 측정들이 임계량 초과만큼 목표 OCD 값들에서 벗어나는 경우, 이는 프로세스를 수행한 프로세스 챔버에 대해 유지보수가 수행되어어야 함을 나타낼 수 있다. 데이터 항목은 프로세스 동안 생성된 센서 측정들, 훈련된 기계 학습 모델에 의해 출력된 CCI 또는 CPCI 값, 및 a) 측정된 OCD 값들이 목표 OCD 값에서 벗어났는지 여부 및/또는 측정된 OCD 값들이 목표 OCD 값들에서 벗어난 양에 대한 표시 및/또는 b) 유지보수가 수행되어야 했는지 여부에 대한 표시에 기초하여 생성될 수 있다.
[0061] 프로세스 챔버가 서비스될 예정인 것으로 마킹(mark)된 후에, 기술자는 프로세스 챔버가 실제로 서비스되어야 하는지 및/또는 프로세스 챔버에 대해 수행되어야 하는 유지보수의 유형을 결정할 수 있다. 일 실시예에서, 센서 측정들, 프로세스 챔버가 유지보수될 예정인지 여부(및/또는 수행되어야 하는 유지보수의 유형)에 대한 예측 및 프로세스 챔버에 대해 실제로 유지보수가 정당화되었는지 여부에 대한 표시를 포함하는 훈련 데이터 항목은 훈련된 기계 학습 모델의 훈련을 업데이트하는 데 사용된다. 훈련된 기계 학습 모델은 프로세스 챔버(또는 다른 프로세스 챔버들)가 유지보수를 위해 스케줄링된 후 매번 재훈련될 수 있다. 대안적으로, 또는 추가적으로, 기계 학습 모델은 프로세스 챔버에 의해 프로세싱된 기판들과 연관된 데이터 포인트들을 사용하여 연속적으로 또는 주기적으로 재훈련될 수 있으며, 여기서 데이터 포인트들은 센서 측정들, CCI 또는 CPCI 값들, 목표 OCD 값들과 측정된 OCD 값들 사이의 차이들 및/또는 유지보수가 수행되어야 했는지 여부에 대한 표시들을 포함한다. 실시예들은 서비스될 필요가 있는 프로세스 챔버에 의해 프로세싱되는 기판들의 개수를 감소시키고, 추가적으로 프로세스 챔버들이 요구되는 것보다 더 자주 가동되지 않도록 보장한다.
[0062] 일 실시예에서, 유지보수 관리자(123)의 훈련된 기계 학습 모델은 제조 프로세스 동안 입력된 센서 측정들에 기초하여 프로세스 챔버에 대한 CCI 값 또는 CPCI 값을 출력하도록 훈련된다. CCI 또는 CPCI 값은 임계 CCI 또는 CPCI 값과 비교될 수 있으며, 훈련된 기계 학습 모델에 의해 출력된 CCI 또는 CPCI 값이 임계 CCI 또는 CPCI 값 미만인 경우(또는 일부 실시예들에서, 출력된 CCI 또는 CPCI 값이 임계값 초과인 경우), 유지보수 관리자(123)는 유지보수를 위해 프로세스 챔버가 테이크 다운되어야 한다고 결정할 수 있다. CCI 또는 CPCI 값이 CCI 또는 CPCI 임계값을 초과하는 경우(또는 일부 실시예들에서, 출력된 CCI 또는 CPCI 값이 임계값 미만인 경우), 프로세스 챔버에 대한 유지보수를 먼저 스케줄링하지 않고 프로세스 챔버에서 추가 제조 프로세스들이 수행될 수 있다. 유지보수 관리자(123)는 또한 예측된 CCI 또는 CPCI 값과 CCI 또는 CPCI 임계값 사이의 차이에 기초하여 프로세스 챔버가 유지보수 예정에 얼마나 근접했는지를 결정할 수 있다.
[0063] 다양한 실시예들에서, 서버는 개인용 컴퓨터, 서버 컴퓨터, 프로그래머블 로직 제어기(PLC), 마이크로제어기 등과 같은 컴퓨팅 디바이스일 수 있고 그리고/또는 이를 포함할 수 있다. 서버는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 범용 프로세싱 디바이스들일 수 있는 하나 이상의 프로세싱 디바이스들을 포함할 수 있다(또는 이러한 하나 이상의 프로세싱 디바이스들일 수 있음). 보다 구체적으로, 프로세싱 디바이스는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 또는 다른 명령 세트들을 구현하는 프로세서 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스는 또한 ASIC(application specific integrated circuit), FPGA(field programmable gate array), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 프로세싱 디바이스들일 수 있다. 서버는 데이터 저장 디바이스(예를 들어, 하나 이상의 디스크 드라이브들 및/또는 솔리드 스테이트 드라이브들), 메인 메모리, 정적 메모리, 네트워크 인터페이스, 및/또는 다른 컴포넌트들을 포함할 수 있다. 서버의 프로세싱 디바이스는 실시예들에서 기계 학습 모델들을 훈련시키고 훈련된 기계 학습 모델들을 플랫폼 제어기들(120) 및/또는 개별 도구들의 제어기들(예를 들어, 프로세스 챔버들의 제어기들)로 전송하기 위한 명령들을 실행할 수 있다. 명령들은 컴퓨터 판독 가능 저장 매체에 저장될 수 있으며, 이 컴퓨터 판독 가능 저장 매체는 (명령들의 실행 동안) 메인 메모리, 정적 메모리, 보조 스토리지 및/또는 프로세싱 디바이스를 포함할 수 있다.
[0064] 일부 경우들에서, 하나 이상의 프로세스들이 제1 클러스터 도구(예를 들어, 클러스터 도구(100))에서 기판에 대해 수행될 수 있다. 이러한 프로세스들로부터의 측정들은 하나 이상의 훈련된 기계 학습 모델들에 입력될 수 있고, 이러한 모델들은 그 후 출력들을 생성할 수 있다. 출력들에 기초하여 액션들이 수행될 수 있고, 액션들의 결과들이 결정되거나 또는 측정될 수 있다. 측정들, 출력들, 액션들 및/또는 결과들은 그 후 기계 학습 모델(들)(예를 들어, 유지보수 관리자(123), 재인증 관리자(125) 및/또는 프로세스 관리자(127)의 기계 학습 모델들)의 훈련을 업데이트하는 데 사용될 수 있다. 훈련된 기계 학습 모델들의 업데이트는 서버 또는 플랫폼 제어기(120)에서 수행될 수 있다. 훈련된 기계 학습 모델(들)의 업데이트된 버전들은 서버로 전송될 수 있으며, 이 서버는 훈련된 기계 학습 모델들의 업데이트된 버전들을, 유사한 프로세스 챔버들을 갖는 및/또는 유사한 프로세스들을 수행하는 다른 클러스터 도구들로 전파할 수 있다.
[0065] 도 2는 프로세스 챔버(202) 및 프로세스 챔버(202)에 작동 가능하게 연결된 챔버 제어기(205)를 포함하는 반도체 프로세싱 도구(200)의 단면도이다. 챔버 제어기(205)는 프로세스 챔버(230)에 장착될 수 있거나, 또는 프로세스 챔버 근처에 배치될 수 있다(예를 들어, 기판 프로세싱 시스템의 다른 컴포넌트에 연결됨). 프로세스 챔버(202)는 에칭 프로세스 챔버, 증착 챔버, 어닐링 챔버, 또는 반도체 기판들과 같은 기판들(예를 들어, 웨이퍼들)을 프로세싱하는 데 사용되는 다른 유형의 프로세스 챔버일 수 있다. 예를 들어, 프로세싱 챔버(202)는 플라즈마 에칭기 또는 플라즈마 에칭 반응기, 플라즈마 클리너, CVD 또는 ALD 반응기(예를 들어, 플라즈마 강화 CVD 또는 ALD 반응기), 이온 보조 증착(IAD) 챔버, 물리 기상 증착(PVD) 챔버 등을 위한 챔버일 수 있다.
[0066] 일 실시예에서, 프로세싱 챔버(202)는 챔버 본체 및 내부 체적(206)을 에워싸는 샤워헤드(230)를 포함한다. 샤워헤드(230)는 샤워헤드 베이스(base) 및 샤워헤드 가스 분배 플레이트(plate)를 포함할 수 있다. 대안적으로, 샤워헤드(230)는 일부 실시예들에서, 리드 및 노즐로 대체될 수 있거나, 또는 다른 실시예들에서 다수의 파이(pie) 형태의 샤워헤드 구획부들 및 플라즈마 발생 유닛들로 대체될 수 있다. 챔버 본체는 알루미늄, 스테인리스강 또는 티타늄(Ti)과 같은 다른 적절한 재료로 제조될 수 있다. 챔버 본체는 일반적으로 측벽들(208) 및 최하부(210)를 포함한다. 라이너(216)는 챔버 본체를 보호하기 위해 측벽들(208)에 인접하여 배치될 수 있다.
[0067] 배기 포트(port)(226)는 챔버 본체 내에 정의될 수 있고, 내부 체적(206)을 펌프 시스템(228)에 결합시킬 수 있다. 펌프 시스템(228)은 프로세싱 챔버(202)의 내부 체적(206)의 압력을 배기 및 조절하기 위해 활용되는 하나 이상의 펌프들 및 스로틀 밸브(throttle valve)들을 포함할 수 있다.
[0068] 샤워헤드(230)(또는 리드)는 챔버 본체의 측벽들(208) 상에 지지될 수 있다. 샤워헤드(230)(또는 리드)는 프로세싱 챔버(202)의 내부 체적(206)에 대한 액세스를 허용하도록 개방될 수 있고, 폐쇄된 상태에서 프로세싱 챔버(202)에 대한 밀봉을 제공할 수 있다. 가스 패널(258)은 프로세싱 챔버(202)에 결합되어 샤워헤드(230) 또는 리드 및 노즐을 통해 내부 체적(206)에 프로세스 및/또는 세정 가스들을 제공할 수 있다. 샤워헤드(230)는 유전체 에칭(유전체 재료들의 에칭)에 사용되는 프로세싱 챔버들에 사용될 수 있다. 샤워헤드(230)는 가스 분배 플레이트(GDP)를 포함할 수 있고, GDP 전체에 걸쳐 다수의 가스 전달 구멍들(232)을 가질 수 있다. 샤워헤드(230)는 알루미늄 베이스 또는 양극산화 알루미늄 베이스에 본딩(bond)된 GDP를 포함할 수 있다. GDP는 Si 또는 SiC로 제조될 수 있거나, 또는 Y2O3, Al2O3, Y3Al5O12(YAG) 등으로 코팅된 세라믹일 수 있다.
[0069] 도체 에칭(전도성 재료들의 에칭)에 사용되는 프로세싱 챔버들의 경우, 샤워헤드 대신에 리드가 사용될 수 있다. 리드는 리드의 중심 구멍에 피팅(fit)되는 중심 노즐을 포함할 수 있다. 리드는 Y2O3-ZrO2의 고용체 및 Y4Al2O9를 포함하는 세라믹 화합물, 또는 Al2O3, Y2O3, YAG로 코팅된 코팅 세라믹 컴포넌트일 수 있다. 노즐은 또한, Y2O3-ZrO2의 고용체 및 Y4Al2O9를 포함하는 세라믹 화합물, 또는 Y2O3, YAG로 코팅된 세라믹일 수 있다.
[0070] 프로세싱 챔버(200) 내에서 기판들을 프로세싱하기 위해 사용될 수 있는 프로세싱 가스들의 예들에는 특히 할로겐 함유 가스들, 예를 들어, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 예를 들어 O2 또는 N2O가 포함된다. 캐리어(carrier) 가스들의 예들에는, N2, He, Ar, 및 프로세스 가스들에 대해 불활성인 다른 가스들(예를 들어, 비-반응성 가스들)이 포함된다.
[0071] 히터 조립체(248)는 샤워헤드(230) 또는 리드 아래의, 프로세싱 챔버(202)의 내부 체적(206)에 배치된다. 히터 조립체(248)는 프로세싱 동안 기판(244)을 유지하는 지지체(250)를 포함한다. 지지체(250)는 플랜지(flange)를 통해 챔버 본체에 결합되는 샤프트(shaft)(252)의 단부에 부착된다. 지지체(250), 샤프트(252) 및 플랜지는 예를 들어 AlN을 포함하는 재료로 구성될 수 있다. 지지체(250)는 메사(mesa)들(예를 들어, 딤플(dimple)들 또는 범프(bump)들)을 더 포함할 수 있다. 지지체는 지지체(250)의 히터 재료 내에 매립된 와이어들, 예를 들어 텅스텐 와이어들(도시되지 않음)을 추가적으로 포함할 수 있다. 일 실시예에서, 지지체(250)는 AlN 세라믹 층들 사이에 끼워져 있는 센서 층들 및 금속 히터를 포함할 수 있다. 이러한 조립체는 고온 퍼니스(furnace)에서 소결되어 모놀리식(monolithic) 조립체를 생성할 수 있다. 층들은 히터 회로들, 센서 요소들, 접지 평면들, 무선 주파수 그리드(grid)들 및 금속 및 세라믹 흐름 채널들의 조합을 포함할 수 있다.
[0072] 프로세스 챔버(202)의 예시적인 챔버 컴포넌트들은, 제한 없이, 정전 척, 노즐, 가스 분배 플레이트, 샤워헤드(예를 들어, 230), 정전기 척 컴포넌트, 챔버 벽(예를 들어, 208), 라이너(예를 들어, 216), 라이너 키트, 가스 라인, 챔버 리드, 노즐, 단일 링, 프로세싱 키트 링, 에지 링, 베이스, 실드(shield), 플라즈마 스크린(screen), 유량 이퀄라이저(equalizer), 냉각 베이스, 챔버 뷰포트(viewport), 벨로우(bellow), 히터 조립체의 임의의 부품(지지체(250), 샤프트(252), 플랜지를 포함함), 페이스 플레이트(faceplate), 블로커 플레이트(blocker plate) 등을 포함한다.
[0073] 실시예들에서, 프로세스 챔버는 많은 상이한 센서들(235, 203, 230)을 포함한다. 센서들은 광학 방출 분광계(230) 및/또는 반사계(203)와 같은 광학 센서들을 포함할 수 있다. 센서들(235)은 열 센서들, 압력 센서들, 전력 센서들, 다른 전기 센서들, 유량 센서들 등을 추가적으로 포함할 수 있다. 일부 센서들(235)은 프로세스 챔버(202) 내부에 있을 수 있고, 다른 센서들(235)은 프로세스 챔버(202) 외부에 있을 수 있으며, 프로세스 챔버(230)로의 가스들, 전력 등의 흐름 및/또는 전달을 측정할 수 있다. 일 실시예에서, 센서들(235)은 프로세스 챔버(202)에 대해 약 165 개의 상이한 센서 측정들을 생성한다.
[0074] 챔버 제어기(205)는 플랫폼 제어기(120)와 동일한 또는 유사한 기능을 제공할 수 있지만, 하나 또는 몇 개의 프로세스 챔버들에 대한 (예를 들어, 프로세스 챔버(202)에 대한) 동작들을 수행하도록 구성될 수 있다. 예를 들어, 챔버 제어기(205)는 클러스터 도구의 에칭 챔버들 또는 특정 에칭 프로세스를 수행하는 에칭 챔버들을 제어하도록 구성될 수 있다. 실시예들에서, 챔버 제어기(205)는 유지보수 관리자(223), 재인증 관리자(225) 및/또는 프로세스 관리자(227)를 포함할 수 있는 자율 도구 엔진(221)을 포함한다. 재인증 관리자(225), 프로세스 관리자(227), 유지보수 관리자(223) 및 자율 도구 엔진(221)은 실시예들에서 도 1의 유사한 명칭의 컴포넌트들에 대응할 수 있다. 다수의 프로세스 챔버들이 부착된 단일 플랫폼의 경우, 프로세스 챔버 각각은 그 자체의 전용 챔버 제어기(205)를 포함할 수 있다. 대안적으로, 클러스터 도구 또는 메인프레임에 부착된 프로세스 챔버들 중 일부는 공통 챔버 제어기를 공유할 수 있다. 일 실시예에서, 챔버 제어기(205)는 사용되지 않고, 그 대신에 플랫폼 제어기(120)가 클러스터 도구에 부착된 프로세스 챔버들 모두를 제어하기 위해 사용된다.
[0075] 프로세스 챔버(202)는 하나 이상의 윈도우(window)들 또는 뷰포트들(220, 240)을 포함할 수 있다. 윈도우들 또는 뷰포트들은 예를 들어, 석영, 유리, 사파이어, 다이아몬드, 실리콘 카바이드, 투명 결정, 또는 광학적으로 투명한 세라믹일 수 있다. 일 실시예에서, 프로세스 챔버(202)는 리드, 노즐 또는 샤워헤드(230) 내의 윈도우(220)를 포함하며, 측벽(216) 내의 뷰포트(240)를 더 포함한다.
[0076] 실시예들에서, 반사계(203)는 윈도우(220)에 결합된다. 반사계(203)는 광 소스(201)(예를 들어, 광대역 광 소스 또는 다른 전자기 방사선 소스), 광 결합 디바이스(204)(예를 들어, 콜리메이터(collimator) 또는 미러), 및 분광계(225)를 포함한다. 광 소스(201) 및 분광계(225)는 하나 이상의 광섬유 케이블(232)을 통해 광 결합 디바이스(204)에 광학적으로 결합될 수 있다.
[0077] 다양한 실시예들에서, 광 결합 디바이스(204)는 광 경로를 따라 2개의 방향들로 광을 시준하거나 또는 다른 방식으로 전송하도록 적응될 수 있다. 제1 방향은, 시준되어 윈도우(220)를 통해 챔버(206) 내로 투과되는 광 소스(201)로부터의 광을 포함할 수 있다. 제2 방향은, 기판(244)에서 반사되어 윈도우(220)를 통해 다시 광 결합 디바이스(204)로 통과하는 반사된 광일 수 있다. 반사된 광은 광섬유 케이블(232)에 포커싱되어 광 경로를 따라 제2 방향으로 분광계(225)로 지향될 수 있다. 또한, 광섬유 케이블(232)은 분광계(225)와 광 소스(201) 사이에 결합되어 광 소스(201) 사이에서, 윈도우(220)로, 그리고 다시 분광계(225)로 광을 효율적으로 전달할 수 있다.
[0078] 실시예에서, 광 소스는 약 200 내지 800nm의 스펙트럼에서 광을 방출하고, 분광계(225)는 또한 200 내지 800nm의 파장 범위를 갖는다. 분광계(225)는 광 결합 디바이스(204)로부터 수신된 반사된 광, 예를 들어, 챔버(202) 내의 기판으로부터 반사되어 윈도우(220)를 통해 다시 반사되고 광 결합 디바이스(204)에 의해 광섬유 케이블(232)로 포커싱된 광의 스펙트럼을 검출하도록 적응될 수 있다.
[0079] 일 실시예에서, 제어기(205)는 광 소스(201)가 플래시 온(flash on)하도록 지시하고, 그 후 분광계(225)로부터 광 스펙트럼을 수신할 수 있다. 또한, 제어기(205)는 광 소스를 꺼진 상태로 유지하고, 광 소스(201)가 꺼져 있을 때 분광계(225)로부터 제2 스펙트럼을 수신할 수 있다. 제어기(205)는 제1 스펙트럼으로부터 제2 스펙트럼을 차감하여 순간 동안의 반사측정 신호를 결정할 수 있다. 그런 다음, 제어기(205)는 측정되는 막의 하나 이상의 광학 박막 특성을 결정하기 위해 하나 이상의 박막 모델들에 반사측정 신호를 수학적으로 피팅(fit)할 수 있다.
[0080] 일부 실시예들에서, 하나 이상의 광학 박막 특성은 막 두께, 굴절률(n), 및/또는 소광 계수(k) 값을 포함할 수 있다. 굴절률은 진공에서의 광의 속도 대 막에서의 광의 속도의 비이다. 소광 계수는 막에서 흡수되는 광의 양에 대한 척도이다. 제어기(205)는 n 및 k 값들을 사용하여 막의 조성을 결정할 수 있다. 자율 도구 엔진(221)은 막의 하나 이상의 특성에 대한 데이터를 분석하고, 막의 두께를 결정하고, 및/또는 막에 에칭된 트렌치의 깊이를 결정하도록 구성될 수 있다.
[0081] 일 실시예에서, 광학 방출 분광계(OES)(230)는 뷰포트(240)를 통해 프로세스 챔버(202)에 연결된다. OES(230)는 광을 프로세스 챔버(202)의 내부 체적(206)으로 지향시킬 수 있고, 및/또는 광을 사용하여 광학 방출 분광학을 수행하기 위해 내부 체적(206)으로부터 광을 측정할 수 있다. 광의 섬광들은 OES(230)에 의해 프로세스 챔버(202)의 내부 체적(206) 내의 플라즈마로 지향될 수 있다. 그런 다음, OES(230)는 챔버 내의 벌크 플라즈마 조건들과 연관된 스펙트럼들 정보를 수신할 수 있다. 수신된 스펙트럼들 정보는 플라즈마 내의 에칭 반응물들 및 에칭 부산물들의 농도와 연관된 정보를 포함할 수 있다. 특히, 스펙트럼들 정보는 에칭 반응물들 대 에칭 부산물들의 비와 연관될 수 있다. 에칭 반응물들 대 에칭 부산물들의 비는 에칭 종점에 도달할 때(예를 들어, 층이 완전히 에칭되고 에칭될 층으로부터 더는 재료가 없는 경우) 급격하게 변할 수 있다. 자율 도구 엔진(221)은 프로세스 챔버(202)의 내부 체적(206)으로부터 측정된 스펙트럼들을 분석하여 에칭 종점에 도달했는지 여부를 결정하고, 및/또는 막의 두께를 결정하고, 막의 하나 이상의 특성들을 결정하고, 및/또는 막에 에칭된 트렌치의 깊이를 결정하도록 구성될 수 있다. OES 데이터 및 반사측정법 데이터는 모두 실시예들에서 이러한 특성들 및/또는 조건들을 결정하기 위해 사용될 수 있다.
[0082] 일부 실시예들에서, 자율 도구 엔진(221)은 반사계(203), OES(230) 및 센서들(235) 중 하나 이상의 센서로부터의 센서 측정들을 사용하여 프로세스 챔버(202)에 관한 결정들을 내린다. 예를 들어, 챔버 제어기(205)는 자율 도구 엔진(221)을 사용하여, 프로세스 챔버(202)에 대해 유지보수가 예정되어 있는지 여부, 프로세스 챔버(202)에 수행될 유지보수의 유형, 유지보수 및 시즈닝을 거친 후 프로세스 챔버(202)가 다시 가동될 준비가 되었는지 여부 등을 결정할 수 있다.
[0083] 도 3 내지 도 9는 실시예들에 따라, 기계 학습 모델들을 훈련시키고 그리고/또는 훈련된 기계 학습 모델들을 사용하여 센서 측정들에 기초하여 프로세스 챔버들에 대한 결정들을 내리는 방법들에 대한 흐름도들이다. 이러한 방법들은 도 1 내지 도 2를 참조하여 설명된 컴포넌트들을 사용하여 수행될 수 있으며, 이는 명백할 것이다. 예를 들어, 방법들은 실시예들에서 플랫폼 제어기(120) 또는 챔버 제어기(205)에 의해 수행될 수 있다. 방법들의 적어도 일부 동작들은 하드웨어(예를 들어, 회로부, 전용 로직, 프로그래머블 로직, 마이크로코드(microcode) 등), 소프트웨어(예를 들어, 하드웨어 시뮬레이션을 수행하기 위해 프로세싱 디바이스에서 실행되는 명령들), 또는 이들의 조합을 포함할 수 있는 프로세싱 로직에 의해 수행될 수 있다. 특정 시퀀스 또는 순서로 도시되어 있지만, 달리 명시되지 않는 한, 프로세스들의 순서는 수정될 수 있다. 따라서, 예시된 실시예들은 단지 예들로서만 이해되어야 하며, 예시된 프로세스들은 상이한 순서로 수행될 수 있고, 일부 프로세스들은 병렬로 수행될 수 있다. 추가적으로, 다양한 실시예들에서 하나 이상의 프로세스들이 생략될 수 있다. 따라서, 모든 실시예에서 모든 프로세스들이 수행되는 것은 아니다. 다른 프로세스 흐름들이 가능하다.
[0084] 도 3은 실시예에 따른, 프로세스 도구 및/또는 기판 프로세싱 시스템에 의해 자동으로 결정들을 내리고 액션들을 수행하는 방법(300)에 대한 흐름도이다. 방법(300)의 블록(302)에서, 프로세싱 로직은 프로세싱 챔버가 에칭 프로세스, 증착 프로세스, 테스트 프로세스, 또는 시즈닝 프로세스와 같은 프로세스를 수행하게 한다. 블록(305)에서, 프로세싱 로직은 프로세스 동안 그리고/또는 프로세스 후에 프로세스 챔버의 하나 이상의 센서들로부터 측정들을 수신한다. 블록(310)에서, 프로세싱 로직은 훈련된 기계 학습 모델을 사용하여 측정 또는 측정들을 프로세싱한다. 측정(들)의 프로세싱에 기초하여, 훈련된 기계 학습 모델은 출력을 생성한다. 출력은 트렌치 깊이, 막 두께, 에칭 종점에 도달했는지 여부에 대한 표시, 프로세스 챔버가 유지보수를 위해 스케줄링되어야 하는지 여부에 대한 표시, 또는 프로세스 챔버가 가동으로 복귀되어야 하는지 여부에 대한 표시일 수 있다. 훈련된 기계 학습 모델은 상술한 바와 같이 훈련되었을 수 있고, 상술된 훈련된 기계 학습 모델들 중 임의의 모델에 대응할 수 있다.
[0085] 블록(315)에서, 프로세싱 로직은 출력이 하나 이상의 기준들을 만족함을 결정한다. 기준들은 트렌치 깊이 기준, 챔버 상태 인덱스 임계값, 예/아니오 기준, 또는 일부 다른 기준을 포함할 수 있다. 에칭 종점을 검출하도록 훈련되는 훈련된 기계 학습 모델의 경우, 기준은 트렌치 깊이일 수 있으며, 결정된 트렌치 깊이가 목표 트렌치 깊이와 같거나 또는 이보다 크면 기준이 만족될 수 있다. 일 실시예에서, 훈련된 기계 학습 모델은 예 또는 아니오를 출력하며, 여기서 예는 에칭 종점에 도달했음을 나타내고, 아니오는 에칭 종점에 아직 도달하지 않았음을 나타낸다. 프로세스 챔버에 대해 유지보수가 수행되어야 하는지 여부를 결정하도록 훈련되는 훈련된 기계 학습 모델의 경우, 출력은 CCI 또는 CPCI 값일 수 있으며, 훈련된 기계 학습 모델에 의해 출력된 결정된 CCI 또는 CPCI 값이 CCI 또는 CPCI 임계값 미만인 경우 기준이 충족될 수 있다. 일 실시예에서, 훈련된 기계 학습 모델은 예 또는 아니오를 출력하고, 여기서 예는 프로세스 챔버에 대해 유지보수가 수행되어야 함을 나타낸다.
[0086] 일 실시예에서, 훈련된 기계 학습 모델은 다수의 유지보수 분류들을 출력하고, 각각의 유지보수 분류에 대해, 훈련된 기계 학습 모델은 해당 유지보수 분류와 연관된 유지보수 유형이 수행되어야 함을 나타내는 예 또는 해당 유지보수 분류와 연관된 유지보수 유형이 수행될 필요가 없음을 나타내는 아니오를 제공한다. 유지보수 분류들의 예들에는 스케줄링된 세정, 제1 부품의 부품 교체, 제2 부품의 부품 교체 등이 포함된다. 프로세스 챔버에 대해 하나 이상의 시즈닝 프로세스들이 수행된 후 유지보수를 받은 프로세스 챔버가 가동으로 복귀될 준비가 되었는지 여부를 결정하도록 훈련되는 훈련된 기계 학습 모델의 경우, 출력은 CCI 또는 CPCI 값일 수 있으며, 훈련된 기계 학습 모델에 의해 출력되는 결정된 CCI 또는 CPCI 값이 CCI 또는 CPCI 임계값이거나 또는 그 초과이면 기준이 충족될 수 있다. 일 실시예에서, 훈련된 기계 학습 모델은 예 또는 아니오를 출력하고, 여기서 예는 프로세스 챔버에 대해 더 이상의 시즈닝이 정당화되지 않음을 나타내고(그리고 프로세스 챔버가 가동으로 복귀될 준비가 되었음을 나타내고), 아니오는 프로세스 챔버에 대해 하나 이상의 시즈닝 프로세스들이 여전히 수행되어야 함을 나타낸다(그리고 프로세스 챔버가 가동으로 복귀될 준비가 되지 않았음을 나타냄).
[0087] 블록(320)에서, 프로세싱 로직은 기계 학습 모델의 출력 및 그 출력이 하나 이상의 기준들을 만족시키는지 여부에 기초하여 프로세스 챔버에 대해 액션이 수행되게 한다. 에칭 종점을 검출하도록 훈련되는 훈련된 기계 학습 모델과 관련하여, 액션은 에칭 프로세스를 중지하는 것일 수 있다. 프로세스 챔버가 유지보수를 받아야 하는 시기를 검출하도록 훈련되는 훈련된 기계 학습 모델과 관련하여, 액션은 프로세스 챔버에 유지보수를 위한 플래그를 지정(flag)하고, 프로세스 챔버에 의해 추가 제품 기판들이 프로세싱되지 않도록 프로세스 챔버를 가동 중지하고 그리고/또는 프로세스 챔버에 대해 유지보수를 스케줄링하는 것일 수 있다. 유지보수를 받은 프로세스 챔버가 프로세스 챔버에서 시즈닝 프로세스의 하나 이상의 반복들이 수행된 시기를 검출하도록 훈련되는 훈련된 기계 학습 모델과 관련하여, 액션은 프로세스 챔버를 다시 가동시키도록 배치하고, 프로세스 챔버가 수행될 인증 테스트를 위한 준비가 되었음을 마킹하고 그리고/또는 프로세스 챔버에 대한 재인증 테스트 또는 프로세스를 스케줄링하는 것일 수 있다.
[0088] 블록(325)에서, 프로세싱 로직은 액션의 결과를 결정한다. 에칭 종점을 검출하도록 훈련되는 훈련된 기계 학습 모델의 경우, 결과는 광학적으로 측정된 트렌치 깊이와 같은 하나 이상의 광학 임계 치수 측정들일 수 있다. 프로세스 챔버가 유지보수를 받아야 하는 시기를 자동으로 결정하도록 훈련되는 훈련된 기계 학습 모델의 경우, 액션의 결과는 유지보수가 정당화되었는지 또는 기판들의 OCD 값들을 측정했는지에 대한 기술자로부터의 표시 및/또는 측정된 OCD 값들이 하나 이상의 임계값들보다 높거나 또는 낮은지(예를 들어, 임계량 초과만큼 목표 OCD 값들에서 벗어남)에 대한 표시일 수 있다. 유지보수를 거친 프로세스가 가동으로 복귀될 준비가 된 시기를 자동으로 결정하도록 훈련되는 훈련된 기계 학습 모델의 경우, 해당 액션의 결과는 재인증 테스트 결과일 수 있으며, 이는 프로세스 챔버에서 블랭킷 웨이퍼, 테스트 웨이퍼, 센서 웨이퍼, 베어 웨이퍼 또는 다른 웨이퍼로 재인증 프로세스를 수행하고 재인증 프로세스 동안 그리고/또는 후에 블랭킷 웨이퍼, 테스트 웨이퍼, 센서 웨이퍼, 베어 웨이퍼 또는 다른 웨이퍼의 하나 이상의 특성들 및/또는 조건들 및/또는 프로세스 챔버의 센서 측정들을 측정함으로써 얻어질 수 있다. 웨이퍼 측정들의 예들에는 입자 카운트, 금속 오염, 에칭 깊이, 층 두께 등이 포함된다. 일 실시예에서, 액션의 결과는 프로세스 챔버가 재인증을 통과했거나 또는 통과하지 못했다는 표시이다.
[0089] 블록(330)에서, 프로세싱 로직은 센서 측정들, 기계 학습 모델의 출력, 및 액션의 결과에 기초하여, 훈련된 기계 학습 모델의 훈련을 업데이트한다. 따라서, 훈련된 기계 학습 모델을 연속적으로 업데이트하고 개선하기 위해 연속 학습이 수행될 수 있다. 이를 통해 훈련된 기계 학습 모델은 연속적으로 챔버 조건들에 적응할 수 있다. 훈련된 기계 학습 모델의 재훈련은 실시예들에서, 훈련된 기계 학습 모델이 전개되는 제어기에서 온-툴로 수행될 수 있다.
[0090] 도 4는 실시예에 따른, 에칭 프로세스를 중지할 시기를 자동으로 결정하는 방법(400)에 대한 흐름도이다. 방법(400)의 블록(402)에서, 프로세싱 로직은 에칭 챔버에 대해 에칭 프로세스를 개시한다. 에칭 프로세스는 하나 이상의 막들을 상부에 갖는 제품 기판에 대해 수행될 수 있다. 블록(405)에서, 프로세싱 로직은 에칭 프로세스 동안 그리고/또는 후에 프로세스 챔버의 하나 이상의 광학 센서들로부터 하나 이상의 측정들을 수신한다. 광학 센서들은, 예를 들어, 반사측정법 센서 및/또는 광학 방출들 분광학 센서를 포함할 수 있다. 블록(410)에서, 프로세싱 로직은 트렌치 깊이, 막 두께 및/또는 에칭 종점 조건을 검출하도록 훈련되는 훈련된 기계 학습 모델을 사용하여 측정들을 프로세싱한다. 훈련된 기계 학습 모델은 트렌치 깊이 및/또는 막 두께를 나타내는 출력 및/또는 에칭 종점에 도달했는지를 나타내는 출력을 생성하도록 훈련되었을 수 있다.
[0091] 블록(415)에서, 프로세싱 로직은 훈련된 기계 학습 모델의 출력이 에칭 종점 기준을 만족하는지 여부를 결정한다. 일 실시예에서, 프로세싱 로직은 출력 트렌치 깊이와 목표 트렌치 깊이를 비교하고 그리고/또는 출력 막 두께와 목표 막 두께를 비교한다. 일 실시예에서, 프로세싱 로직은 출력이 에칭 종점에 도달했다는 표시인지 여부를 결정한다(예를 들어, 예/아니오 출력일 수 있음). 출력 트렌치 깊이가 목표 트렌치 깊이보다 작은 경우, 또는 막 두께가 목표 막 두께보다 큰 경우, 또는 출력이 에칭 종점에 도달하지 않았다는 표시인 경우, 에칭 프로세스는 계속되고 방법은 블록(405)으로 복귀하며, 추가적인 센서 측정들이 생성된다. 출력 트렌치 깊이가 목표 트렌치 깊이와 같거나 또는 이보다 큰 경우, 또는 출력이 에칭 종점에 도달했다는 표시인 경우, 방법은 블록(420)으로 계속 진행한다.
[0092] 블록(420)에서, 프로세싱 로직은 에칭 종점에 도달했음을 결정한다. 블록(425)에서, 프로세싱 로직은 에칭 프로세스(또는 에칭 프로세스의 단계)를 중지한다. 블록(430)에서, 프로세싱 로직은 에칭 프로세스 동안 에칭된 막의 임계 치수(예를 들어, 트렌치 깊이)를 결정한다. 임계 치수는 막을 갖는 기판에 대한 제조 시퀀스의 다운스트림 프로세스 동안 또는 후에 결정될 수 있다. 블록(435)에서, 프로세싱 로직은 막의 측정된 임계 치수와 막의 목표 임계 치수 사이의 차이(예를 들어, 목표 두께 또는 트렌치 깊이와 측정된 두께 또는 트렌치 깊이 사이의 차이)를 결정한다. 블록(440)에서, 프로세싱 로직은 블록(405)에서 수신된 측정들, 에칭 종점에 도달했음을 나타내는 블록(410)으로부터의 출력, 및 막의 측정된 임계 치수와 목표 임계 치수 사이의 차이에 기초하여 기계 학습 모델의 훈련을 업데이트한다. 따라서, 훈련된 기계 학습 모델을 연속적으로 업데이트하고 개선하기 위해 연속적인 학습이 수행될 수 있다. 훈련된 기계 학습 모델의 재훈련은 실시예들에서 훈련된 기계 학습 모델이 전개되는 제어기에서 온-툴로 수행될 수 있다.
[0093] 도 5는 실시예에 따른, 프로세스 챔버에 대한 유지보수를 수행할 시기를 자동으로 결정하는 방법(500)에 대한 흐름도이다. 방법(500)의 블록(502)에서, 프로세싱 로직은 챔버 내의 제품 기판에 대해 프로세스를 개시한다. 프로세스는 예를 들어 에칭 프로세스, 증착 프로세스, 어닐링 프로세스, 또는 일부 다른 프로세스일 수 있다. 프로세스는 상부에 하나 이상의 막들을 갖는 제품 기판에 대해 수행될 수 있고 그리고/또는 상부에 막을 증착하기 위해 수행될 수 있다. 블록(505)에서, 프로세싱 로직은 프로세스 동안 그리고/또는 프로세스 후에 프로세스 챔버의 센서들 세트로부터 하나 이상의 측정들을 수신한다. 블록(510)에서, 프로세싱 로직은 프로세스 챔버에 대해 유지보수를 수행해야 하는지 여부를 결정하도록 훈련되는 훈련된 기계 학습 모델을 사용하여 측정들을 프로세싱한다. 훈련된 기계 학습 모델은 유지보수가 예정되어 있는지 여부 및/또는 수행되어야 할 유지보수의 유형을 나타내는 출력 및/또는 CCI 값 또는 CPCI 값을 나타내는 출력을 생성하도록 훈련되었을 수 있다.
[0094] 블록(515)에서, 프로세싱 로직은 훈련된 기계 학습 모델의 출력이 기준을 만족시키는지 여부를 결정한다. 일 실시예에서, 프로세싱 로직은 출력된 CCI를 CCI 또는 CPCI 임계값과 비교한다. CCI 또는 CPCI 값이 CCI 또는 CPCI 임계값 미만이면, 프로세싱 로직은 출력이 기준을 충족한다고 결정할 수 있다. CCI 또는 CPCI 값이 CCI 또는 CPCI 임계값을 초과하는 경우, 기준이 충족되지 않을 수 있다. 일 실시예에서, 훈련된 기계 학습 모델의 출력은 유지보수를 수행해야 하는지 여부에 대한 예/아니오 표시이다. 출력이 예인 경우, 유지보수가 수행되어야 함(또는 특정 유형의 유지보수가 수행되어야 함)이므로, 기준이 충족된 것이다. 출력이 아니오이면, 유지보수가 수행되어서는 안 됨이므로, 기준이 충족되지 않은 것이다. 기준이 충족되지 않으면, 방법은 블록(520)으로 계속된다. 기준이 만족되면, 방법은 블록(525)으로 진행한다.
[0095] 블록(520)에서, 프로세싱 로직은 (로봇 암이 프로세스 챔버로부터 제1 기판을 제거하고 새로운 기판을 프로세스 챔버 내로 삽입하게 한 후) 새로운 기판에 대해 프로세스를 개시한다. 그런 다음 방법은 블록(505)으로 복귀하고, 새로운 기판에 대한 프로세스의 성능과 연관된 센서 측정들이 수신된다. 또한, 방법은 블록(535)으로 진행할 수 있다.
[0096] 블록(525)에서, 프로세싱 로직은 프로세스 챔버가 유지보수될 예정임을 결정한다. 블록(530)에서, 프로세싱 로직은 프로세스 챔버에 유지보수(예를 들어, 세정)를 위한 플래그를 지정할 수 있고 그리고/또는 프로세스 챔버에 대한 세정을 능동적으로 스케줄링할 수 있다. 블록(535)에서, 프로세싱 로직은 프로세스 챔버에 대해 유지보수가 실제로 수행되었는지 여부에 대한 표시를 수신할 수 있다. 프로세싱 로직은 블록(502) 및/또는 블록(520)에서 프로세스 챔버의 상태 및/또는 프로세스 챔버에 의해 프로세싱된 제품 기판들의 임계 치수 측정들에 대한 표시를 추가적으로 또는 대안적으로 수신할 수 있다. 블록(540)에서, 프로세싱 로직은 블록(505)에서 수신된 측정들, 유지보수가 수행되어야 하는지 여부를 나타내는 블록(510)으로부터의 출력, 및 유지보수가 수행되었는지 여부에 대한 표시 및/또는 제품 기판 상의 하나 이상의 막의 측정된 임계 치수(들)와 목표 임계 치수(들) 사이의 차이 중 적어도 하나에 기초하여 기계 학습 모델의 훈련을 업데이트한다. 따라서, 훈련된 기계 학습 모델을 연속적으로 업데이트하고 개선하기 위해 연속적인 학습이 수행될 수 있다. 훈련된 기계 학습 모델의 재훈련은 실시예들에서 훈련된 기계 학습 모델이 전개되는 제어기 상에서 온-툴로 수행될 수 있다.
[0097] 도 6은 실시예에 따라, 유지보수가 수행된 후 프로세스 챔버를 가동으로 복귀시킬 시기를 자동으로 결정하는 방법(600)의 흐름도이다. 방법(600)의 블록(602)에서, 프로세싱 로직은 챔버에서 시즈닝 프로세스를 개시한다. 시즈닝 프로세스는 프로세스 챔버의 상태가 알려진 상태에 도달하게 하는 챔버 컨디셔닝(conditioning) 프로세스이다. 유지보수 후(예를 들어, 부품 교체 후 및/또는 습식 세정 프로세스 또는 건식 세정 프로세스와 같은 세정 프로세스 후) 프로세스 챔버의 적절한 시즈닝 또는 컨디셔닝은 웨이퍼 간 프로세스 반복성을 개선한다. 일 실시예에서, 시즈닝 프로세스는 플라즈마 생성 종에 의해 반응기 표면의 패시베이션(passivation)을 유발하여, 라디칼(radical)들의 반응성 부착 계수들을 변화시킬 수 있다. 챔버 시즈닝은 동일한 이온 밀도, 전자 온도, 및 플럭스(flux)들을 갖는 균일한 플라즈마가 웨이퍼 간에 반복되게 함으로써 디바이스들의 임계 치수들이 일관되게 재현되도록 보장하기 위해 수행될 수 있다. 이 프로세스는 블랭킷 기판, 베어 기판, 테스트 기판 등에서 수행될 수 있다.
[0098] 블록(605)에서, 프로세싱 로직은 프로세스 동안 그리고/또는 프로세스 후에 프로세스 챔버의 센서들 세트로부터 하나 이상의 측정들을 수신한다. 블록(610)에서, 프로세싱 로직은 시즈닝이 완료되었는지 및/또는 프로세스 챔버가 가동으로 복귀될 준비가 되었는지 여부를 결정하도록 훈련되는 훈련된 기계 학습 모델을 사용하여 측정들을 프로세싱한다. 훈련된 기계 학습 모델은 추정된 CCI를 나타내는 출력 및/또는 시즈닝이 완료되었는지(및 프로세스 챔버가 가동으로 복귀될 수 있음)를 나타내는 출력을 생성하도록 훈련되었을 수 있다.
[0099] 블록(615)에서, 프로세싱 로직은 훈련된 기계 학습 모델의 출력이 기준을 만족하는지 여부를 결정한다. 일 실시예에서, 프로세싱 로직은 출력 추정된 CCI를 CCI 임계값과 비교한다. 추정된 CCI가 CCI 임계값이거나 또는 그 초과인 경우, 프로세싱 로직은 출력이 기준을 충족한다고 결정할 수 있다. 추정된 CCI가 CCI 임계값 미만이면, 기준이 충족되지 않을 수 있다. 일 실시예에서, 훈련된 기계 학습 모델의 출력은 시즈닝이 완료되었는지에 대한 예/아니오 표시이다. 출력이 아니오이면, 시즈닝이 완료되지 않음이므로, 기준이 충족되지 않은 것이다. 출력이 예이면, 시즈닝이 완료됨이므로, 기준이 충족된 것이다. 기준이 충족되지 않으면, 방법은 블록(620)으로 계속된다. 기준이 충족되면, 방법은 블록(625)으로 진행한다.
[00100] 블록(620)에서, 프로세싱 로직은 (로봇 암이 프로세스 챔버로부터 제1 기판을 제거하고 새로운 기판을 프로세스 챔버 내로 삽입하게 한 후) 선택적으로 새로운 기판에 대한 시즈닝 프로세스의 다른 반복을 개시한다. 그런 다음, 방법은 블록(605)으로 복귀하고, 새로운 기판에서의 프로세스의 성능과 연관된 센서 측정들이 수신된다.
[00101] 블록(625)에서, 프로세싱 로직은 프로세스 챔버가 재인증될 준비가 되었고 그리고/또는 (제품 기판들 상에서 사용되도록) 가동으로 복귀될 준비가 되었음을 결정한다. 블록(630)에서, 프로세싱 로직은 프로세스 챔버에 인증을 위한 플래그를 지정하고 그리고/또는 재인증 프로세스를 스케줄링할 수 있다. 블록(635)에서, 프로세싱 로직은 프로세스 챔버가 재인증 테스트를 통과했는지 여부에 대한 표시를 수신할 수 있다. 이 표시는 테스트 레시피 또는 테스트 프로세스를 사용하여 프로세싱된 하나 이상의 테스트 기판에 대한 하나 이상의 측정 결과들을 포함할 수 있다. 일 실시예에서, 블랭킷 웨이퍼 에칭 프로세스는 블랭킷 웨이퍼 상에 수행되고, 패터닝된 웨이퍼 에칭 프로세스가 패터닝된 웨이퍼 상에 수행되며 및/또는 입자 테스트 프로세스가 입자 웨이퍼(예를 들어, 블랭크 웨이퍼 또는 블랭킷 웨이퍼일 수 있음) 상에 수행된다. 블랭킷 웨이퍼 에칭 프로세스로부터, 평균 블랭킷 웨이퍼 에칭 속도 및 블랭킷 웨이퍼 에칭 균일성이 측정될 수 있다. 패터닝된 웨이퍼 에칭 프로세스로부터, 평균 패터닝된 웨이퍼 에칭 속도 및 패터닝된 웨이퍼 에칭 균일성이 측정될 수 있다. 입자 테스트 후, 입자 웨이퍼에서 입자들을 계수할 수 있다. 측정 결과들은 예를 들어, 온-웨이퍼(on-wafer) 입자 카운트, 금속 오염, 막 두께, 막 조성, 블랭킷 웨이퍼 에칭 속도, 블랭킷 웨이퍼 에칭 균일성, 패터닝된 웨이퍼 에칭 속도, 패터닝된 웨이퍼 에칭 균일성 등을 포함할 수 있다. 프로세싱 로직은 프로세스 챔버의 상태에 대한 표시를 추가적으로 또는 대안적으로 수신할 수 있다. 측정 결과들에 기초하여, 프로세스 챔버에 대한 실제 CCI 값이 결정될 수 있다.
[00102] 블록(640)에서, 프로세싱 로직은 블록(605)에서 수신된 측정들, 유지보수가 수행되어야 하는지 여부를 나타내는 블록(610)으로부터의 출력, 및 프로세스 챔버가 재인증 테스트들을 통과했는지 여부에 대한 표시 및/또는 재인증 테스트들의 결과들에 기초하여 기계 학습 모델의 훈련을 업데이트한다. 따라서, 훈련된 기계 학습 모델을 연속적으로 업데이트하고 개선하기 위해 연속적인 학습이 수행될 수 있다. 훈련된 기계 학습 모델의 재훈련은 실시예들에서 훈련된 기계 학습 모델이 전개되는 제어기에서 온-툴로 수행될 수 있다.
[00103] 도 7은 실시예에 따른, 프로세스 도구 및/또는 기판 프로세싱 시스템에 의해 자율적으로 다수의 결정들을 내리는 방법(700)에 대한 흐름도이다. 방법(700)의 블록(702)에서, 프로세싱 로직은 프로세싱 챔버가 에칭 프로세스, 증착 프로세스, 테스트 프로세스, 또는 시즈닝 프로세스와 같은 제1 프로세스를 수행하게 한다. 블록(705)에서, 프로세싱 로직은 프로세스 동안 그리고/또는 프로세스 후에 프로세스 챔버의 하나 이상의 센서들로부터 제1 측정들을 수신한다. 블록(710)에서, 프로세싱 로직은 에칭 종점 검출을 수행하도록 훈련된 기계 학습 모델과 같이 제1 훈련된 기계 학습 모델을 사용하여 제1 측정 또는 측정들(또는 제1 측정들의 제1 서브세트)을 프로세싱한다. 측정(들)의 프로세싱에 기초하여, 제1 훈련된 기계 학습 모델은 출력을 생성한다. 출력은 트렌치 깊이, 막 두께, 에칭 종점에 도달했는지 여부에 대한 표시, 프로세스 챔버가 유지보수를 위해 스케줄링되어야 하는지 여부에 대한 표시 또는 프로세스 챔버가 가동을 위해 복귀되어야 하는지 여부에 대한 표시일 수 있다. 제1 훈련된 기계 학습 모델은 상술한 바와 같이 훈련되었을 수 있고, 상술된 훈련된 기계 학습 모델들 중 임의의 모델에 대응할 수 있다.
[00104] 블록(715)에서, 프로세싱 로직은 제1 출력이 하나 이상의 제1 기준들을 만족함을 결정한다. 제1 기준들은 트렌치 깊이 기준, 챔버 상태 인덱스 임계값, 예/아니오 기준, 또는 일부 다른 기준을 포함할 수 있다. 프로세싱 로직은 제1 기준을 만족하는 제1 훈련된 기계 학습 모델의 제1 출력에 기초하여 프로세스 챔버에 대해 제1 액션이 수행되게 한다.
[00105] 블록(720)에서, 프로세싱 로직은 제2 훈련된 기계 학습 모델을 사용하여 제1 측정 또는 측정들(또는 제1 측정들의 제2 서브세트)을 프로세싱한다. 측정(들)의 프로세싱에 기초하여, 제2 훈련된 기계 학습 모델은 제2 출력을 생성한다. 제2 출력은 트렌치 깊이, 막 두께, 에칭 종점에 도달했는지 여부에 대한 표시, 프로세스 챔버가 유지보수를 위해 스케줄링되어야 하는지 여부에 대한 표시 또는 프로세스 챔버가 가동으로 복귀되어야 하는지 여부에 대한 표시일 수 있다. 제2 훈련된 기계 학습 모델은 상술한 바와 같이 훈련되었을 수 있고, 상술된 훈련된 기계 학습 모델들 중 임의의 모델에 대응할 수 있다.
[00106] 블록(725)에서, 프로세싱 로직은 제2 출력이 하나 이상의 제2 기준들(제1 기준들과 상이함)을 만족함을 결정한다. 제2 기준들은 트렌치 깊이 기준, 막 두께 기준, 챔버 상태 인덱스 임계값, 예/아니오 기준, 또는 일부 다른 기준을 포함할 수 있다. 프로세싱 로직은 제2 기준을 만족하는 제2 훈련된 기계 학습 모델의 제2 출력에 기초하여 프로세스 챔버에 대해 제2 액션이 수행되게 한다.
[00107] 블록(730)에서, 프로세싱 로직은 프로세싱 챔버가 에칭 프로세스, 증착 프로세스, 테스트 프로세스, 또는 시즈닝 프로세스와 같은 제2 프로세스를 수행하게 한다. 제2 프로세스는 블록(702)에서 수행된 제1 프로세스와 상이하거나 또는 이와 동일할 수 있다. 예를 들어, 제1 프로세스는 제품 기판에 대해 수행되는 에칭 프로세스일 수 있고, 제2 프로세스는 프로세스 챔버에 대해 유지보수가 스케줄링된 후에 수행되는 챔버 시즈닝 프로세스일 수 있다. 블록(735)에서, 프로세싱 로직은 제2 프로세스 동안 그리고/또는 후에 프로세스 챔버의 하나 이상의 센서들로부터 제2 측정들을 수신한다. 블록(740)에서, 프로세싱 로직은 프로세스 챔버의 시즈닝이 완료되는 시기를 검출하도록 훈련된 기계 학습 모델과 같은 제3 훈련된 기계 학습 모델을 사용하여 제2 측정 또는 측정들(또는 제2 측정들의 서브세트)을 프로세싱한다. 제2 측정(들)의 프로세싱에 기초하여, 제3 훈련된 기계 학습 모델은 제3 출력을 생성한다. 제3 출력은 트렌치 깊이, 막 두께, 에칭 종점에 도달했는지 여부에 대한 표시, 프로세스 챔버가 유지보수를 위해 스케줄링되어야 하는지 여부에 대한 표시 또는 프로세스 챔버가 가동으로 복귀되어야 하는지 여부에 대한 표시일 수 있다. 제3 훈련된 기계 학습 모델은 상술한 바와 같이 훈련되었을 수 있고, 상술된 훈련된 기계 학습 모델들 중 임의의 모델에 대응할 수 있다.
[00108] 블록(745)에서, 프로세싱 로직은 제3 출력이 하나 이상의 제3 기준들을 만족함을 결정한다. 제3 기준은 트렌치 깊이 기준, 챔버 상태 인덱스 임계값, 예/아니오 기준, 또는 일부 다른 기준을 포함할 수 있다. 프로세싱 로직은 제3 기준을 만족하는 제3 훈련된 기계 학습 모델의 제3 출력에 기초하여 프로세스 챔버에 대해 제3 액션이 수행되게 한다.
[00109] 블록(750)에서, 프로세싱 로직은 제1 액션, 제2 액션 및/또는 제3 액션의 결과들을 수신한다. 블록(755)에서, 프로세싱 로직은 제1, 제2 및 제3 액션들, 제1, 제2 및 제3 출력들, 및 제1 및 제2 측정들의 결과들에 각각 기초하여, 제1 훈련된 기계 학습 모델, 제2 훈련된 기계 학습 모델 및/또는 제3 훈련된 기계 학습 모델의 훈련을 업데이트한다.
[00110] 도 8은 실시예에 따라, 에칭 종점에 도달할 시기를 결정하는 것 그리고 에칭 프로세스 챔버에 대한 유지보수를 스케줄링할지 여부를 결정하는 것 모두를 위해 센서 데이터 세트를 사용하는 방법(800)에 대한 흐름도이다. 방법(800)의 블록(802)에서, 프로세싱 로직은 에칭 챔버에 대해 에칭 프로세스를 개시한다. 에칭 프로세스는 하나 이상의 막들을 상부에 갖는 제품 기판에 대해 수행될 수 있다. 블록(805)에서, 프로세싱 로직은 에칭 프로세스 동안 그리고/또는 후에 프로세스 챔버의 하나 이상의 광학 센서들로부터 하나 이상의 측정들을 수신한다. 블록(810)에서, 프로세싱 로직은 트렌치 깊이, 막 두께 및/또는 에칭 종점 조건을 검출하도록 훈련된 제1 훈련된 기계 학습 모델을 사용하여 측정들(또는 수신된 측정들로부터의 하나 이상의 광학 측정들과 같은 측정들의 제1 서브세트)을 프로세싱한다. 훈련된 기계 학습 모델은 트렌치 깊이, 막 두께를 나타내는 출력 및/또는 에칭 종점에 도달했는지를 나타내는 출력을 생성하도록 훈련되었을 수 있다.
[00111] 블록(815)에서, 프로세싱 로직은 훈련된 기계 학습 모델의 출력이 에칭 종점 기준을 만족하는지 여부를 결정한다. 출력이 트렌치 종점 기준을 만족시키지 못하면, 방법은 블록(805)으로 복귀하고, 여기서 추가적인 센서 측정들이 생성된다. 출력이 트렌치 종점 기준을 만족하면, 방법은 블록(820)으로 계속된다.
[00112] 블록(820)에서, 프로세싱 로직은 에칭 종점에 도달했음을 결정한다. 블록(825)에서, 프로세싱 로직은 에칭 프로세스(또는 에칭 프로세스의 단계)를 중지한다.
[00113] 블록(830)에서, 프로세싱 로직은 프로세스 챔버에 대해 유지보수가 수행되어야 하는지 여부를 결정하도록 훈련된 제2 훈련된 기계 학습 모델을 사용하여 측정들(또는 측정들의 제2 서브세트)을 프로세싱한다. 제2 훈련된 기계 학습 모델은 CCI를 나타내는 출력 및/또는 유지보수가 예정되어 있는지 여부 및/또는 수행될 유지보수의 유형을 나타내는 출력을 생성하도록 훈련되었을 수 있다.
[00114] 블록(835)에서, 프로세싱 로직은 훈련된 기계 학습 모델의 출력이 제2 기준을 만족하는지 여부를 결정한다. 출력이 제2 기준을 만족하는 경우, 방법은 블록(840)으로 진행한다. 출력이 제2 기준을 만족시키지 못하면, 방법은 블록(802)으로 복귀하고, 에칭 프로세스는 새로운 기판에 대해 수행된다.
[00115] 블록(840)에서, 프로세싱 로직은 프로세스 챔버가 유지보수될 예정이라는 것을 결정한다. 블록(845)에서, 프로세싱 로직은 프로세스 챔버에 유지보수(예를 들어, 세정)를 위한 플래그를 지정할 수 있고 그리고/또는 프로세스 챔버에 대한 세정을 능동적으로 스케줄링할 수 있다. 블록(850)에서, 프로세싱 로직은 프로세스 챔버에 대해 유지보수가 실제로 수행되었는지 여부에 대한 표시를 수신할 수 있다.
[00116] 프로세싱 로직은 센서 측정들, 개개의 출력들, 및 개개의 출력들이 올바른 출력들인지 여부에 대한 표시에 기초하여, 제1 훈련된 기계 학습 모델 및 제2 훈련된 기계 학습 모델 모두의 훈련을 업데이트할 수 있다.
[00117] 도 9는 실시예에 따라, 유지보수를 위해 프로세스 챔버를 스케줄링할 시기 및 유지보수 후 프로세스 챔버를 다시 가동으로 복귀시킬 시기를 자동으로 결정하는 방법(900)의 흐름도이다. 방법(900)의 블록(902)에서, 프로세싱 로직은 프로세스 챔버 내의 제품 기판에 대해 프로세스를 개시한다. 프로세스는 예를 들어 에칭 프로세스, 증착 프로세스, 어닐링 프로세스, 또는 일부 다른 프로세스일 수 있다. 프로세스는 하나 이상의 막들을 상부에 갖는 제품 기판에 대해 수행될 수 있고 그리고/또는 막을 상부에 증착하기 위해 수행될 수 있다. 블록(905)에서, 프로세싱 로직은 제1 프로세스 동안 그리고/또는 후에 프로세스 챔버의 센서들 세트로부터 제1 측정들을 수신한다. 블록(910)에서, 프로세싱 로직은 프로세스 챔버에 대해 유지보수를 수행해야 하는지 여부를 결정하도록 훈련된 제1 훈련된 기계 학습 모델을 사용하여 제1 측정들을 프로세싱한다. 훈련된 기계 학습 모델은 CCI를 나타내는 출력 및/또는 유지보수될 예정인지 있는지 여부 및/또는 수행될 유지보수의 유형을 나타내는 출력을 생성하도록 훈련되었을 수 있다.
[00118] 블록(915)에서, 프로세싱 로직은 제1 훈련된 기계 학습 모델의 제1 출력이 하나 이상의 제1 기준들(예를 들어, 출력된 CCI 값이 CCI 임계값 미만인지 여부)을 만족하는지 여부를 결정한다. 제1 출력이 하나 이상의 제1 기준들을 만족하면, 방법은 블록(920)으로 계속된다. 제1 출력이 하나 이상의 제1 기준들을 만족시키지 못하면, 방법은 블록(902)으로 복귀하고, 제1 프로세스는 프로세스 챔버 내의 새로운 기판에 대해 수행된다.
[00119] 블록(920)에서, 프로세싱 로직은 프로세스 챔버가 유지보수될 예정인 것으로 결정한다. 블록(925)에서, 프로세싱 로직은 프로세스 챔버에 유지보수(예를 들어, 세정)를 위한 플래그를 지정할 수 있고 그리고/또는 프로세스 챔버에 대한 세정을 능동적으로 스케줄링할 수 있다.
[00120] 블록(930)에서, 프로세스 챔버에 대한 유지보수가 수행된 후, 프로세싱 로직은 프로세스 챔버에 대한 시즈닝 프로세스를 개시할 수 있다. 블록(935)에서, 프로세싱 로직은 시즈닝 프로세스 동안 그리고/또는 후에 프로세스 챔버의 센서들 세트로부터 제2 측정들을 수신한다. 블록(940)에서, 프로세싱 로직은 시즈닝이 완료되었는지 및/또는 프로세스 챔버가 가동으로 복귀될 준비가 되었는지 여부를 결정하도록 훈련된 제2 훈련된 기계 학습 모델을 사용하여 제2 측정들을 프로세싱한다. 제2 훈련된 기계 학습 모델은 CCI를 나타내는 제2 출력 및/또는 시즈닝이 완료되었는지(및 프로세스 챔버가 가동으로 복귀될 수 있음)를 나타내는 제2 출력을 생성하도록 훈련되었을 수 있다.
[00121] 블록(945)에서, 프로세싱 로직은 훈련된 기계 학습 모델의 제2 출력이 하나 이상의 제2 기준들을 만족시키는지 여부를 결정한다. 일 실시예에서, 프로세싱 로직은 출력된 CCI를 CCI 임계값과 비교한다. CCI가 CCI 임계값이거나 또는 이를 초과하는 경우, 프로세싱 로직은 출력이 제2 기준을 충족한다고 결정할 수 있다. CCI가 CCI 임계값 미만인 경우, 제2 기준이 충족되지 않을 수 있다. 제2 기준이 충족되지 않으면, 방법은 계속되어 블록(930)으로 복귀되며, 프로세스 챔버에서 다른 시즈닝 프로세스가 수행된다. 기준이 충족되면, 방법은 블록(950)으로 진행한다.
[00122] 블록(950)에서, 프로세싱 로직은 시즈닝이 완료되고 프로세스 챔버가 재인증될 준비가 되고 그리고/또는 (제품 기판들에 사용되도록) 가동으로 복귀될 준비가 되었음을 결정한다. 블록(955)에서, 프로세싱 로직은 프로세스 챔버에 인증을 위한 플래그를 지정하고 그리고/또는 재인증 프로세스를 스케줄링할 수 있다. 블록(960)에서, 프로세싱 로직은 프로세스 챔버가 재인증 테스트를 통과했는지 여부에 대한 표시를 수신할 수 있다. 프로세싱 로직은 프로세스 챔버의 상태에 대한 표시를 추가적으로 또는 대안적으로 수신할 수 있다. 프로세스 챔버가 재인증 테스트를 통과하지 못한 경우, 방법은 블록(930)으로 복귀되고, 프로세스 챔버에서 다른 시즈닝 프로세스가 수행된다. 프로세스 챔버가 재인증 테스트를 통과하면, 방법은 블록(965)으로 계속 진행한다. 블록(965)에서, 프로세싱 로직은 프로세스를 다시 가동시킨다. 프로세싱 로직은 또한 본 명세서에 논의된 바와 같이 제1 및/또는 제2 훈련된 기계 학습 모델들의 훈련을 업데이트할 수 있다.
[00123] 도 10은 컴퓨팅 디바이스(1000)의 예시적인 형태의 기계의 도식적 표현을 예시하며, 여기서 기계가 본 명세서에서 논의된 방법론들 중 임의의 하나 이상을 수행하게 하기 위한 명령들 세트가 실행될 수 있다. 대안적인 실시예들에서, 기계는 근거리 통신망(LAN), 인트라넷, 엑스트라넷, 또는 인터넷의 다른 기계들에 연결될 수 있다(예를 들어, 네트워크로 연결될 수 있다). 기계는 클라이언트-서버 네트워크 환경에서 서버 또는 클라이언트 기계의 용량으로 작동하거나, 또는 피어-투-피어(peer-to-peer)(또는 분산형) 네트워크 환경에서 피어 기계로서 작동할 수 있다. 기계는 개인용 컴퓨터(PC), 태블릿 컴퓨터, 셋톱박스(STB), 개인용 디지털 어시스턴트(PDA), 휴대폰, 웹 어플라이언스(web appliance), 서버, 네트워크 라우터(router), 스위치 또는 브리지, 또는 해당 기계에 의해 수행될 액션들을 지정하는 한 세트의 명령들을 (순차적 또는 다른 방식으로) 실행할 수 있는 임의의 기계일 수 있다. 또한, 단 하나의 기계만이 예시되지만, "기계"라는 용어는 본 명세서에서 논의되는 방법론들 중 임의의 하나 이상을 수행하기 위해 명령들의 세트(또는 다수의 세트들)를 개별적으로 또는 공동으로 실행하는 기계들(예를 들어, 컴퓨터들)의 임의의 모음을 포함하는 것으로 간주되어야 한다.
[00124] 예시적인 컴퓨팅 디바이스(1000)는 프로세싱 디바이스(1002), 메인 메모리(1004)(예를 들어, 읽기 전용 메모리(ROM), 플래시 메모리, 동기식 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM)과 같은 동적 랜덤 액세스 메모리(DRAM) 등), 정적 메모리(1006)(예를 들어, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM), 하드 디스크(자기 스토리지 등) 등), 및 보조 메모리(예를 들어, 데이터 저장 디바이스(1018))를 포함하고, 이들은 버스(1030)를 통해 서로 통신한다.
[00125] 프로세싱 디바이스(1002)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 하나 이상의 범용 프로세서들을 나타낸다. 보다 구체적으로, 프로세싱 디바이스(1002)는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령 세트들을 구현하는 프로세서, 또는 명령 세트들의 조합을 구현하는 프로세서들일 수 있다. 프로세싱 디바이스(1002)는 또한 ASIC(application specific integrated circuit), FPGA(field programmable gate array), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 프로세싱 디바이스들일 수 있다. 프로세싱 디바이스(1002)는 본 명세서에서 논의되는 동작들 및 단계들을 수행하기 위한 프로세싱 로직(명령들(1022))을 실행하도록 구성된다.
[00126] 컴퓨팅 디바이스(1000)는 네트워크 인터페이스 디바이스(1008)를 더 포함할 수 있다. 컴퓨팅 디바이스(1000)는 또한 비디오 디스플레이 유닛(1010)(예를 들어, 액정 디스플레이(LCD) 또는 음극선관(CRT)), 영숫자 입력 디바이스(1012)(예를 들어, 키보드), 커서 제어 디바이스(1014)(예를 들어, 마우스), 및 신호 생성 디바이스(1016)(예를 들어, 스피커)를 포함할 수 있다.
[00127] 데이터 저장 디바이스(1018)는 본 명세서에 설명된 방법론들 또는 기능들 중 임의의 하나 이상을 구현하는 하나 이상의 명령들 세트들(1022)이 저장되어 있는 기계 판독 가능 저장 매체(또는 보다 구체적으로 컴퓨터 판독 가능 저장 매체)(1028)를 포함할 수 있다. 명령들(1022)은 또한 컴퓨터 시스템(1000)에 의해 실행되는 동안 메인 메모리(1004) 및/또는 프로세싱 디바이스(1002) 내에, 완전히 또는 적어도 부분적으로, 존재할 수 있고, 메인 메모리(1004) 및 프로세싱 디바이스(1002)는 또한 컴퓨터 판독 가능 저장 매체들을 구성한다.
[00128] 컴퓨터 판독 가능 저장 매체(1028)는 또한 자율 도구 엔진(121) 및/또는 자율 도구 엔진(121)을 호출하는 방법들을 포함하는 소프트웨어 라이브러리(software library)를 저장하는 데 사용될 수 있다. 컴퓨터 판독 가능 저장 매체(1028)는 예시적인 실시예에서 단일 매체인 것으로 도시되어 있지만, "컴퓨터 판독 가능 저장 매체"라는 용어는 하나 이상의 명령들 세트들을 저장하는 단일 매체 또는 다수의 매체들(예를 들어, 중앙 집중식 또는 분산형 데이터베이스, 및/또는 연관된 캐시(cache)들 및 서버들)을 포함하는 것으로 간주되어야 한다. "컴퓨터 판독 가능 저장 매체"라는 용어는 또한, 기계에 의한 실행을 위한 명령들 세트를 저장 또는 인코딩할 수 있고 기계가 여기에 설명된 방법론들 중 임의의 하나 이상을 수행하게 하는 임의의 매체를 포함하는 것으로 간주되어야 한다. 따라서, "컴퓨터 판독 가능 저장 매체"라는 용어는 솔리드 스테이트 메모리들, 및 광학 및 자기 매체들과 같은 비-일시적 컴퓨터 판독 가능 매체들을 포함하는 것으로 간주되어야 한다(그러나 이에 제한되지 않음).
[00129] (예를 들어, 도 1 및 도 2와 관련하여) 본 명세서에 설명된 모듈들, 컴포넌트들 및 다른 특징들은 개별 하드웨어 컴포넌트들로서 구현될 수 있거나, ASIC들, FPGA들, DSP들 또는 이와 유사한 디바이스들과 같은 하드웨어 컴포넌트들의 기능에 통합될 수 있다. 추가적으로, 모듈들은 하드웨어 디바이스들 내에서 펌웨어 또는 기능 회로부로 구현될 수 있다. 또한, 모듈들은 하드웨어 디바이스들 및 소프트웨어 컴포넌트들의 임의의 조합으로 구현될 수 있거나, 또는 소프트웨어로만 구현될 수 있다.
[00130] 상세한 설명의 일부 부분들은 컴퓨터 메모리 내의 데이터 비트(bit)들에 대한 연산들의 알고리즘들 및 기호적 표현들의 관점에서 제시되었다. 이러한 알고리즘 설명들 및 표현들은 데이터 프로세싱 업계들의 당업자들이 다른 당업자들에게 그들의 작업의 실체를 가장 효과적으로 전달하기 위해 사용하는 수단들이다. 여기서 알고리즘은 일반적으로 목표 결과로 이어지는 자체 일관된 단계들 시퀀스인 것으로 간주된다. 이러한 단계들은 물리적 양들에 대한 물리적 조작들을 필요로 하는 단계들이다. 통상, 이러한 양들은, 반드시 그렇지는 않지만, 저장, 전송, 결합, 비교 및 다른 방식의 조작이 가능한 전기적 또는 자기적 신호들의 형태를 취한다. 이러한 신호들을 비트들, 값들, 요소들, 기호들, 문자들, 용어들, 숫자들 등으로 지칭하는 것이, 주로 일반적인 사용상의 이유들로, 때때로 편리하다는 것이 입증되었다.
[00131] 그러나, 이러한 용어들 및 이와 유사한 용어들 모두는 적절한 물리적 양들과 연관되어야 하며, 단지 이러한 양들에 적용되는 편리한 레이블들에 불과하다는 점을 염두에 두어야 한다. 특별히 달리 명시되지 않는 한, 다음의 논의에서 명백한 바와 같이, 본 설명 전반에 걸쳐, "수신하는", "식별하는", "결정하는", "선택하는", "제공하는", "저장하는" 등과 같은 용어들을 활용한 논의들은, 컴퓨터 시스템의 레지스터들 및 메모리들 내에서 물리적 (전자적) 양들로 표현되는 데이터를 컴퓨터 시스템 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 전송 또는 디스플레이 디바이스들 내에서 유사하게 물리적 양들로 표현되는 다른 데이터로 조작 및 변환하는 컴퓨터 시스템 또는 유사한 전자 컴퓨팅 디바이스의 액션들 및 프로세스들을 지칭한다는 것이 인식될 것이다.
[00132] 본 발명의 실시예들은 또한 본 명세서의 동작들을 수행하기 위한 장치에 관한 것이다. 이 장치는 논의된 목적들을 위해 특별히 구성될 수 있거나, 또는 컴퓨터 시스템에 저장된 컴퓨터 프로그램에 의해 선택적으로 프로그래밍된 범용 컴퓨터 시스템을 포함할 수 있다. 이러한 컴퓨터 프로그램은 플로피 디스크들, 광 디스크들, CD-ROM들, 및 자기-광 디스크들을 포함하는 임의의 유형의 디스크, 읽기 전용 메모리들(ROM들), 랜덤 액세스 메모리들(RAM들), EPROM들, EEPROM들, 자기 디스크 저장 매체들, 광 저장 매체들, 플래시 메모리 디바이스들, 다른 유형의 기계 액세스 가능 저장 매체들, 또는 전자 명령들을 저장하기에 적합한 임의의 유형의 매체들 ― 각각은 컴퓨터 시스템 버스에 결합됨 ― 과 같은(그러나 이에 제한되지 않음) 컴퓨터 판독 가능 저장 매체 내에 저장될 수 있다.
[00133] 이전의 설명은 본 개시내용의 몇몇 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 기재한다. 그러나 본 개시내용의 적어도 일부 실시예들이 이러한 특정 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘-알려진 컴포넌트들 또는 방법들은 본 개시내용을 불필요하게 모호하게 하는 것을 피하기 위해, 상세히 설명되지 않거나 간단한 블록 다이어그램 포맷으로 제시된다. 따라서, 기재된 특정 세부사항들은 단지 예시일 뿐이다. 특정한 구현들은 이러한 예시적인 세부사항들과 다를 수 있으며, 여전히 본 개시내용의 범위 내에 있는 것으로 고려된다.
[00134] 본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 참조는, 실시예와 관련하여 설명된 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소들에서의 어구 "일 실시예에서" 또는 "실시예에서"의 출현들 모두는 반드시 동일한 실시예를 지칭할 필요는 없다. 부가적으로, 용어 "또는"은 배타적인 "또는"보다는 포괄적인 "또는"을 의미하도록 의도된다. 용어 "약" 또는 "대략"이 본 명세서에서 사용될 때, 이는 제시된 공칭 값이 ±10% 내에서 정확하다는 것을 의미하도록 의도된다.
[00135] 본 명세서의 방법들의 동작들이 특정한 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있어서, 특정한 동작들이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 명령들 또는 하위-동작들은 간헐적이고 그리고/또는 교번적인 방식으로 이루어질 수 있다.
[00136] 위의 설명은 제한이 아니라 예시적인 것으로 의도된다는 것을 이해한다. 위의 설명을 판독 및 이해할 시에, 많은 다른 실시예들이 당업자들에게 명백할 것이다. 따라서, 본 개시내용의 범위는, 첨부된 청구항들이 권리를 가지는 등가물들의 전체 범위와 함께 그러한 청구범위들을 참조하여 결정되어야 한다.

Claims (28)

  1. 기판 프로세싱(processing) 시스템으로서,
    하나 이상의 이송 챔버(chamber)들;
    상기 하나 이상의 이송 챔버들에 연결된 복수의 프로세스 챔버들 ― 상기 복수의 프로세스 챔버들은 제1 복수의 센서들을 포함하는 제1 프로세스 챔버 및 제2 복수의 센서들을 포함하는 제2 프로세스 챔버를 포함함 ―; 및
    상기 복수의 프로세스 챔버들 각각에 연결된 컴퓨팅 디바이스(computing device)를 포함하고,
    상기 컴퓨팅 디바이스는:
    상기 제1 프로세스 챔버 내에서 수행되는 프로세스의 제1 인스턴스(instance) 동안 또는 후에 상기 제1 프로세스 챔버의 제1 복수의 센서들 중 적어도 하나로부터 하나 이상의 제1 측정들을 수신하고;
    훈련된 기계 학습 모델(model)을 사용하여 상기 하나 이상의 제1 측정들을 프로세싱하고 ― 상기 훈련된 기계 학습 모델은 상기 하나 이상의 제1 측정들의 프로세싱에 기초하여 제1 출력을 생성함 ―;
    상기 훈련된 기계 학습 모델의 제1 출력에 기초하여 상기 제1 프로세스 챔버에 대해 제1 액션(action)이 수행되게 하고;
    상기 제1 액션의 제1 결과를 결정하고; 그리고
    상기 하나 이상의 제1 측정들, 상기 제1 출력, 및 상기 제1 액션의 제1 결과에 기초하여 상기 훈련된 기계 학습 모델의 훈련을 업데이트(update)하는,
    기판 프로세싱 시스템.
  2. 제1 항에 있어서,
    상기 프로세스는 반도체 제조 프로세스이고, 상기 복수의 프로세스 챔버들은 상기 반도체 제조 프로세스를 수행하도록 구성되는,
    기판 프로세싱 시스템.
  3. 제1 항에 있어서,
    상기 컴퓨팅 디바이스는 추가로:
    상기 제2 프로세스 챔버 내에서 수행되는 프로세스의 제2 인스턴스 동안 또는 후에 상기 제2 프로세스 챔버의 제2 복수의 센서들 중 적어도 하나로부터 하나 이상의 제2 측정들을 수신하고;
    상기 훈련된 기계 학습 모델을 사용하여 상기 하나 이상의 제2 측정들을 프로세싱하여 제2 출력을 생성하고;
    상기 훈련된 기계 학습 모델의 제2 출력에 기초하여 상기 제2 프로세스 챔버에 대해 제2 액션이 수행되게 하고;
    상기 제2 액션의 제2 결과를 결정하고; 그리고
    상기 하나 이상의 제2 측정들, 상기 제2 출력, 및 상기 제2 액션의 제2 결과에 기초하여 상기 훈련된 기계 학습 모델의 훈련을 업데이트하는,
    기판 프로세싱 시스템.
  4. 제1 항에 있어서,
    하나 이상의 로드록(load lock)을 통해 상기 적어도 하나의 이송 챔버에 연결된 팩토리 인터페이스(factory interface)를 더 포함하고;
    상기 컴퓨팅 디바이스는 상기 하나 이상의 이송 챔버들의 이송 챔버, 상기 복수의 프로세스 챔버들의 프로세스 챔버, 또는 상기 팩토리 인터페이스 중 적어도 하나에 부착되는 온-툴(on-tool) 컴퓨팅 디바이스인,
    기판 프로세싱 시스템.
  5. 제1 항에 있어서,
    상기 제1 프로세스 챔버 및 상기 제2 프로세스 챔버는 에칭 챔버들이고, 상기 프로세스는 에칭 프로세스인,
    기판 프로세싱 시스템.
  6. 제5 항에 있어서,
    상기 하나 이상의 제1 측정들은 상기 프로세스의 제1 인스턴스 동안 생성된 기판 상의 막의 반사측정법 측정(reflectometry measurement)을 포함하고, 상기 제1 출력은 상기 막의 추정된 두께 또는 추정된 트렌치(trench) 깊이 중 적어도 하나를 포함하고, 상기 액션은 상기 에칭 프로세스를 중지하는 것을 포함하고, 상기 제1 액션의 제1 결과는 a) 상기 막의 측정된 두께와 상기 막의 추정된 막 두께 사이의 차이 또는 b) 상기 막의 측정된 트렌치 깊이와 상기 막의 추정된 트렌치 깊이 사이의 차이 중 적어도 하나를 포함하는,
    기판 프로세싱 시스템.
  7. 제1 항에 있어서,
    상기 프로세스는 상기 제1 프로세스 챔버에 대해 유지보수를 수행한 후 상기 제1 프로세스 챔버 상에 수행되는 시즈닝(seasoning) 프로세스를 포함하고, 상기 하나 이상의 제1 측정들은 상기 프로세스의 제1 인스턴스 동안 생성된 상기 제1 복수의 센서들로부터의 제1 세트의 측정들을 포함하고, 상기 제1 출력은 상기 제1 프로세스 챔버가 다시 가동될 준비가 되었다는 표시를 포함하고, 상기 제1 액션은 상기 제1 프로세스 챔버 내의 테스트 기판 상에 실행될 테스트 프로세스를 포함하고, 상기 제1 액션의 제1 결과는 상기 테스트 프로세스 동안 또는 후에 생성된 상기 테스트 기판의 하나 이상의 측정들을 포함하는,
    기판 프로세싱 시스템.
  8. 제7 항에 있어서,
    상기 제1 세트의 측정들은 광학 측정들, 전력 측정들 및 압력 측정들을 포함하는,
    기판 프로세싱 시스템.
  9. 제1 항에 있어서,
    상기 프로세스는 상기 제1 프로세스 챔버 내의 기판에 대해 수행되는 증착 프로세스 또는 에칭 프로세스를 포함하고, 상기 하나 이상의 제1 측정들은 상기 프로세스의 제1 인스턴스 동안 생성된 상기 제1 복수의 센서들로부터의 제1 세트의 측정들을 포함하고, 상기 제1 출력은 상기 제1 프로세스 챔버가 유지보수될 예정이라는 표시를 포함하고, 상기 제1 액션은 상기 제1 프로세스 챔버에 유지보수를 위한 플래그를 지정하는 것(flagging)을 포함하고, 상기 제1 액션의 제1 결과는 상기 유지보수가 필요했는지 여부에 대한 표시를 포함하는,
    기판 프로세싱 시스템.
  10. 제9 항에 있어서,
    상기 제1 세트의 측정들은 광학 측정들, 전력 측정들 및 압력 측정들을 포함하는,
    기판 프로세싱 시스템.
  11. 제7 항에 있어서,
    상기 훈련된 기계 학습 모델은 신경망을 포함하는,
    기판 프로세싱 시스템.
  12. 제1 항에 있어서,
    상기 컴퓨팅 디바이스는 추가로:
    상기 제1 프로세스 챔버에 대한 예방 유지보수를 수행할 시기 및 상기 제2 프로세스 챔버에 대한 예방 유지보수를 수행할 시기를 결정하고;
    상기 제1 프로세스 챔버에 대한 예방 유지보수가 수행된 후, 상기 제1 프로세스 챔버를 다시 가동시킬 시기를 결정하고; 그리고
    상기 제2 프로세스 챔버에 대한 예방 유지보수가 수행된 후, 상기 제2 프로세스 챔버를 다시 가동시킬 시기를 결정하는,
    기판 프로세싱 시스템.
  13. 프로세스 도구(process tool)로서,
    프로세스 챔버;
    상기 프로세스 챔버에 연결된 복수의 센서들; 및
    상기 프로세스 챔버에 그리고 상기 복수의 센서들 각각에 연결된 컴퓨팅 디바이스를 포함하고,
    상기 컴퓨팅 디바이스는:
    상기 프로세스 챔버 내에서 수행되는 프로세스 동안 또는 후에 상기 제1 복수의 센서들 중 적어도 하나로부터 하나 이상의 측정들을 수신하고;
    훈련된 기계 학습 모델을 사용하여 상기 하나 이상의 측정들을 프로세싱하고 ― 상기 훈련된 기계 학습 모델은 상기 하나 이상의 측정들의 프로세싱에 기초하여 출력을 생성함 ―;
    상기 훈련된 기계 학습 모델의 상기 출력에 기초하여 상기 프로세스 챔버에 대해 액션이 수행되게 하고;
    상기 액션의 결과를 결정하고; 그리고
    상기 하나 이상의 측정들, 상기 출력, 및 상기 액션의 결과에 기초하여 상기 훈련된 기계 학습 모델의 훈련을 업데이트하는,
    프로세스 도구.
  14. 제13 항에 있어서,
    상기 프로세스 챔버는 에칭 챔버이고, 상기 프로세스는 에칭 프로세스인,
    프로세스 도구.
  15. 제14 항에 있어서,
    상기 하나 이상의 제1 측정들은 상기 프로세스의 제1 인스턴스 동안 생성된 기판 상의 막의 반사측정법 측정을 포함하고, 상기 제1 출력은 상기 막의 추정된 두께 또는 추정된 트렌치 깊이 중 적어도 하나를 포함하고, 상기 액션은 상기 에칭 프로세스를 중지하는 것을 포함하고, 상기 제1 액션의 제1 결과는 a) 상기 막의 측정된 두께와 상기 막의 추정된 막 두께 사이의 차이 또는 b) 상기 막의 측정된 트렌치 깊이와 상기 막의 추정된 트렌치 깊이 사이의 차이 중 적어도 하나를 포함하는,
    프로세스 도구.
  16. 제13 항에 있어서,
    상기 프로세스는 상기 프로세스 챔버에 대한 유지보수를 수행한 후 상기 프로세스 챔버 상에 수행되는 시즈닝 프로세스를 포함하고, 상기 하나 이상의 제1 측정들은 상기 프로세스 동안 생성된 상기 복수의 센서들로부터의 한 세트의 측정들을 포함하고, 상기 출력은 상기 프로세스 챔버가 다시 가동될 준비가 되었다는 표시를 포함하고, 상기 액션은 상기 프로세스 챔버 내의 테스트 기판 상에 실행될 테스트 프로세스를 포함하고, 상기 액션의 결과는 상기 테스트 프로세스 동안 또는 후에 생성된 상기 테스트 기판의 하나 이상의 측정들을 포함하는,
    프로세스 도구.
  17. 제16 항에 있어서,
    상기 제1 세트의 측정들은 광학 측정들, 전력 측정들 및 압력 측정들을 포함하는,
    프로세스 도구.
  18. 제13 항에 있어서,
    상기 프로세스는 상기 프로세스 챔버 내의 기판에 대해 수행되는 증착 프로세스 또는 에칭 프로세스를 포함하고, 상기 하나 이상의 측정들은 상기 프로세스의 인스턴스 동안 생성된 상기 복수의 센서들로부터의 한 세트의 측정들을 포함하고, 상기 출력은 상기 프로세스 챔버가 유지보수될 예정이라는 표시를 포함하고, 상기 액션은 상기 프로세스 챔버에 유지보수를 위한 플래그를 지정하는 것을 포함하고, 상기 액션의 결과는 상기 유지보수가 필요했는지 여부에 대한 표시를 포함하는,
    프로세스 도구.
  19. 제18 항에 있어서,
    상기 한 세트의 측정들은 광학 측정들, 전력 측정들 및 압력 측정들을 포함하는,
    프로세스 도구.
  20. 제13 항에 있어서,
    상기 훈련된 기계 학습 모델은 신경망을 포함하는,
    프로세스 도구.
  21. 제13 항에 있어서,
    상기 컴퓨팅 디바이스는 추가로:
    상기 프로세스 챔버에 대한 예방 유지보수를 수행할 시기를 결정하고; 그리고
    상기 프로세스 챔버에 대한 예방 유지보수가 수행된 후, 상기 프로세스 챔버를 다시 가동시킬 시기를 결정하는,
    프로세스 도구.
  22. 기판 프로세싱 시스템으로서,
    하나 이상의 이송 챔버들;
    상기 하나 이상의 이송 챔버들에 연결된 복수의 프로세스 챔버들 ― 상기 복수의 프로세스 챔버들은 제1 복수의 센서들을 포함하는 제1 프로세스 챔버를 포함함 ―; 및
    상기 복수의 프로세스 챔버들 각각에 연결된 컴퓨팅 디바이스를 포함하고,
    상기 컴퓨팅 디바이스는:
    상기 제1 프로세스 챔버 내에서 프로세스가 수행되는 동안 또는 수행된 후에 상기 제1 프로세스 챔버의 제1 복수의 센서들에 의해 생성된 제1 측정들을 수신하고;
    제1 훈련된 기계 학습 모델을 사용하여 상기 제1 복수의 센서들로부터의 제1 측정들을 프로세싱하는 것에 기초하여, 상기 제1 프로세스 챔버가 유지보수될 예정임을 결정하고; 그리고
    상기 제1 프로세스 챔버에 대한 유지보수가 수행된 후, 상기 제1 프로세스 챔버 내에서 시즈닝 프로세스가 수행되는 동안 또는 수행된 후에 상기 제1 프로세스 챔버의 복수의 센서들에 의해 생성된 제2 측정들을 수신하고; 그리고
    제2 훈련된 기계 학습 모델을 사용하여 상기 복수의 센서들로부터의 제2 측정들을 프로세싱하는 것에 기초하여, 상기 제1 프로세스 챔버가 다시 가동될 준비가 되었음을 결정하는,
    기판 프로세싱 시스템.
  23. 제22 항에 있어서,
    상기 제1 측정들은 기판에 대해 수행되는 증착 프로세스 또는 에칭 프로세스 동안 생성되고, 상기 제2 측정들은 상기 제1 프로세스 챔버 상에서 상기 유지보수가 수행된 후에 수행되는 시즈닝 프로세스 동안 생성되는,
    기판 프로세싱 시스템.
  24. 제22 항에 있어서,
    상기 제1 측정들 및 상기 제2 측정들은 각각 광학 측정들, 전력 측정들 및 압력 측정들을 포함하는,
    기판 프로세싱 시스템.
  25. 제22 항에 있어서,
    상기 컴퓨팅 디바이스는 추가로:
    상기 제1 훈련된 기계 학습 모델의 출력에 기초하여 상기 제1 프로세스 챔버에 대해 유지보수가 수행되게 하고;
    상기 제1 프로세스 챔버 상에서 상기 유지보수가 수행될 필요가 있었는지 여부에 대한 표시를 수신하고; 그리고
    상기 제1 측정들, 상기 출력 및 상기 표시에 기초하여 상기 제1 훈련된 기계 학습 모델의 훈련을 업데이트하는,
    기판 프로세싱 시스템.
  26. 제22 항에 있어서,
    상기 컴퓨팅 디바이스는 추가로:
    상기 제2 훈련된 기계 학습 모델의 출력에 기초하여 상기 제1 프로세스 챔버 내의 테스트 기판 상에 테스트 프로세스가 수행되게 하고;
    상기 테스트 프로세스 동안 또는 후에 생성된 상기 테스트 기판의 측정들에 기초하여 하나 이상의 챔버 인증(qualification) 결과들을 수신하고; 그리고
    상기 제2 측정들, 상기 출력 및 상기 하나 이상의 챔버 인증 결과들에 기초하여 상기 제2 훈련된 기계 학습 모델의 훈련을 업데이트하는,
    기판 프로세싱 시스템.
  27. 제22 항에 있어서,
    상기 제1 프로세스 챔버는 에칭 챔버이고, 상기 제1 측정들은 기판에 대해 수행되는 에칭 프로세스 동안 생성되고, 상기 제1 측정들은 반사측정법 측정을 포함하고,
    상기 컴퓨팅 디바이스는 추가로:
    제3 훈련된 기계 학습 모델을 사용하여 상기 반사측정법 측정을 프로세싱하는 것에 기초하여, 추정된 막 두께 또는 추정된 트렌치 깊이 중 적어도 하나를 결정하고;
    a) 상기 추정된 막 두께 대 목표 막 두께 또는 b) 상기 추정된 트렌치 깊이 대 목표 트렌치 깊이 중 적어도 하나의 비교를 수행하고;
    상기 비교에 기초하여, 상기 목표 막 두께 또는 상기 목표 트렌치 깊이 중 적어도 하나에 도달한 것으로 추정되는 시간을 결정하고; 그리고
    상기 목표 막 두께 또는 상기 목표 트렌치 깊이 중 적어도 하나에 도달한 것으로 추정되는 시간에 상기 에칭 프로세스를 중지하는,
    기판 프로세싱 시스템.
  28. 제22 항에 있어서,
    상기 제1 훈련된 기계 학습 모델은 제1 신경망을 포함하고, 상기 제2 훈련된 기계 학습 모델은 제2 신경망을 포함하는,
    기판 프로세싱 시스템.
KR1020237026414A 2021-01-06 2022-01-05 자율 기판 프로세싱 시스템 KR20230129262A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/143,072 US11709477B2 (en) 2021-01-06 2021-01-06 Autonomous substrate processing system
US17/143,072 2021-01-06
PCT/US2022/011316 WO2022150390A1 (en) 2021-01-06 2022-01-05 Autonomous substrate processing system

Publications (1)

Publication Number Publication Date
KR20230129262A true KR20230129262A (ko) 2023-09-07

Family

ID=82218590

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237026414A KR20230129262A (ko) 2021-01-06 2022-01-05 자율 기판 프로세싱 시스템

Country Status (5)

Country Link
US (2) US11709477B2 (ko)
JP (1) JP2024503282A (ko)
KR (1) KR20230129262A (ko)
TW (1) TW202230062A (ko)
WO (1) WO2022150390A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11551024B1 (en) * 2019-11-22 2023-01-10 Mastercard International Incorporated Hybrid clustered prediction computer modeling
US11709477B2 (en) * 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
JP2023042311A (ja) * 2021-09-14 2023-03-27 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20230315047A1 (en) * 2022-03-31 2023-10-05 Tokyo Electron Limited Virtual metrology model based seasoning optimization

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006501651A (ja) 2002-09-27 2006-01-12 東京エレクトロン株式会社 High−k誘電材料をエッチングするための方法及びシステム
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
JP4101280B2 (ja) 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US9859138B2 (en) 2014-10-20 2018-01-02 Lam Research Corporation Integrated substrate defect detection using precision coating
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10269545B2 (en) 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
CN109643671B (zh) 2016-08-26 2023-06-06 应用材料公司 自我修复式半导体晶片处理
US9972478B2 (en) 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10565513B2 (en) 2016-09-19 2020-02-18 Applied Materials, Inc. Time-series fault detection, fault classification, and transition analysis using a K-nearest-neighbor and logistic regression approach
KR101909477B1 (ko) 2016-11-07 2018-10-18 세메스 주식회사 공정 챔버 감시 장치 및 방법
TWI755448B (zh) 2016-11-30 2022-02-21 美商應用材料股份有限公司 使用神經網路的光譜監測
US10262910B2 (en) 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
TWI816620B (zh) 2017-04-21 2023-09-21 美商應用材料股份有限公司 使用神經網路來監測的拋光裝置
US10989652B2 (en) 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
US10579041B2 (en) 2017-12-01 2020-03-03 Applied Materials, Inc. Semiconductor process control method
US10832979B2 (en) 2018-02-22 2020-11-10 Lam Research Corporation Feedback control system for iterative etch process
JP7348440B2 (ja) 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
US10777470B2 (en) * 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
TWI825075B (zh) 2018-04-03 2023-12-11 美商應用材料股份有限公司 針對墊子厚度使用機器學習及補償的拋光裝置、拋光系統、方法及電腦儲存媒體
US10896833B2 (en) 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US10529602B1 (en) 2018-11-13 2020-01-07 Applied Materials, Inc. Method and apparatus for substrate fabrication
US11133204B2 (en) 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
JP2022523870A (ja) 2019-03-29 2022-04-26 ラム リサーチ コーポレーション 基板処理システムのためのモデルベースのスケジュール設定
US20210080941A1 (en) * 2019-09-17 2021-03-18 Rockwell Automation Technologies Inc. Scalable predictive maintenance for industrial automation equipment
US20220344184A1 (en) * 2019-09-25 2022-10-27 Lam Research Corporation Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
JP2023525710A (ja) 2020-05-11 2023-06-19 ラム リサーチ コーポレーション Cd制御をパターン化するための自動化フィードフォワードおよびフィードバックシーケンス
JP2022022500A (ja) 2020-06-24 2022-02-07 東京エレクトロン株式会社 基板処理システム、基板処理方法、およびマップ作成装置
US11709477B2 (en) * 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system

Also Published As

Publication number Publication date
TW202230062A (zh) 2022-08-01
US20220214662A1 (en) 2022-07-07
JP2024503282A (ja) 2024-01-25
US20230305531A1 (en) 2023-09-28
US11709477B2 (en) 2023-07-25
WO2022150390A1 (en) 2022-07-14
CN116802780A (zh) 2023-09-22

Similar Documents

Publication Publication Date Title
KR102546407B1 (ko) 반도체 제작 장비 내의 소모성 부품의 마모 검출
US11709477B2 (en) Autonomous substrate processing system
US11688616B2 (en) Integrated substrate measurement system to improve manufacturing process performance
US20220028716A1 (en) Substrate measurement subsystem
CN116802780B (zh) 自主基板处理系统
US20220165593A1 (en) Feedforward control of multi-layer stacks during device fabrication
KR20230151016A (ko) 기계 학습을 사용하여 증착된 막들에 대한 농도 프로파일들의 제어
US20220165541A1 (en) Etch feedback for control of upstream process
US20230313379A1 (en) Chemical-dose substrate deposition monitoring
US20230316486A1 (en) Chemical-dose substrate deposition monitoring
US20230317531A1 (en) Chemical-dose substrate deposition monitoring
US20230163002A1 (en) Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
TW202338539A (zh) 使用光學壁製程感測器(owps)的製程表徵和改正
TW202401608A (zh) 使用光學壁製程感測器(owps)的製程表徵和改正
WO2024112632A1 (en) Determining a substrate location threshold based on optical properties
WO2023039094A1 (en) Methods and mechanisms for coupling sensors to transfer chamber robot